DE112010000455T5 - Strukturen und Verfahren zum Verbessern von Grabenabschirmungshalbleitervorrichtungen und Schottky-Barrierengleichrichtervorrichtungen - Google Patents

Strukturen und Verfahren zum Verbessern von Grabenabschirmungshalbleitervorrichtungen und Schottky-Barrierengleichrichtervorrichtungen Download PDF

Info

Publication number
DE112010000455T5
DE112010000455T5 DE112010000455T DE112010000455T DE112010000455T5 DE 112010000455 T5 DE112010000455 T5 DE 112010000455T5 DE 112010000455 T DE112010000455 T DE 112010000455T DE 112010000455 T DE112010000455 T DE 112010000455T DE 112010000455 T5 DE112010000455 T5 DE 112010000455T5
Authority
DE
Germany
Prior art keywords
region
trenches
trench
width
photomask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE112010000455T
Other languages
English (en)
Inventor
Thomas E. Grebs
Joseph Yedinak
Gary Dolny
Mark L. Rinehimer
Dean E. Probst
John Benjamin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fairchild Semiconductor Corp
Original Assignee
Fairchild Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fairchild Semiconductor Corp filed Critical Fairchild Semiconductor Corp
Publication of DE112010000455T5 publication Critical patent/DE112010000455T5/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • H01L29/8725Schottky diodes of the trench MOS barrier type [TMBS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Es werden verschiedene Strukturen und Verfahren zum Verbessern des Leistungsvermögens von Grabenabschirmungsleistungshalbleitervorrichtungen und dergleichen beschrieben.

Description

  • HINTERGRUND DER ERFINDUNG
  • Die vorliegenden Erfindungen beziehen sich im Allgemeinen auf die Halbleitertechnologie und im Speziellen auf Leistungshalbleitervorrichtungen und Verfahren zum Herstellen dieser.
  • Mehrere Typen von Leistungshalbleitervorrichtungen, wie beispielsweise Transistoren und Dioden, umfassen vertikale Vorrichtungen. Eine vertikale Vorrichtung umfasst allgemein eine Mesastruktur, die in einer Halbleiterepitaxieschicht eines Halbleitersubstrats ausgebildet ist, wobei die Mesa durch einen oder mehrere Gräben, die in der Epitaxieschicht und um die Mesa herum angeordnet sind, definiert ist. Ein steuerbarer Strom fließt in einer Richtung, die im Wesentlichen senkrecht (z. B. vertikal) zu den Oberflächen der Epitaxieschicht und des Halbleitersubstrats ist, von der Oberseite der Mesa zu dem Boden der Mesa. Die vertikale Vorrichtung weist typischerweise einen EIN-Zustand, bei dem der Strom durch die Mesa mit einem geringen Umfang an elektrischem Widerstand fließt, und einen AUS-Zustand, bei dem der Stromfluss bis auf einen sehr kleinen Leckstrom im Wesentlichen unterdrückt wird, auf. Im AUS-Zustand kann die Spannung, der über der Mesa standgehalten werden kann, während der Stromfluss unterdrückt wird, sehr hoch sein, beispielsweise in dem Bereich von mehreren zehn Volt. Aufgrund von verschiedenen Prozessen, die in dem Halbleitermaterial auftreten, wie beispielsweise eine Trägerlawine, versagt die Fähigkeit des Unterdrückens des Stromsflusses im AUS-Zustand jedoch bei einem bestimmten Spannungsniveau, das oftmals als Durchbruchspannung bezeichnet wird.
  • Es besteht ein kontinuierlicher Bedarf, die Zuverlässigkeit und das Leistungsvermögen von Grabenabschirmungsleistungshalbleitervorrichtungen zu verbessern. Dies umfasst das Erhöhen des Werts der zuvor genannten Durchbruchspannung und das Verbessern der elektrischen Eigenschaften der Vorrichtungen, die in den Mesas ausgebildet sind.
  • KURZZUSAMMENFASSUNG DER ERFFINDUNG
  • Eine erste Erfindung der vorliegenden Anmeldung richtet sich auf eine Grabenabschirmungshalbleitervorrichtung. Eine erste allgemeine beispielhafte Ausführungsform hiervon umfasst breit ein Halbleitergebiet mit einer Oberfläche; einen ersten Bereich des Halbleitergebiets; ein Wannengebiet eines ersten Leitfähigkeitstyps, das in dem Halbleitergebiet und um den ersten Bereich herum angeordnet ist; und mehrere Gräben, die sich in einem Halbleitergebiet erstrecken. Jeder Graben weist ein erstes Ende, das in einem ersten Abschnitt des Wannengebiets angeordnet ist, ein zweites Ende, das in einem zweiten Abschnitt des Wannengebiets angeordnet ist, und einen mittleren Abschnitt zwischen dem ersten und zweiten Ende, der in dem ersten Bereich angeordnet ist, auf. Jeder Graben weist ferner gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, auf. Ein Vorrichtungsgebiet eines beliebigen geeigneten und gewünschten Typs kann zwischen den mittleren Abschnitten benachbarter Gräben angeordnet sein. Die obige Ausgestaltung unterstützt das Wegdrängen von elektrischen Potentiallinien und Feldern von dem Vorrichtungsgebiet, um die Durchbruchspannung der Vorrichtung zu erhöhen. Weitere beispielhafte Ausführungsformen dieser Erfindung können Endgräben umfassen, die an jeder Seite der mehreren anfangs genannten Gräben angeordnet sind, wobei jeder solcher Endgräben in einem jeweiligen Abschnitt des Wannengebiets angeordnet ist. Noch weitere beispielhafte Ausführungsformen können zusätzliche Endgräben umfassen, die an dem ersten und zweiten Ende der mehreren anfänglich genannten Gräben angeordnet sind, wobei jeder solcher zusätzlichen Endgräben in einem jeweiligen Abschnitt des Wannengebiets angeordnet ist. Die obige Ausgestaltung unterstützt ferner das Wegdrängen von elektrischen Potentiallinien und Feldern von dem Vorrichtungsgebiet, um die Durchbruchspannung der Vorrichtung zu erhöhen.
  • Eine zweite Erfindung der vorliegenden Anmeldung richtet sich auf ein Verfahren zum Herstellen einer Halbleitervorrichtung mit einem oder mehreren Gräben. Als Teil des Herstellens dieser Erfindung fanden die Erfinder heraus, dass Gräben mit abgerundeten Bodenwänden und mit verbesserter Qualität der Dielektrikumschicht verbesserte Durchbruchspannungen bereitstellen können. Eine allgemeine beispielhafte Ausführungsform dieser Erfindung umfasst, dass: ein oder mehrere Anfangsgräben in einem Halbleitergebiet ausgebildet werden, wobei jeder Graben eine Bodenwand und eine oder mehrere Seitenwände aufweist; eine Opferoxidschicht an den Wanden der Anfangsgräben aufgewachsen wird; die Opferoxidschicht entfernt wird; eine Dielektrikumschicht an den Seiten- und Bodenwänden des einen oder der mehreren Gräben ausgebildet wird, nachdem die Opferoxidschicht entfernt wurde; und der eine oder die mehreren Gräben mit elektrisch leitendem Material gefüllt werden. Die Erfinder fanden heraus, dass das Aufwachsen und Entfernen des Opferoxids die Bodenwände der Gräben abrundet und die Qualität der nachfolgend ausgebildeten Dielektrikumschicht verbessert, indem freie Bindungen des geätzten Halbleitermaterials der Grabenwände angebunden (d. h. behoben) werden.
  • Eine dritte Erfindung der vorliegenden Anmeldung richtet sich auf ein Verfahren zum Herstellen einer Halbleitervorrichtung mit einem oder mehreren Gräben. Als Teil des Herstellens dieser Erfindung fanden die Erfinder heraus, dass eine leitende Brücke in der Dielektrikumschicht des Grabens ausgebildet werden kann, die zu einer Durchbruchbedingung führen kann, und fanden sie einen Weg, um bessere Oxiddielektrikumschichten aufzuwachsen, die der Ausbildung solcher leitender Brücken standhalten. Eine allgemeine beispielhafte Ausführungsform dieser Erfindung umfasst, dass: ein oder mehrere Gräben in ein Halbleitergebiet ausgebildet werden, wobei jeder Graben eine Bodenwand und eine oder mehrere Seitenwände aufweist; eine Oxidschicht an dem Grabenboden und den Seitenwänden des einen oder der mehreren Gräben bei einer Temperatur von 1100°C oder höher in einer Umgebung mit trockenem Sauerstoff aufgewachsen wird, die mit einem oder mehreren Inertgasen verdünnt ist; und der eine oder die mehreren Gräben mit elektrisch leitendem Material gefüllt werden. Die obigen Bedingungen des Oxidaufwachsens stellen im Kontext einer Grabenabschirmungsleistungsvorrichtung eine bessere Dielektrikumschicht mit besserer Qualität bereit.
  • Eine vierte Erfindung der vorliegenden Anmeldung richtet sich auf eine Fotomaske zum Definieren von Fotolackschichten, wobei die definierte Fotolackschicht wiederum verwendet wird, um Gräben in einem Halbleiter-Wafer zu definieren. Ein beispielhafter Halbleiter-Wafer kann einen ersten Bereich an einer ersten Oberfläche, einen zweiten Bereich an der Oberfläche des Wafers und benachbart zu dem ersten Bereich und einen Umfangsrand zwischen dem ersten und zweiten Bereich aufweisen. Der erste Bereich befindet sich relativ zu dem zweiten Bereich an einer anderen Höhe, die vertieft oder erhöht ist. Der zweite Bereich kann einen aktiven Bereich eines Chips umfassen, in dem Gräben ausgebildet werden sollen, und der erste Bereich kann um den zweiten Bereich herum ausgebildet werden. Diese Gräben können sich auch in den ersten Bereich erstrecken. Die Erfinder fanden heraus, dass herkömmliche Fotomasken Schwierigkeiten beim Definieren der Fotolackschichten in dem untersten von dem ersten und zweiten Bereich bei Submikron-Grabenabmessungen aufweisen. Die dritte Erfindung der vorliegenden Anmeldung stellt eine Fotomaske mit Merkmalen einer optischen Nahbereichskorrektur zum Definieren der Fotolackgrabenbereiche in dem untersten von dem ersten und zweiten Bereich bereit. Eine beispielhafte Ausführungsform gemäß dieser Erfindung umfasst eine Ausrichtungsmarkierung zum Ausrichten der Fotomaske an dem Umfangsrand zwischen dem ersten und zweiten Bereich des Halbleiter-Wafers und eine Anordnung von streifenförmigen Gebieten zum Definieren mehrerer Gräben in der ersten Oberfläche des Halbleiter-Wafers. Jedes streifenförmige Gebiet weist einen ersten Abschnitt für eine Ausrichtung in dem ersten Bereich des Halbleiter-Wafers und einen zweiten Abschnitt für eine Ausrichtung in dem zweiten Bereich auf. Der erste Abschnitt des streifenförmigen Gebiets weist eine erste Breite auf, und der zweite Abschnitt des streifenförmigen Gebiets weist eine zweite Breite auf, wobei sich die erste Breite von der zweiten Breite unterscheidet. Beim Definieren von Positivfotolacken kann die über dem untersten Bereich ausgerichtete Breite größer sein als die über dem anderen Bereich ausgerichtete Breite. Beim Definieren von Negativfotolacken kann die über dem untersten Bereich ausgerichtete Breite kleiner sein als die über der anderen Bereich ausgerichtete Breite.
  • Eine fünfte Erfindung der vorliegenden Anmeldung richtet sich auf eine Grabenabschirmungshalbleitervorrichtung mit p-dotierten Polysiliziumgrabenelektroden. Die Erfinder fanden heraus, dass das p-dotierte Polysiliziummaterial die Fähigkeit der Grabenelektroden, die Mesas der Vorrichtung von elektrischen Potentiallinien und Feldern abzuschirmen, steigert, und die Durchbruchspannung der Vorrichtung erhöht. Eine erste beispielhafte Ausführungsform dieser Erfindung richtet sich auf eine Halbleitervorrichtung, wobei die Vorrichtung breit umfasst: ein Halbleitergebiet mit einer Oberfläche; und mehrere Gräben, die sich in einem Halbleitergebiet erstrecken, wobei jeder Graben ein erstes Ende, ein zweites Ende und einen mittleren Abschnitt zwischen dem ersten und zweiten Ende aufweist, wobei jeder Graben ferner gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, aufweist, wobei die leitende Elektrode p-dotiertes Polysilizium umfasst. Eine zweite beispielhafte Ausführungsform dieser Erfindung richtet sich auf ein Verfahren zum Herstellen einer Halbleitervorrichtung mit einem oder mehreren Gräben, wobei das Verfahren breit umfasst, dass: ein oder mehrere Gräben in ein Halbleitergebiet ausgebildet werden, wobei jeder Graben eine Bodenwand und eine oder mehrere Seitenwände aufweist, wobei der eine oder die mehreren Gräben Oberflächen des Halbleitergebiets definieren, die benachbart zu dem einen oder den mehreren Gräben sind; eine Dielektrikumschicht an den Seiten- und Bodenwänden des einen oder der mehreren Gräben ausgebildet wird; und der eine oder die mehreren Gräben mit p-dotiertem Polysiliziummaterial gefüllt werden. Das Polysilizium kann durch In-situ-Dotieren während einer Abscheidung, durch Implantation mit p-leitendem Dotiermittel nach einer Abscheidung oder indem es während des Ausheilens in einer Dampfphase p-leitendem Dotiermittel ausgesetzt wird, dotiert werden.
  • Diese und andere Ausführungsformen dieser Erfindungen und anderer Erfindungen sind in der detaillierten Beschreibung in Bezug auf die Figuren ausführlich beschrieben.
  • Aspekte der beispielhaften Ausführungsformen und der vorliegenden Erfindungen, die hierin beschrieben sind, können allein oder in einer beliebigen Kombination verwendet werden.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • 1 zeigt eine Teilquerschnittsansicht einer beispielhaften Grabenabschirmungsleistungshalbleitervorrichtung gemäß einer Erfindung der vorliegenden Anmeldung.
  • 2 zeigt eine Draufsicht der beispielhaften in 1 gezeigten Vorrichtung gemäß einer Erfindung der vorliegenden Anmeldung.
  • 3 zeigt eine weitere Teilquerschnittsansicht der beispielhaften in 1 und 2 gezeigten Vorrichtung gemäß einer Erfindung der vorliegenden Anmeldung.
  • 4 zeigt ein Flussdiagramm, das beispielhafte Verfahren zum Herstellen einer Grabenabschirmungsleistungshalbleitervorrichtung gemäß weiteren Erfindungen der vorliegenden Anmeldung darstellt.
  • 510 zeigen Querschnitte einer Grabenabschirmungsleistungshalbleitervorrichtung während verschiedenen Stufen des Herstellens gemäß den in 4 gezeigten beispielhaften Verfahren gemäß Erfindungen der vorliegenden Anmeldung.
  • 1113 zeigen Draufsichten von beispielhaften Fotomasken zum Definieren von Merkmalen in einem Halbleiter-Wafer gemäß einer weiteren Erfindung der vorliegenden Anmeldung.
  • 14 zeigt eine Teilquerschnittsansicht einer beispielhaften Grabenabschirmungsleistungshalbleitervorrichtung vor der Grabenausbildung gemäß einer Erfindung der vorliegenden Anmeldung.
  • 15 zeigt eine Teilquerschnittsansicht einer weiteren beispielhaften Grabenabschirmungsleistungshalbleitervorrichtung gemäß einer Erfindung der vorliegenden Anmeldung.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • Die Techniken gemäß den vorliegenden Erfindungen werden hierin nachfolgend in Bezug auf die begleitenden Zeichnungen, in denen beispielhafte Ausführungsformen der Erfindungen gezeigt sind, ausführlicher beschrieben. Die Erfindungen können jedoch auf verschiedene Arten ausgeführt sein und sollten nicht als Einschränkung für die hierin ausgeführten Ausführungsformen betrachtet werden. Vielmehr werden diese Ausführungsformen so bereitgestellt, dass diese Offenbarung vollständig und komplett ist und den Schutzumfang der Erfindungen einem Fachmann vollständig vermittelt. In den Zeichnungen können die Dicken von Schichten und Gebieten zu Klarheitszwecken übertrieben sein. In der Beschreibung werden die gleichen Bezugszeichen verwendet, um die gleichen Elemente zu bezeichnen.
  • Es ist zu verstehen, dass, wenn ein Element, wie beispielsweise eine Schicht, ein Gebiet, eine Elektrode etc., als ”über”, ”an”, ”verbunden mit, ”gekoppelt mit”, ”elektrisch gekoppelt mit” etc. einem anderen Element bezeichnet ist, es sich direkt über oder an dem anderen Element befinden oder direkt mit diesem verbunden oder gekoppelt sein kann, oder Zwischenelemente vorhanden sein können. Im Gegensatz dazu sind keine Zwischenelemente vorhanden, wenn ein Element als ”direkt an”, ”direkt verbunden mit”, ”direkt gekoppelt mit”, ”direkt elektrisch verbunden mit”, etc. einem anderen Element bezeichnet ist. Räumlich relative Begriffe, wie beispielsweise ”über”, ”unter”, ”oberhalb”, ”unterhalb”, ”obere(r/s)”, ”untere(r/s)”, ”vorne”, ”hinten”, ”rechts”, ”links” und dergleichen können hierin zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element/anderen Elementen oder einem anderen Merkmal/anderen Merkmalen wie in den Figuren dargestellt zu beschreiben. Es ist zu verstehen, dass die räumlich relativen Begriffe zusätzlich zu der in den Figuren gezeigten Ausrichtung verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb umfassen sollen. Wenn beispielsweise das Objekt in den Figuren umgedreht wird, wären Elemente, die als ”unter” oder ”unterhalb” anderer Elemente oder Merkmale beschrieben sind, dann ”über” oder ”oberhalb” der anderen Elemente oder Merkmale ausgerichtet. Somit kann der beispielhafte Begriff ”oberhalb” sowohl eine Ausrichtung oberhalb als auch unterhalb umfassen.
  • Die hierin verwendeten Begriffe dienen lediglich Erläuterungszwecken der vorliegenden Erfindungen und sollten nicht als die Bedeutung oder den Schutzumfang der vorliegenden Erfindungen einschränkend betrachtet werden. Wie in dieser Beschreibung verwendet, kann eine Singularform, wenn hinsichtlich des Kontexts nicht eindeutig ein bestimmter Fall angegeben ist, eine Pluralform umfassen. Auch definieren die Ausdrücke ”umfassen” und/oder ”umfassend”, die in dieser Beschreibung verwendet werden, weder die erwähnten Formen, Anzahlen, Schritte, Aktionen, Operationen, Mitglieder, Elemente und/oder Gruppen dieser, noch schließen sie das Vorhandensein oder den Zusatz einer oder mehrerer anderer Formen, Anzahlen, Schritte, Operationen, Mitglieder, Elemente und/oder Gruppen dieser oder einen Zusatz dieser aus. Der hierin verwendete Ausdruck ”und/oder” umfasst beliebige und alle Kombinationen eines oder mehrerer der zugehörigen aufgelisteten Elemente. Wie hierin verwendet, werden Begriffe, wie beispielsweise ”erste(r/s)”, ”zweite(r/s)” etc. verwendet, um verschiedene Elemente zu beschreiben. Es ist jedoch offensichtlich, dass solche Elemente nicht durch diese Begriffe definiert werden sollten. Die Begriffe werden nur zum Unterscheiden eines Elements von einem anderen Element verwendet. Somit kann sich ein erstes Element, das beschrieben wird, auch auf ein zweites Element beziehen, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Wie es in der Halbleitertechnik bekannt ist, gibt es n-leitende Dotiermittel (z. B. Arsen, Phosphor), die in ein Halbleitergebiet implantiert, diffundiert oder aufgewachsen werden können, um es n-leitend zu machen, und gibt es p-leitende Dotiermittel (z. B. Bor), die in ein Halbleitergebiet implantiert, diffundiert oder aufgewachsen werden können, um das Gebiet p-leitend zu machen. Bei vielen Vorrichtungsherstellungsprozessen ist es üblich, ein n-leitendes Dotiermittel in ein existierendes p-leitendes Gebiet zu implantieren oder zu diffundieren, um ein Subgebiet herzustellen, das n-leitend ist. In diesem n-leitenden Subgebiet übersteigt die Konzentration des n-leitenden Dotiermittels die Konzentration des p-leitenden Dotiermittels. In diesem Subgebiet gibt es eine ”Netto”-Konzentration der n-Dotierung, die gleich der Konzentration des eingeführten n-leitenden Dotiermittels minus der Konzentration des existierenden p-leitenden Dotiermittels ist. Ein wesentlicher Teil der elektrischen Eigenschaften des n-leitenden Subgebiets steht mit der Nettokonzentration der n-Dotierung (anstatt der Konzentration des eingeführten n-leitenden Dotiermittels) in Beziehung, und aus diesem Grund wird in der Halbleitertechnik zwischen Nettokonzentration und eingeführter Konzentration unterschieden. Andere Gebiete einer Vorrichtung können mit einem einzelnen Typ von Dotiermittel, das während der Ausbildung in die Gebiete eingeführt wird, ausgebildet werden, wobei die ”Netto”-Dotierungskonzentration in diesem Fall gleich der Konzentration der eingeführten Dotierung ist.
  • 1 zeigt eine Teilquerschnittsansicht einer beispielhaften Grabenabschirmungsleistungshalbleitervorrichtung 100 gemäß der vorliegenden Erfindung. Die Vorrichtung 100 kann einen Schottky-Barrierengleichrichter, einen p-n-Übergangsgleichrichter, einen MOSFET-Transistor, einen BJT-Transistor, einen IGBT-Transistor oder eine Kombination hiervon umfassen. Zu Erläuterungszwecken und ohne Verlust der Allgemeingültigkeit ist die Vorrichtung 100 hierin dargestellt, indem sie einen aktiven Schottky-Barrierengleichrichter in einem zentralen Gebiet des Vorrichtungschips und einen parasitären p-n-Übergangsgleichrichter in einem Feldabschlussgebiet um den Umfang des zentralen Abschnitts herum umfasst.
  • Die Vorrichtung 100 umfasst ein N+-dotiertes Halbleitersubstrat 105, eine erste leitende Schicht 104, die an der Bodenfläche des Substrats 105 angeordnet ist, und eine oder mehrere epitaxial aufgewachsene n-leitende Halbleiterschichten 106 (”Epitaxiehalbleiterschichten”), die an der Oberfläche des Halbleitersubstrats 105 angeordnet sind. Wie oben angegeben, kann ein Halbleitergebiet als Gebiet vom n-Leitfähigkeitstyp (oder ”n-leitendes Gebiet”) mit einem n-leitenden Dotiermittel dotiert sein, als Gebiet vom p-Leitfähigkeitstyp (oder ”p-leitendes Gebiet”) mit einem p-leitenden Dotiermittel dotiert sein, oder undotiert gelassen werden (”Gebiet vom intrinsischen Typ”). Die Vorrichtung 100 umfasst ferner ein Vorrichtungsgebiet 120 oder einen aktiven Bereich 120, in dem sich die aktiven Komponenten der Vorrichtung befinden (z. B. Schottky-Barrierengleichrichter), und ein Feldabschlussgebiet 150, das die elektrischen Felder an dem Umfang des Chips formt, um die Durchbruchspannung in Sperrrichtung der Vorrichtung zu verbessern. Das Feldabschlussgebiet 150 weist wie nachstehend erläutert einen parasitären p-n-Übergangsgleichrichter auf.
  • In dem Vorrichtungsgebiet 120 umfasst die Vorrichtung 100 ferner mehrere Gräben 122, die in der Halbleiterschicht 106 angeordnet sind, mehrere Mesas 130 eines Halbleitermaterials, die mit den Gräben 122 ineinander greifen, eine leitende Kontaktschicht 112, die über den Oberseiten der Mesas 130 angeordnet ist, und eine zweite leitende Schicht 110, die über der Kontaktschicht 112 angeordnet ist. Jeder Graben 122 erstreckt sich von der Oberfläche der Schicht 106 in die Schicht 106 in Richtung des Substrats 105 und weist gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht 123 ausgekleidet sind, und eine Abschirmelektrode 124, die wischen den Seitenwänden angeordnet ist, auf. Die Kontaktschicht 112 bildet individuelle Schottky-Barrierendioden mit jeder Mesa 130, wobei die Dioden zwischen der ersten leitenden Schicht 104 und der zweiten leitenden Schicht 110 parallel elektrisch gekoppelt sind. Die Kontaktschicht 112 ist die Anode des Schottky-Barrierengleichrichters, und das Substrat 105 ist die Kathode. Die erste leitende Schicht 104 stellt einen Kathodenanschluss des Gleichrichters bereit, und die zweite leitende Schicht 110 stellt einen Anodenanschluss bereit. Als Produkt eines beispielhaften Herstellungsprozesses kann die Kontaktschicht 112 zwischen den Abschirmelektroden 124 und der zweiten leitenden Schicht 110 angeordnet sein, in welchem Fall sie elektrische Kontakte mit sowohl den Abschirmelektroden 124 als auch der Schicht 110 bildet.
  • Die Abschirmelektroden 124 sind durch die zweite leitende Schicht 110 elektrisch mit dem gleichen elektrischen Potential gekoppelt wie die Kontaktschicht 112. Sie dienen dazu, elektrisch isolierte Inseln mit Anodenpotential tief in der Halbleiterschicht 106 und unter den Oberflächen der Mesas 130 herzustellen, wodurch die Schottky-Kontakte zwischen der Kontaktschicht 112 und den Oberseiten der Mesas 130 von dem Kathodenpotential, das an dem Substrat 105 und den unteren Abschnitten der Halbleiterschicht 106 vorliegt, im Wesentlichen abgeschirmt werden. Die Abschirmelektroden 124 dienen auch dazu, die Mesas 130 an Trägern (Elektronen) zu verarmen, was die Verteilung der potentialgleichen Linien jeglicher Sperrspannung, die sich in die Mesas 130 (primär entlang ihrer Mittellinien) erstrecken, bewirkt, um auf eine im Wesentlichen lineare Weise entlang ihrer Höhen verteilt zu sein, und nicht an den Oberseiten der Mesas konzentriert zu sein. Dies verbessert signifikant den Sperrspannungsleckstrom und die Durchbruchspannung der Schottky-Barrierendioden, indem die potentialgleichen Linien der Sperrspannung von den Schottky-Kontakten ferngehalten werden. Die Gräben 122 können eine Tiefe von 0,05 bis 2,0 Mikrometer für Anwendungen mit geringer Durchbruchspannung (VB < 50 V), eine Tiefe von 1 bis 5 Mikrometer für Anwendungen mit mittlerer Durchbruchspannung (90 V < VB < 150 V) oder eine Tiefe von bis zu 25 Mikrometer für Anwendungen mit hoher Durchbruchspannung aufweisen.
  • In dem Feldabschlussgebiet 150 umfasst die Vorrichtung 100 ferner eine relativ tiefe Isolationswanne 156 mit Netto-p-Dotierung, die in der Halbleiterschicht 106 angeordnet ist, einen Endgraben 162, der in der Isolationswanne 156 benachbart zu dem Graben 122 ganz links in dem Vorrichtungsbereich 120 angeordnet ist, und eine Endmesa 170, die zwischen dem Endgraben 162 und dem Graben 122 ganz links angeordnet ist. Die Isolationswanne 156 und der Endgraben 162 erstrecken sich von der Oberfläche der Halbleiterschicht 106 in die Schicht 106 und in Richtung des Substrats 105. Der Rand ganz rechts der Wanne 156 endet in der Endmesa 170 oder am Graben 122 ganz links des Vorrichtungsbereichs 120. Der Endgraben 162 ist vorzugsweise in der Isolationswanne 156 enthalten. Der Endgraben 162 weist gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht 163 ausgekleidet sind, und eine Abschirmelektrode 164, die zwischen den Seitenwänden angeordnet ist, auf. Die zweite leitende Schicht 110 ist über der Isolationswanne 156 angeordnet und elektrisch mit der Wanne 156 und der Abschirmelektrode 164 des Endgrabens 162 gekoppelt. Eine Dielektrikumfeldschicht 158 ist zwischen der Wanne 156 und der zweiten leitenden Schicht 110 am Rand ganz links der Wanne 156 angeordnet (z. B. entlang des Umfangs des Feldabschlussbereichs 150). Als Produkt eines beispielhaften Herstellungsprozesses kann die Kontaktschicht 112 zwischen der Wanne 156 und der zweiten leitenden Schicht 110 angeordnet sein, in welchem Fall sie elektrische Kontakte zu sowohl der Wanne 156 als auch der Schicht 110 bildet. (Wegen des relativ hohen p-leitenden Dotierniveaus im Vergleich zu den niedrigeren n-leitenden Dotierniveaus der Mesas 130 wird ein leitender Kontakt von der Schicht 112 zu der Wanne 156 hergestellt.) Ebenfalls als Produkt kann die Kontaktschicht 112 zwischen der Abschirmelektrode 164 und der zweiten leitenden Schicht 110 angeordnet sein, in welchem Fall sie elektrische Kontakte zu sowohl der Abschirmung 164 als auch der Schicht 110 bildet.
  • Wegen ihrer elektrischen Kopplung mit der zweiten leitenden Schicht 110 ist die Isolationswanne 156 elektrisch mit dem gleichen Potential gekoppelt, das an den Abschirmelektroden 124, 164 und der Kontaktschicht 112 vorliegt. Die Wanne 156 bildet einen p-n-Übergang zwischen sich selbst und der n-leitenden Schicht 106, wobei der Bereich der Schicht 106 um die Wanne 156 herum an Trägern verarmt wird und elektrische Felder von den Gräben 122, 162 und der Endmesa 170 weggedrängt werden. Auch mit den angeordneten Gräben 122 gelangen die potentialgleichen Linien und elektrischen Felder der Sperrspannung in die Bodenabschnitte der Mesas 130, primär entlang ihrer Mittellinien, was die Trägerlawinendurchbruchbedingungen initiieren kann, die die Durchbruchspannung definieren. Ohne die Wanne 156 und den Graben 162 würden die potentialgleichen Linien und elektrischen Felder der Sperrspannung zu einem größeren Ausmaß als bei den inneren Mesas 130 in die Mesa 130 ganz links gelangen und die Mesa 130 ganz links zum Ort der Durchbruchbedingung machen. Ohne die Wanne 156 und den Graben 162 wäre der Wert der Durchbruchspannung an der Mesa 130 ganz links wegen des größeren Ausmaßes der potentialgleichen Linien und elektrischen Felder in der Mesa 130 ganz links geringer als die Werte der inneren Mesas 130. Die Isolationswanne 156 und der Endgraben 162 dienen dazu, die potentialgleichen Linien und elektrischen Felder von dem Graben 122 ganz links wegzudrängen, was verhindert, dass das elektrische Potential und die Felder zu einem größeren Grad in den Graben 130 ganz links gelangen. Tatsächlich können die Wanne 156 und der Graben 162 ausgestaltet sein, um zu bewirken, dass das elektrische Potential und die Felder zu einem geringeren Grad als bei den inneren Mesas in den Graben 130 ganz links gelangen. Dies würde bewirken, dass die Lawinendurchbruchbedingung in dem zentralen Abschnitt des Vorrichtungsbereichs 120 auftritt, was den Sperrstrom über einen größeren Bereich verteilen würde, um die Beschädigungseffekte des Stroms zu reduzieren. Wenn es gewünscht ist, können die Dotierniveaus der Wanne 156 und der n-leitenden Schicht 106 ausgewählt werden, um den p-n-Übergang mit einer größeren Durchbruchspannung als die des inneren Abschnitts des Vorrichtungsbereichs 120 bereitzustellen, oder um den p-n-Übergang mit einer geringeren Durchbruchspannung bereitzustellen. Im letzteren Fall sind die Beschädigungseffekte des Sperrspannungsdurchbruchstroms auf die p-n-Übergänge konzentriert. Die Auswahl solcher Dotierniveaus liegt innerhalb der üblichen Fähigkeiten von Fachleuten auf dem Gebiet von Halbleitervorrichtungen und kann durch Computersimulationsprogramme geführt werden, die in der Technik weithin verfügbar sind.
  • Wie es in 1 zu sehen ist, gibt es in dem Feldabschlussgebiet 150 in der Oberfläche der Halbleiterschicht 106 eine kleine Vertiefung 152. Die Vertiefung 152 wird während eines beispielhaften Herstellungsprozesses erzeugt, um eine Ausrichtungsmarkierung für verschiedene fotolithografische Masken des Prozesses bereitzustellen. Als Ergebnis der Vertiefung und anderer Merkmale des Herstellungsprozesses ist die Tiefe des Bodens des Grabens 162 tiefer als die Tiefe der Böden der Gräben 122. Die tiefere Tiefe des Bodens des Endgrabens 162 unterstützt ferner das Wegdrängen der potentialgleichen Linien und elektrischen Felder von dem Graben 122 ganz links und das Erhöhen der Durchbruchspannung der Vorrichtung. Bei anderen Realisierungen der Vorrichtung 100 kann die Tiefe des Bodens des Grabens 162 gleich der Tiefe der Gräben 122 sein, was durch Verwenden eines schmaleren lithografischen Fensters zum Definieren des Grabens 162 oder durch Verwenden eines anderen Ausrichtungsansatzes, der keine Vertiefung 152 bildet, bewirkt werden kann. Die Breite des Grabens 162 kann in dem Bereich von 0,8 mal der Breite des Grabens 122 bis 1,2 mal der Breite des Grabens 122 liegen, und die Breite der Endmesa 170 kann in dem Bereich von 0,5 mal der Breite der Mesa 130 bis 1,25 mal der Breite der Mesa 130 liegen. Bei bevorzugten Ausführungsformen ist die Breite des Grabens 162 im Wesentlichen die gleiche wie die Breite des Grabens 122, gemessen an den Oberseiten der Gräben (vorzugsweise innerhalb von 0,1 Mikrometer und am stärksten bevorzugt innerhalb von 0,05 Mikrometer für Grabenbreiten von weniger als einem Mikrometer). Bei bevorzugten Ausführungsformen liegt die Breite der Endmesa 170 auch in dem Bereich von 0,8 mal bis 1,2 mal der Breite der Mesa 130, gemessen an den Oberseiten der Mesas (vorzugsweise innerhalb von 0,1 Mikrometer und stärker bevorzugt innerhalb von 0,05 Mikrometer für Grabenbreiten von weniger als einem Mikrometer). Die obigen Breiten können an einem tatsächlichen Chip unter Verwendung von Liniensegmenten gemessen werden, die nach Bedarf mit der Methode der kleinsten Quadrate an die Graben- und Mesaränder angepasst werden. Bei Submikron-Grabenbreiten und -Mesabreiten ist das Erreichen dieser bevorzugten Abmessungen (mit vorhandener Vertiefung 152) unter Verwendung von herkömmlichen fotolithografischen Verfahren schwierig. Es kann jedoch eine nachstehend beschriebene fotolithografische Erfindung einer optischen Nahbereichskorrektur (OPC von optical-proximity-correction) verwendet werden, um diese bevorzugten Abmessungen leicht zu erreichen. Ein Satz von typischen Abmessungen, der mit dem OPC-Merkmal erreicht werden kann, umfasst eine Grabenbreite von ungefähr 0,5 Mikrometer, eine Grabentiefe von ungefähr 1,1 Mikrometer, eine Grabendielektrikumschichtdicke von ungefähr 400 bis 500 Angström, eine Mesabreite von ungefähr 0,3 Mikrometer und einen Abstand zwischen benachbarten Gräben 122 von ungefähr 0,8 Mikrometer.
  • 2 zeigt eine Draufsicht der Vorrichtung 100, wobei der Ort des Querschnitts von 1 durch Schnittlinie 1-1 gezeigt ist. Es sind die Orte des aktiven Bereichs 120, des Feldabschlussbereichs 150, der Vertiefung 152, der Gräben 122, der Mesas 130, der Endgräben 162 und der Endmesas 170 gezeigt. Um diese Merkmale in der Figur deutlich zu zeigen, wurde eine Realisierung der Vorrichtung 100 mit einer relativ kleinen Anzahl von Gräben 122 und Mesas 130 gezeigt. Typische Realisierungen können fünfzig oder mehr Gräben 122 mit fünfzig oder mehr Mesas 130 (minus einer) aufweisen. Es sind auch Realisierungen unter Verwendung von 1.000 Gräben oder mehr möglich. In 2 sind auch zwei zusätzliche Endgräben 166 auf der linken und rechten Seite der Anordnung von Gräben 122 gezeigt. Die zusätzlichen Endgräben 166 stellen vorzugsweise einen Kontakt mit den Enden der Gräben 122 und 162 her (z. B. grenzen sie an die Enden an), und aus diesem Grund können sie ”Grabenende”-Gräben 166 genannt werden. Sie weisen die gleiche Konstruktion wie die Gräben 162 mit Seitenwänden, die mit einer Dielektrikumschicht ausgekleidet sind, und einer Abschirmelektrode auf. Die Kombination der Endgräben 162 und 166 umfasst einen Perimetergraben 160.
  • 3 zeigt einen Querschnitt der Vorrichtung 100 entlang der in 2 gezeigten Schnittlinie 3-3. Dieser Querschnitt zeigt die Längskonstruktion eines Grabens 122, und wie er an einem Endgraben 166 endet. In dem Querschnitt sind auch eine Dielektrikumschicht 167, die die Seitenwände des Grabens 166 auskleidet, und eine Abschirmelektrode 168, die in dem Graben 166 angeordnet ist, gezeigt. Die Abschirmelektrode 168 stellt vorzugsweise einen elektrischen Kontakt mit der Abschirmelektrode 124 des Grabens 122 her. Der Endgraben 166 ist vorzugsweise in der p-Wanne 156 angeordnet. Ein Querschnitt entlang dem Endgraben 162 weist die gleiche Form auf wie die, die in 3 gezeigt ist. Als Ergebnis der Vertiefung 152 sind die Tiefen der Böden der Endabschnitte des Grabens 122 und 162 bei der Ausführungsform, die in der Figur gezeigt ist, tiefer als die Tiefe der Gräben des mittleren Abschnitts. Die tiefere Tiefe der Endabschnitte der Gräben unterstützt ferner das Wegdrängen der potentialgleichen Linien und elektrischen Felder von dem aktiven Bereich der Gräben, wodurch die Durchbruchspannung der Vorrichtung erhöht wird.
  • Eine beispielhafte Breite des Gebiets 156 beträgt bei einer typischen Ausführungsform etwa 9 Mikrometer. Ein weiterer Vorteil des Anordnens der Endgräben 162 und 166 in dem Gebiet 156 ist, dass die Genauigkeit, die zum Ausrichten der Seitenwände des Gebiets 156 mit den Orten der äußersten Gräben 122 erforderlich ist, erheblich reduziert werden kann, da die Gräben 162 und 166 in dem Fall, dass sich das Gebiet 156 nicht vollständig über die Breiten der Mesas 170 (gezeigt in 12) erstreckt, eine Trägerverarmung der Mesas 170 bereitstellen. Bei anderen Realisierungen können die Endgräben 166 weggelassen werden. Bei diesen Realisierungen erstrecken sich die Enden der Gräben 122 und 162 eine Distanz, die größer oder gleich der Breite des Grabens ist, in das Gebiet 156, wobei jedoch jedes solche Ende von der äußersten Seitenwand (dem äußeren Umfangsrand) des Gebiets 156 um zumindest eine Verarmungstiefe beabstandet ist. Die Verarmungstiefe kann durch einen Fachmann aus der Dicke der Grabendielektrikumschicht, der Austrittsarbeit des leitenden Materials in dem Graben und dem Nettodotierniveau des Gebiets 156 in der Nähe des Grabenendes leicht ermittelt werden. Als allgemeine Regel für typische Realisierungen ist diese Verarmungstiefe kleiner oder gleich der Breite der Mesa 170.
  • Nachstehend wird ein beispielhaftes Verfahren zum Herstellen der Vorrichtung 100 in Bezug auf das in 4 gezeigte Flussdiagramm 180 beschrieben. Das Flussdiagramm 180 weist mehrere Blöcke auf, wobei an jedem Block eine oder mehrere Aktionen stattfinden. In Block 182 wird ein Ausrichtungsätzen oder ”Schlüsselätzen” an der Oberfläche eines Halbleiter-Wafers durchgeführt, um die Vertiefung 152 (gezeigt in 13) auszubilden. Diese Aktion kann umfassen, dass eine Fotolackschicht über der Oberfläche des Substrats ausgebildet wird, der Fotolack einem Muster einer aktinischen Strahlung ausgesetzt wird, so dass die Abschnitte des Fotolacks, die über den Orten der Vertiefung 152 liegen, entfernt werden können, der ausgesetzte Fotolack entwickelt wird, um diese Abschnitte zu entfernen, um freigelegte Abschnitte der Oberfläche des Substrats zurückzulassen. Die freigelegten Abschnitte werden danach einem Ätzmittel ausgesetzt, das das Substratmaterial in den freigelegten Bereichen entfernt. Es können plasmabasiertes Ätzen (trocken) oder wässriges chemisches Ätzen (nass) verwendet werden. Das Ätzen kann eine isotrope Charakteristik, eine anisotrope Charakteristik oder eine Kombination beider Charakteristiken aufweisen. Aktuell wird für Silizium-Wafer ein isotropes Plasma bevorzugt. Das Schlüsselätzen kann die Vertiefung 152 mit einer Tiefe bereitstellen, die von 500 Angström bis 2000 Angström reicht, wobei typischerweise 1200 Angström verwendet werden.
  • In Block 184 kann die Isolationswanne 156 ausgebildet werden. Diese Aktion kann eine Ionenimplantation eines Dotiermittels in den geätzten Bereich der Vertiefung 152 umfassen, wobei die zuvor angeordnete strukturierte Fotolackschicht als Ionenimplantationsmaske verwendet wird. Es kann eine Implantationsdosis von 5 × 1013 Dotiermittelatomen (z. B. Bor für p-Typ) pro Quadratzentimeter mit einer Implantationsenergie von 40 KeV verwendet werden. Die Fotolackschicht kann dann abgestreift werden (beispielsweise mit einer Sauerstoffplasmareinigung), und die Oberfläche des Substrats kann mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungsätzen, gereinigt werden. Das Implantat kann dann durch einen herkömmlichen Diffusionsprozess eingetrieben werden, was ein Aussetzen bei einer Temperatur von 1150°C in einer im Wesentlichen inerten Atmosphäre (z. B. 99% Stickstoff, 1% Sauerstoff) für eine Zeitdauer umfassen kann, die ausreicht, um das Implantat bis zu einer Tiefe von etwa 1,2 bis 1,3 Mikrometer einzutreiben. Zur Vorbereitung zum Ausbilden der Gräben 122, 162 und 166 kann eine harte Oxidschicht über der Oberfläche des Substrats unmittelbar nach dem Diffusionsprozess unter Verwendung des gleichen Diffusionsofens aufgewachsen werden. Die harte Oxidschicht kann eine Dicke von etwa 2100 Angström aufweisen und kann bei einer anfänglichen Temperatur von 950°C in einer Dampfumgebung, gefolgt von einer Umgebung mit trockenen Sauerstoff (kein Wasserdampf) aufgewachsen werden, was Abweichungen der Oxiddicke über dem Wafer und zwischen Wafern reduziert. Das Ergebnis dieser Prozesse ist in 5 gezeigt. Die harte Oxidschicht wird in einem nachfolgenden Ätzschritt als Ätzmaske verwendet. Ihre Dicke wird ausgewählt, um die fotolithografische Auflösung von in der Schicht ausgebildeten Mustern wie nachstehend beschrieben zu verbessern, und um sicherzustellen, dass ein erheblicher Umfang der Schicht nach diesem Ätzschritt zurückbleibt.
  • In Block 186 (4) können die Gräben 122, 162 und 166 ausgebildet werden. Diese Aktion kann umfassen, dass die oben beschriebene harte Oxidschicht ausgebildet wird, gefolgt von einem Ausbilden einer Fotolackschicht über der harten Oxidschicht, dass der Fotolack einem Muster einer aktinischen Strahlung ausgesetzt wird, so dass die Abschnitte des Fotolacks, die über den Orten der Gräben liegen, entfernt werden können, dass der ausgesetzte Fotolack entwickelt wird, um jene Abschnitte zu entfernen, um die freigelegten Abschnitte der harten Oxidschicht zurückzulassen. Unter Verwendung von Verfahren, die in der Technik weithin bekannt sind, wird die kombinierte Dicke der Fotolackschicht und der harten Oxidschicht ausgewählt, um die Absorption der aktinischen Strahlung durch diese Schichten während des Fotolithografieschritts zu maximieren, wodurch Rückstrahlungen minimiert werden und die Auflösung der Mustermerkmale verbessert wird (z. B. verbessern der kritischen Abmessungen). Wie es nachstehend ausführlicher beschrieben ist, kann die Fotomaske, die zum strukturierten Aussetzen des Fotolacks verwendet wird, ein oder mehrere OPC-Merkmale umfassen, um die Ausbildung von Gräben mit Submikron-Breite in der Vertiefung 152 zu vereinfachen. Danach können die freigelegten Abschnitte der harten Maske durch Ätzen, wie beispielsweise durch Plasmaätzen, das zum Entfernen von Oxid geeignet ist, entfernt werden, wobei freigelegte Abschnitte des Halbleitersubstrats zurückgelassen werden, an denen die Gräben ausgebildet werden sollen. Die Fotolackschicht kann dann abgestreift werden (beispielsweise mit einer Plasmareinigung), und die Oberfläche des Substrats kann mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungsätzen, gereinigt werden. Danach können die Gräben ausgebildet werden, indem die freigelegten Abschnitte des Halbleitersubstrats einem anisotropen Plasmaätzprozess ausgesetzt werden. Aktuell ist es bevorzugt, die Gräben mit abgerundeten Bodenflächen auszubilden, und es werden aktuell fluorbasierte Plasmaätzmittel bevorzugt, da sie abgerundete Bodenflächen bereitstellen. Es können die folgenden fluorbasierten Gasätzmittel verwendet werden: SF6-Schwefel (Hexafluorid), SF6 plus ein Inertgas (z. B. ein Edelgas), SF6 plus Sauerstoff und ein oder mehrere Inertgase (z. B. He und Ar) und SF6 plus Bromwasserstoff (HBr) und ein oder mehrere Inertgase (z. B. He und Ar). Zusätzlich können andere fluorierte Chemikalien, wie beispielsweise C2F6, C4F8, NF3 etc., zusammen mit den oben genannten Inertgasen (O2, HBr, etc.) verwendet werden. Das Fluor ätzt das Siliziumsubstrat, während Sauerstoff und HBr Nebenprodukte an den Grabenseitenwänden bilden, die das Ätzen der Seitenwände durch das Fluor minimieren (wodurch eine anisotrope Eigenschaft für die Ätzverarbeitung bereitgestellt wird). Beispielhafte Ätzprozesse sind in dem US-Patent Nr. 6,680,232 von Grebs et al. zu finden, dessen Offenbarungsgehalt hierin durch Bezugnahme mit eingeschlossen ist und das an den Rechtsinhaber der vorliegenden Erfindung übertragen ist. Die harte Oxidmaske kann dann mit einem gepufferten Oxidätzmittel entfernt werden. Die Oberfläche des Substrats und der Gräben kann mit einem Weichplasmaätzen (z. B. CF4 stromabwärtiges Mikrowellenplasma) gereinigt werden, welches etwa 300 Angström an Material entfernen kann, gefolgt von einem Reinigen mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungsätzen. Das Weichplasmaätzen entfernt jegliche Beschädigung, die an der kristallinen Struktur des Halbleitermaterials verursacht wird, verursacht durch das vorherige Plasmaätzen. Die Ätzeigenschaften des Weichplasmaätzens sind isotroper als bei dem vorherigen Ätzen und sind vorzugsweise mehr isotrop als anisotrop. Ferner hat das Weichplasmaätzen einen niedrigeren energetischen Einfluss auf das Halbleitermaterial als das anfängliche Plasmaätzen.
  • Während das obige Ätzen der Gräben unter Verwendung einer strukturierten Oxidschicht als Ätzmaske dargestellt ist, ist anzumerken, dass das Ätzen der Gräben unter Verwendung einer strukturierten Fotolackschicht als Ätzmaske, erfolgen kann. Es sei auch angemerkt, dass das erste Ätzmittel, das zum Definieren der anfänglichen Formen der Gräben verwendet wird, anstatt eines fluorbasierten Gases ein chlorbasiertes [engl.: ”chorine-based”] Gas umfassen kann.
  • Um die Böden der Gräben weiter abzurunden, kann ein dünnes Opferoxid von etwa 400 Angström an den Seitenwänden der Gräben bei einer Temperatur von etwa 1100°C oder höher aufgewachsen werden und nachfolgend entfernt werden, indem es kurz (ungefähr 30 Sekunden) einem gepufferten Oxidätzmittel ausgesetzt wird. Das Opferoxid kann eine Dicke von 1000 Angström oder weniger aufweisen. Das Opferoxid bindet auch freie Siliziumbindungen an den Grabenseitenwänden, die durch die vorherigen Plasmaätzprozesse verursacht werden, und verbessert auch die Qualität der Grabendielektrikumschichten 123, 163 und 167, die später gebildet werden. Es kann ein zweites Opferoxid aufgewachsen und entfernt werden, um ein weiteres Abrunden der Bodenflächen der Gräben bereitzustellen. Nachdem das eine oder die mehreren Opferoxide aufgewachsen und entfernt wurden, können die Oberfläche des Substrats und der Gräben mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungsätzen, gereinigt werden. Das Ergebnis dieser Prozesse ist in 6 gezeigt.
  • In Block 188 (4) können die Grabendielektrikumschichten 123, 163 und 167 ausgebildet werden. Diese Aktion kann das Aufwachsen einer dünnen Oxidschicht an den Grabenseitenwänden bei einer hohen Temperatur von 1100°C oder mehr in einer Umgebung mit trockenem Sauerstoff (kein Wasserdampf) umfassen, die mit einem Inertgas verdünnt ist. Die Oxidschicht, die hierin auch als ”Abschirmoxidschicht” bezeichnet ist, kann bis zu einer Dicke in dem Bereich von etwa 400 Angström bis 600 Angström aufgewachsen werden, wobei eine Dicke von etwa 500 Angström bevorzugt wird. Da die Mesaoberseiten während des Aufwachsprozesses freigelegt sind, wird die Abschirmoxidschicht auch über den Mesaoberseiten ausgebildet. Bei einer typischen Realisierung liegt die Aufwachstemperatur in dem Bereich von 1125°C bis 1200°C, wobei ein Wert von etwa 1175°C (±10°C) bevorzugt wird und die Umgebung 50% Sauerstoff und 50% Argon umfasst (z. B. Strömungsrate jedes Gases von 10 Litern pro Minute). Die kombinierte Verwendung der hohen Aufwachstemperatur und der verdünnten Umgebung mit trockenem Sauerstoff zum Aufwachsen der Abschirmoxidschicht ist in der Leistungshalbleitertechnik ungewöhnlich, die Erfinder fanden jedoch heraus, dass diese Kombination weniger Pinhole-Defekte in der Schicht bereitstellt, was zu einer verbesserten Gate-Oxidqualität, höheren Werten von Qbd (Ladung bis zum Durchbruch) und einer besseren Dickeneinheitlichkeit führt. Der Sauerstoff kann verdünnt werden, so dass er 10 Vol.-% bis 75 Vol.-% der gasförmigen Aufwachsumgebung, und stärker bevorzugt 25 Vol.-% bis 60 Vol.-% der gasförmigen Aufwachsumgebung, bildet. Am Ende dieser Verarbeitung können, für eine typische Realisierung der Vorrichtung 100, die Gräben Breiten von etwa 0,5 Mikrometer und Tiefen von etwa 1,1 Mikrometer aufweisen und können die Mesas Breiten von etwa 0,3 Mikrometer aufweisen.
  • In Block 190 (4) können die Abschirmelektroden 124, 164 und 168 ausgebildet werden. Diese Aktion kann das Abscheiden einer Schicht eines Polysiliziummaterials über der zuvor ausgebildeten Abschirmoxidschicht umfassen, die wiederum über der Oberfläche des Substrats (Mesaoberseiten) und den Seitenwänden der Gräben ausgebildet wurde. Die Abscheidung kann durch herkömmliche in der Technik bekannte Polysiliziumabscheidungsgeräte erfolgen. Um die Grabenbereiche mit Polysiliziummaterial mit einer Grabenbreite von etwa 0,5 Mikrometer und einer Grabentiefe von 1,1 Mikrometer zu füllen, kann das Polysiliziummaterial bis zu einer Dicke von 5000 Angström (0,5 Mikrometer), gemessen an der Oberfläche des Substrats, bei einer Temperatur von 560°C abgeschieden werden. Dieser Umfang an Material reicht aus, um die Seitenwände der Gräben zu beschichten und sie zu füllen. Im Allgemeinen sollte das Polysilizium (oder ein anderes Abschirmmaterial), um zu verhindern, dass Fehlstellen in der Abschirmelektrode auftreten, mit einer Dicke abgeschieden werden, die von einer Hälfte der Breite des gefüllten Grabens bis zur zweifachen Breite reicht. Typischerweise ist die abgeschiedene Dicke gleich der Breite des Grabens. Das Polysilizium kann in dotierter Form oder in undotierter Form abgeschieden werden. Bei einer Abscheidung in dotierter Form wird es vorzugsweise während der Abscheidung in situ dotiert und weist es ein Dotierniveau von 1 × 1018 Dotiermittelatome pro Kubikzentimeter (cm–3) oder höher, und stärker bevorzugt ein Dotierniveau von 5 × 1018 cm18 oder höher, auf. Eine In-situ-Dotierung kann erreicht werden, indem ein ein Dotiermittel enthaltendes Gas (z. B. Phosphin für n-leitend und Diboran [engl.: ”dirborane”] oder Bortrifluorid für p-leitend) zusammen mit den Gasen eingeführt wird, die verwendet werden, um das Polysilizium zu bilden (z. B. Silan und Ammoniak). Bei einer Abscheidung in undatierter Form kann das Polysilizium während einer nachfolgenden Ausheilstufe (nachstehend beschrieben) in einer Dampfphase einem Dotiermittelgas ausgesetzt werden oder kann es mit einem Dotiermittel mit einer Dosis von 5 × 1015 Dotiermittelatome pro Quadratzentimeter (cm–2) mit einer Implantationsenergie von 30 KeV vor dem Ausheilen implantiert werden. Die Dotiermitteldosis kann in dem Bereich von 5 × 1014 cm–2 bis 5 × 1016 cm–2 liegen. Eine einheitlichere Dotiermittelverteilung kann durch Implantieren der Dosis mit zwei oder mehr Implantationsenergien erhalten werden. Beispielsweise können eine erste Implantation bei einer Dosis von 2,5 × 1015 bei 120 KeV und eine zweite Implantation bei einer Dosis von 2,5 × 1015 bei 30 KeV verwendet werden. Als weiteres Beispiel können vier Implantationen bei 10 KeV, 50 KeV, 80 KeV und 120 KeV, jede bei einer Dosis von 1,25 × 1015, verwendet werden. Die mehreren Implantationen stellen eine einheitlichere Verteilung des Dotiermittels in der Grabenelektrode, insbesondere nach dem Ausheilen, bereit. Hohe Dotiermittelniveaus an der Oberseite der Gräben stellen einen guten leitenden Kontakt mit der Kontaktschicht 112 (gezeigt in 1 und 3) sicher, und hohe Niveaus des Dotiermittels am Boden der Gräben stellen eine gute Abschirmmaßnahme sicher.
  • Das Dotiermittel für das Polysilizium kann n-leitend oder p-leitend sein. Üblicherweise wird in der Technik n-leitendes Dotiermittel verwendet und wird in der Technik kein p-leitendes Dotiermittel verwendet. Die Erfinder fanden jedoch heraus, dass eine p-leitende Dotierung für die Abschirmelektroden einen besseren Austrittsarbeitswert für das Material bereitstellt, was wiederum eine bessere Abschirmung von Mesas 130 (gezeigt in 1) bereitstellt. Aus diesen Gründen wird aktuell eine p-leitende Dotierung für die Abschirmelektroden bevorzugt.
  • Nachdem das Polysilizium abgeschieden und optional implantiert wurde, kann es mit einer Temperatur von 800°C oder mehr für eine ausreichende Zeitdauer ausgeheilt werden, um die Polysiliziumkörner zu verfestigen und um die implantierte Dotierung (falls verwendet) neu zu verteilen. Es kann eine Ausheiltemperatur von 950°C verwendet werden. Wenn das Polysilizium während des Ausheilens dampfphasendotiert werden soll, kann die Ausheiltemperatur dann auf 1000°C oder mehr erhöht werden. Jegliches Oxid, das sich während des Ausheilprozesses über dem Polysilizium bildet, kann entfernt werden, indem es kurz einem gepufferten Oxidätzen ausgesetzt wird, und das Substrat kann mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungsätzen, gereinigt werden. Das Ergebnis dieser Prozesse ist in 7 gezeigt. An dieser Stelle verbleibt eine Polysiliziumschicht auf einer Schicht eines dünnen Oxids auf den Oberseiten der Mesas. Dann kann ein herkömmliches Polysiliziumätzen durchgeführt werden, um das Polysilizium, das an den Oberseiten der Mesas verbleibt, zurückzuätzen, wobei die Abschirmoxidschicht als Ätzstopp fungiert. Dies ätzt den oberen Abschnitt der Polysiliziumschicht über sowohl den Mesas als auch den Gräben weg. Das Polysiliziumätzen kann etwas länger andauern, um ein geringfügiges Zurückätzen des Polysiliziums in den Gräben bereitzustellen, so dass das obere Niveau der Abschirmelektroden unter den Oberseiten der Mesas liegt. Nach dem Polysiliziumätzen kann das Substrat mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungsätzen, gereinigt werden. Das Ergebnis dieser Prozesse ist in 8 gezeigt.
  • An dieser Stelle müssen die Dielektrikumfeldschicht 158, die Kontaktschicht 112 und die erste und zweite Schicht 104 und 110 weiterhin durch jeweilige Prozesse hergestellt werden, von denen die meisten ein Aussetzen bei erhöhten Temperaturen erfordern. Ferner werden bei vielen Anwendungen die elektrischen Durchlassspannungseigenschaften der Schottky-Diode typischerweise mit einer flachen Implantation an den Oberflächen der Mesas 130, gefolgt von einem Aussetzen bei einer erhöhten Temperatur zum Ausheilen der Implantation und Verteilen der implantierten Dosis zu einem gewünschten Grad, angepasst. Diese optionale Implantation kann nun oder nach einigen Prozessen zum Ausbilden der verbleibenden Elemente erfolgen, und zwar in Abhängigkeit von den Verarbeitungstemperaturen und -zeiten, die zum Ausbilden der verbleibenden Elemente erforderlich sind, und von der Ausheiltemperatur und der Zeit, die für die bestimmte Implantation erforderlich sind. Bei einer Realisierung dieses beispielhaften Verfahrens wird eine p-leitende Borimplantation an dieser Stufe mit einem Dosisniveau von 5 × 1012 cm–2 und einer Implantationsenergie von 40 KeV durchgeführt, was ausreichend ist, um eine ausreichende Menge an Dotiermittel durch die Abschirmoxidschicht zu transportieren, die über den Oberflächen der Mesas angeordnet ist. Die Verarbeitungstemperaturen und -zeiten der verbleibenden Schritte werden verwendet, um die Implantation auszuheilen und das Dotiermittel zu verteilen. Diese Implantationsdosis ist am besten geeignet für Durchbruchspannungen von 50 V oder kleiner und ist ausreichend gering, um in dem Mesagebiet keinen Übergang auszubilden. Die Implantationsdosis kann sich für höhere oder niedrigere Durchbruchspannungen ändern.
  • In Block 192 (4) kann die Dielektrikumfeldschicht 158 ausgebildet werden. Diese Aktion kann das Abscheiden von 5000 Angström eines Niedertemperaturoxids (LTO von low temperature oxide), gefolgt von einem Aufschmelzen der LTO-Schicht bei etwa 875°C in einer Dampfumgebung, umfassen. Die LTO-Schicht kann mit einer Dicke abgeschieden werden, die von 2500 Angström bis 10.000 Angström reicht. Bei einigen Realisierungen kann Borphosphorsilikatglas (BPSG) verwendet werden, wobei jedoch aufgepasst werden sollte, um jegliche Autodotierungseffekte zwischen der BPSG-Schicht und den Halbleitermesas 130 zu berücksichtigen (d. h., die Dotierung in der BPSG-Schicht kann während nachfolgender Ofendurchläufe in die Umgebung einfließen und wieder auf die freigelegte Oberfläche der Halbleitermesas abgeschieden werden; eine Diffusion einer solchen abgeschiedenen Dotierung in die Mesas sollte beim Entwurf der Vorrichtung berücksichtigt werden). Danach können die LTO-Schichten strukturgeätzt werden, um ihre Formen zu definieren und um ein Abscheidungsfenster für die Kontaktschicht 112 zu öffnen. Diese Aktion kann umfassen, dass eine Fotolackschicht über der LTO-Schicht ausgebildet wird, der Fotolack einem Muster einer aktinischen Strahlung ausgesetzt wird, so dass die Abschnitte des Fotolacks, die über den Orten der Vertiefung 152 und dem zentralen Abschnitt des Chips liegen, entfernt werden können, der ausgesetzte Fotolack entwickelt wird, um jene Abschnitte zu entfernen, um freigelegte Abschnitte der LTO-Schicht zu hinterlassen. Die freigelegten Abschnitte können dann durch ein Plasmaätzen entfernt werden, das 90% bis 95% der LTO-Schichten entfernt, gefolgt von einem gepufferten Oxidätzen, um die verbleibenden Schichten und die darunter liegende Abschirmoxidschicht an der Oberseite der Mesas zu entfernen. Nach diesem Ätzprozess kann die Fotolackschicht entfernt werden und kann das Substrat mit einem herkömmlichen H2SO4/H2O2-Ätzen, gefolgt von einem RCA SC-1-Standardreinigungstzen, gereinigt werden. Das Ergebnis dieser Prozesse ist in 9 gezeigt.
  • An dieser Stelle kann, falls gewünscht, die obige optionale Implantation zum Anpassen der Durchlassspannungseigenschaften der Schottky-Barrierendiode, gefolgt von einem Ausheilungsprozess, durchgeführt werden.
  • In Block 194 (4) kann die Kontaktschicht 162 ausgebildet werden. Diese Aktion kann das Ausbilden einer Nickelsilizidschicht oder einer anderen Silizidschicht an den freigelegten Oberseiten der Mesas und der Abschirmelektroden umfassen. Ein beispielhafter Prozess hierfür kann umfassen, dass die freigelegten Oberseiten der Mesas und der Abschirmelektroden einem Fluorwasserstoffätzmittel [engl.: ”hydrofluoric etchant”] (HF-Ätzmittel) für eine kurze Zeitdauer zum Entfernen jeglichen Oxids, das ausgebildet wurde, ausgesetzt werden, etwa 1000 Angström Nickel (Ni) an der Oberfläche des Substrats aufgedampft werden, ein erstes Ausheilen der Nickelschicht bei einer Temperatur in dem Bereich von 250°C bis 400°C für etwa fünf Minuten durchgeführt wird und ein zweites Ausheilen bei einer Temperatur in dem Bereich von 400°C bis 700°C für etwa fünf Minuten durchgeführt wird. Der erste Ausheilprozess wandelt einen wesentlichen Abschnitt der Nickelschicht in Ni2Si um, und der zweite Ausheilprozess wandelt [engl.: ”coverts”] das Ni2Si in NiSi um. Bei einer Realisierung liegt die erste Ausheiltemperatur bei etwa 350°C (±10°C) und liegt die zweite Ausheiltemperatur bei etwa 500°C (±25°C). Der Ausheilprozess wandelt [engl.: ”coverts”] etwa 1000 Angström der Oberseiten der Mesas von Silizium in Nickelsilizid um und bildet an den Oberflächen der Mesas Schottky-Barrieren aus. Der Ausheilprozess wandelt auch einen Abschnitt der Oberseiten der Polysiliziumabschirmelektroden um und bildet leitende Kontakte mit den Abschirmelektroden aufgrund des hohen Dotierniveaus in den Abschirmelektroden. Das Ergebnis dieser Prozesse ist in 10 gezeigt. Das Nickel, das über dem Siliziumoxid abgeschieden wird, wird nicht in Silizid umgewandelt und kann mit einem herkömmlichen Nickelätzmittel weggeätzt werden. Die zuvor genannte Nickelschicht [engl.: ”nickel layer layer”] kann mit einer Dicke abgeschieden werden, die von 200 Angström bis 2000 Angström reicht.
  • In Block 196 (4) können die erste und zweite Schicht 104 und 110, oder Abschlussschichten, ausgebildet werden. Diese Aktion kann das Abscheiden einer oder mehrerer elektrisch leitender Schichten über jeder Oberfläche des Substrats umfassen. Jede abgeschiedene leitende Schicht kann Aluminium, eine Aluminiumlegierung, wie beispielsweise Aluminium-Silizium (AlSi, mit Si bei etwa 1%) und Aluminium-Silizium-Kupfer Al/SiCu (1% Si und 0,5% Cu), Kupfer und Barrierenmaterialien, wie beispielsweise Titan (Ti), Titan-Wolfram (TiW) als Legierung oder als zwei separate Schichten, Titannitrid (TiN), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN) etc. umfassen Es kann ein Barrierenmaterial zwischen dem Nickelsilizid und einer dicken Metallschicht (wie beispielsweise einer, die Aluminium und/oder Kupfer umfasst) angeordnet sein, um die Adhäsion der Metallschicht an dem Nickelsilizid zu verbessern, um die Wahrscheinlichkeit zu reduzieren, dass Spitzen der Metallschicht durch die Silizidschicht hindurchdringen, um zu verhindern, dass migrierendes oberseitiges Metall die Austrittsarbeit des Nickelsilizids ändert. Eine Barrierenschicht ist jedoch nicht erforderlich. Die Schichten können durch ein geeignetes in der Technik bekanntes Verfahren einer physikalischen Gasphasenabscheidung abgeschieden werden. Die Dicke einer oder mehrerer abgeschiedener Schichten an jeder Oberfläche kann von 0,1 Mikrometer bis 10 Mikrometer reichen, wobei 2,5 Mikrometer typisch sind. Das Ergebnis dieser Prozesse ist in 1 gezeigt.
  • Es sei angemerkt, dass, wenn die Durchführung einer Aktion eines beliebigen der hierin offenbarten und beanspruchten Verfahren nicht auf dem Abschluss einer anderen Aktion beruht, die Aktionen in einer beliebigen zeitlichen Sequenz (z. B. zeitlichen Reihenfolge) in Bezug aufeinander durchgeführt werden können, was eine gleichzeitige Durchführung und eine verschachtelte Durchführung verschiedener Aktionen umfasst. (Eine verschachtelte Durchführung kann beispielsweise stattfinden, wenn Teile von zwei oder mehr Aktionen auf eine gemischte Weise durchgeführt werden.) Demgemäß sei angemerkt, dass, während die Verfahrensansprüche der vorliegenden Anmeldung Sätze von Aktionen wiedergeben, die Verfahrensansprüche nicht auf die Reihenfolge der in der Anspruchssprache aufgelisteten Aktionen beschränkt sind, sondern stattdessen alle obigen möglichen Reihenfolgen abdecken, was eine gleichzeitige und verschachtelte Durchführung von Aktionen und anderen möglichen Reihenfolgen, die oben nicht explizit beschrieben sind, umfasst, wenn es nicht anderweitig durch die Anspruchssprache vorgegeben ist (beispielsweise durch explizites Angeben, dass eine Aktion einer anderen Aktion vorausgeht oder folgt).
  • Erfindung einer optischen Nahbereichskorrektur. Wie oben erwähnt kann eine fotolithografische Erfindung einer optischen Nahbereichskorrektur (OPC) gemäß der vorliegenden Anmeldung verwendet werden, umn Submikron-Grabenbreiten und -Mesabreiten in der Vertiefung 152 leicht zu erreichen. Ferner bietet die OPC-Erfindung im Wesentlichen einheitliche Breiten in den Mesas und den Gräben, wenn sie sich von dem zentralen Bereich des Chips zu der Vertiefung 152 bewegen. Dies ist wichtig, da die Erfinder herausfanden, dass Abweichungen der Mesabreiten zu einem unerwünschten Unterschied der Durchbruchspannungen des zentralen Bereichs (d. h. des aktiven Gebiets der Vorrichtung) und des Bereichs der Vertiefung 152 (d. h. des Abschlussgebiets) führen. Typischerweise kann eine Verengung der Mesabreite in dem Abschlussgebiet bewirken, dass das Abschlussgebiet relativ zu denn aktiven Gebiet eine geringere Durchbruchspannung aufweist. Andererseits könnte, wenn die Mesabreite zu breit ist, eine geringere Abschirmung des Vorrichtungsbereichs an der Oberseite der Mesa stattfinden, was zu einem höheren Sperrspannungsleckstrom und/oder einer geringeren Durchbruchspannung führt. Die OPC-Erfindung wird beschrieben, nachdem einige Hintergrundinformationen über Fotomasken und Fotolackschichten bereitgestellt wurden.
  • Um die Kosten zu verringern und den Durchsatz zu erhöhen, werden mehrere Halbleiterchips zusammen an einem Halbleiter-Wafer hergestellt und nach der Herstellung von dem Wafer getrennt. Zu Beginn der Halbleiterindustrie enthielt jede beim Herstellungsprozess verwendete Fotomaske die Strukturen für alle Chips am Wafer, wobei jede Fotomaske Ausrichtungsmarkierungen aufwies, die sich an ihren äußeren Rändern befanden und an Ausrichtungsmarkierungen ausgerichtet wurden, die während der anfänglichen Herstellungsstufe in dem Wafer hergestellt wurden. Aufgrund der natürlichen Durchbiegung des Wafers und aufgrund von Auswirkungen einer thermischen Ausdehnung während der Herstellung schränkte dieser Ansatz die minimalen Merkmalsgrößen ein, die erreicht werden konnten.
  • Heutzutage liegt die Mehrheit von Fotomasken, die in der Halbleiterindustrie verwendet werden, in Form von Retikeln vor, wobei ein Retikel die Abbildungsmuster für eine kleine Anzahl der Halbleiterchips an dem Wafer für eine Verarbeitungsstufe bereitstellt. Die maximale Größe des Retikels ist typischerweise durch die Lithografiegeräte auf einen Bereich von 2,5 mm mal 2,5 mm begrenzt. Ein Hersteller passt typischerweise so viele Chips und Chipstraßen wie möglich in diesen maximalen Bereich ein und stimmt dann die Abmessungen des Retikels ab, um eine ganze Zahl von Chips und Chipstraßen in jeder Retikelabmessung anzupassen. (Wie es in der Technik bekannt ist, ist eine Chipstraße ein Streifen eines nicht verwendeten Wafer-Raums, der benachbarte Chips begrenzt, und ist sie der Bereich, der weggesägt wird, um die Chips nach der Herstellung von dem Wafer zu entfernen [engl.: ”removed”]). Um jedoch eine bessere Merkmalsauflösung zu erreichen, kann ein Hersteller sich dazu entscheiden, nur einen Chip und zwei Chipstraßen in einem Retikel, oder nur wenige Chips und entsprechende Chipstraßen in dem Retikel anzuordnen und die maximale Retikelgröße nicht vollständig zu nutzen. Ungeachtet der Anzahl der Chips werden die durch ein Retikel abgedeckten Chips als ”Chipgruppe” bezeichnet. Während eines bestimmten Fotobelichtungsprozesses wird das Fotomaskenretikel durch einen Stepper oder Stepper-Scanner (die Teile lithografischer Geräte sind) schrittweise über den Wafer geführt, um individuell das Gebiet jeder Chipgruppe an dem Wafer zu belichten. Das Retikel wird in dem Stepper oder Stepper-Scanner angebracht, und der Wafer wird grob an dem Stepper oder Stepper-Scanner ausgerichtet, was grob den Wafer an dem Retikel ausrichtet. Dann richtet der Stepper oder Stepper-Scanner das Retikel präzise an jedem Chipgruppengebiet an dem Wafer aus, und zwar kurz bevor jedes Chipgruppengebiet einer aktinischen Strahlung ausgesetzt wird, die durch das Retikel geführt wird. Das Retikel wird typischerweise mit zwei oder mehr Ausrichtungsmarkierungen bereitgestellt, die an jeweiligen Ausrichtungsmarkierungen an dem Wafer oder dem Chipgruppengebiet auszurichten sind, und der Scanner oder Stepper kann die Position des Wafers in Bezug auf das Retikel anpassen, um die beste Gesamtanpassung der Ausrichtungsmarkierungen des Retikels an den entsprechenden Markierungen an dem Chipgruppengebiet bereitzustellen. Die Ausrichtungsmarkierungen können Teil der Muster sein, die die Komponenten einiger der Chips in einer Chipgruppe bilden (z. B. Umfänge einiger der Leistungsvorrichtungen), oder sie können separat sein. Typischerweise werden die Ausrichtungsmarkierungen in den Chipstraßen benachbart zu zwei oder mehreren der äußersten Chips in der Chipgruppe angeordnet oder in den Chipstraßen benachbart zu jedem Chip der Chipgruppe angeordnet. Ohne Verlust der Allgemeingültigkeit ist diese Erfindung mit den Ausrichtungsmarkierungen dargestellt, die in den Chipstraßen angeordnet sind. Diese Ausrichtungsmarkierungen können jede in der Technik bekannte Form annehmen und werden hierin zur visuellen Vereinfachung als Kreuzmarkierungen bezeichnet. Es sei jedoch angemerkt, dass die in der Beschreibung und den Ansprüchen genannten Ausrichtungsmarkierungen alle bekannten und möglichen Ausrichtungsmarkierungen abdecken. Ungeachtet dessen, welche Form die Ausrichtungsmarkierungen annehmen, liegen die Abstandsdistanzen zwischen ihnen in der Größenordnung der Abmessungen des Retikels, nicht des Wafers, was die Auswirkungen der thermischen Ausdehnung und der Durchbiegung, die zuvor die minimalen Merkmalsgrößen einschränkten, erheblich minimiert.
  • Während Fotolacke eine große Vielzahl an chemischen Formeln umfassen, können sie in zwei Basisgruppen kategorisiert werden: so genannte ”Positiv”-Fotolacke und ”Negativ”-Fotolacke. Wenn ein Abschnitt eines Positivfotolacks einer aktinischen Strahlung ausgesetzt wird, kann er von dem Halbleiter-Wafer durch eine Entwicklerlösung entfernt werden. Es ist ein Schwellenwertumfang einer Dosierung der aktinischen Strahlung erforderlich, um das Entfernen des ausgesetzten Fotolacks zu ermöglichen, wobei die Dosierung der zeitlich integrierte Umfang der Strahlungsintensität ist. Ein Abschnitt des Positivfotolacks, der nicht der aktinischen Strahlung oberhalb des Schwellenwertumfangs ausgesetzt wird, kann nicht durch den Entwickler entfernt werden und bleibt am Wafer. Die Fotomaske für einen Positivfotolack weist daher durchlässige Gebiete, um die Orte des Fotolacks zu definieren, die durch die Entwicklerlösung entfernt werden sollen, und opake Gebiete auf, um die Orte des Fotolacks zu definieren, die an dem Wafer bleiben sollen. Umgekehrt kann, wenn ein Abschnitt eines Negativfotolacks einer aktinischen Strahlung oberhalb eines Schwellenwertdosierungsumfangs ausgesetzt wird, dieser nicht durch eine Entwicklerlösung von dem Halbleiter-Wafer entfernt werden und verbleibt er am Wafer. Ein Abschnitt des Negativfotolacks, der nicht einer aktinischen Strahlung oberhalb des Schwellenwertdosierungsumfangs ausgesetzt wird, kann jedoch durch den Entwickler entfernt werden. Die Fotomaske für einen Negativfotolack weist daher durchlässige Gebiete, um die Orte des Fotolacks, die verbleiben sollen, zu definieren, und opake Gebiete auf, um die Orte des Fotolacks zu definieren, die durch die Entwicklerlösung entfernt werden sollen. Somit würde eine Fotomaske für einen Negativfotolack im Wesentlichen das Negativbild der Fotomaske für einen Positivfotolack umfassen, um das gleiche Bild in einem Wafer zu bilden.
  • Während heutzutage üblicherweise Positivfotolacke verwendet werden, kann die Erfindung einer optischen Nahbereichskorrektur der vorliegenden Anmeldung sowohl mit Positiv- als auch Negativfotolacken verwendet werden. Um beide Typen von Fotolacken einzubeziehen, geben die Beschreibung und die Ansprüche hierin an, dass eine Maske Gebiete zum Definieren von entsprechenden Gebieten in dem Fotolack aufweist, wobei zu verstehen ist, dass die Opazität (z. B. transparent oder opak) der Maskengebiete auf der Grundlage der Art des Fotolacks ausgewählt wird, um die Definition der angegebenen Gebiete in dem Fotolack bereitzustellen. Um diese Erläuterung abzuschließen, wird angemerkt, dass, sobald ein Fotolack strukturiert, entwickelt und für seinen vorgesehenen Maskierungszweck verwendet wurde, er ungeachtet seiner Art durch ein geeignetes Lösungsmittel oder Ätzmittel (z. B. Plasmaätzen) entfernt werden kann.
  • 11 zeigt eine Draufsicht einer beispielhaften Fotomaske 200 in Retikelform. zum Definieren der Vertiefung 152 in der Halbleitervorrichtung 100. Für eine visuelle Verdeutlichung und ohne Verlust der Allgemeingültigkeit weisen die hierin gezeigten und beschriebenen Fotomasken eine Chipgruppe mit einem einzelnen Chip und benachbarten Chipstraßen, die den einzelnen Chip umgeben, auf, wobei die Chipstraßen die Hälfte ihrer normalen Breiten umfassen. Bei jeder der hierin gezeigten Fotomasken ist der Bereich des Chips durch Bezugszeichen 205 angegeben und sind die Chipstraßen durch Bezugszeichen 206 angegeben. Die Fotomaske 200 umfasst ein Gebiet 210 zum Definieren der Vertiefung 152. Das Gebiet 210 umfasst die Form eines rechteckigen Rings mit einem äußeren Umfangsrand 211 und einem inneren Umfangsrand 212. Da die Vertiefung 152 durch Ätzen gebildet wird, wird die Opazität des Gebiets 210 auf der Grundlage der Art der Fotolackschicht ausgewählt, um den Fotolack an Orten zu entfernen [engl.: ”removed”], an denen die Vertiefung 152 ausgebildet werden soll. Somit ist das Gebiet 210 für einen Positivfotolack durchlässig und für einen Negativfotolack opak. Der äußere Umfangsrand 211 oder der innere Umfangsrand 212 des Gebiets 210 und die entsprechenden Umfangsränder der Vertiefung 152 können verwendet werden, um nachfolgende Masken auszurichten. Beispielsweise stellen die Ecken jedes äußeren Umfangsrands vorgegebene Ausrichtungsmarkierungen bereit, die für nachfolgende Fotomasken verwendet werden können. Ohne Verlust der Allgemeingültigkeit werden zwei Ausrichtungsmarkierungen 201 und 202, die sich in den Chipstraßen 206 und an gegenüberliegenden diagonalen Ecken des Chipbereichs 205 befinden, verwendet. Nach der Fotobelichtung und dem Ätzen hinterlassen diese Ausrichtungsmarkierungen entsprechende Ausrichtungsmarkierungen in der Oberfläche des Wafers, an denen die Ausrichtungsmarkierungen nachfolgender Fotomasken ausgerichtet werden können. Nach der Fotobelichtung und dem Ätzen wird auch folgendes an der ersten Oberfläche des Wafers ausgebildet: ein erster Bereich (z. B. die in 1 gezeigte Vertiefung 152) einer ersten Höhe, ein zweiter Bereich einer zweiten Höhe benachbart zu dem ersten Bereich (der den in 1 gezeigten aktiven Bereich 120 umfasst) und ein Umfangsrand zwischen dem ersten und zweiten Bereich. Bei der Vorrichtung 100 (gezeigt in 1) liegt die erste Höhe unter der zweiten Höhe. (Nachstehend wird ein Beispiel bereitgestellt, bei dem die erste Höhe über der zweiten Höhe liegt.)
  • 12 zeigt eine Draufsicht einer beispielhaften Fotomaske 220 in Retikelform zum Definieren der Gräben 122, 162 und 166 in der Halbleitervorrichtung 100. Die Fotomaske 220 weist zwei Ausrichtungsmarkierungen 221 und 222 für eine Ausrichtung an den Markierungen auf, die in der Wafer-Fläche durch die Ausrichtungsmarkierungen 201 und 202 verbleiben. Für eine Bezugnahme sind die inneren und äußeren Umfangsränder der Vertiefung 152 in der Figur durch gestrichelte Linien dargestellt. Der innere Umfangsrand der Vertiefung 152 entspricht dem zuvor genannten Umfangsrand zwischen dem ersten und zweiten Bereich. Die Ausrichtungsmarkierungen 221 und 222 decken sich im Wesentlichen mit den Ausrichtungsmarkierungen 211 und 212 der Fotomaske 200, wenn die Fotomasken 200 und 220 miteinander ausgerichtet sind. Somit entsprechen die Ausrichtungsmarkierungen 221 und 222 der Fotomaske 220 den Ausrichtungsmarkierungen 211 und 212 der Fotomaske 200. Die Fotomaske 220 ist für Positivfotolacke geeignet. Die Fotomaske 220 umfasst eine Anordnung von ersten streifenförmigen Gebieten 232 zum Definieren der mittleren der Gräben 122 (gezeigt in 2), zwei zweite streifenförmige Gebiete 234 zum Definieren der äußeren der Gräben 122 (d. h. jener an den Außenseiten der Anordnung von Gräben 122), zwei weitere zweite streifenförmige Gebiete 236 zum Definieren der Endgräben 162 (gezeigt in 2) und zwei dritte streifenförmige Gebiete 238 zum Definieren der Endgräben 166 (gezeigt in 2).
  • Jedes der streifenförmigen Gebiete 232 weist einen distalen Abschnitt ganz links, der innerhalb des Abschnitts ganz links der Vertiefung 152 (des zuvor genannten ersten Bereichs) auszurichten ist, einen distalen Abschnitt ganz rechts, der innerhalb des Abschnitts ganz rechts der Vertiefung 152 auszurichten ist, und einen mittleren Abschnitt auf, der außerhalb des vertieften Gebiets und innerhalb des zuvor genannten zweiten Bereichs auszurichten ist. Jeder distale Abschnitt des streifenförmigen Gebiets 232 weist eine erste Breite W1 auf, und der mittlere Abschnitt weist eine zweite Breite W2 auf, die sich von der ersten Breite unterscheidet. Für Positivfotolacke ist die Breite W1 größer als die Breite W2, wenn der erste Bereich der Wafer-Oberfläche unter dem zweiten Bereich der Wafer-Oberfläche vertieft ist. Die Erfinder fanden heraus, dass in den distalen Abschnitten der streifenförmigen Gebiete 232 aufgrund der größeren Distanz zwischen der Fokusebene des Musters für die Vertiefung 152 eine Defokussierung der aktinischen Strahlung auftritt, was eine Verringerung der Intensität der Strahlung an den Rändern der distalen Abschnitte bewirkt. Die Erfinder fanden heraus, dass, wenn die Breiten W1 und W2 gleich gemacht werden, die Fotolackschicht möglicherweise nicht geeignet strukturiert werden kann, um die Gräben 122 auszubilden, wobei das Fotolackmaterial den Grabenbereich überbrückt, der den distalen Abschnitten der Gräben 122 und der streifenförmigen Gebiete 232 entspricht. Die Erfinder fanden auch heraus, dass, wenn die Dosierung der Strahlung erhöht wurde, um diesen Überbrückungseffekt zu verhindern, die Abmessungen des Fotolacks für die mittleren Abschnitte (W2) der Gräben zu breit werden. Dadurch, dass die Breite W1 größer als die Breite W2 gemacht wird, wird die Intensität der aktinischen Strahlung an den vorgesehenen Rändern des Grabenbereichs, die den distalen Abschnitten der streifenförmigen Gebiete 232 entsprechen, erhöht, und kann die Fotolackschicht in den distalen Abschnitten der Gräben 122 und der streifenförmigen Gebiete 232 geeignet definiert werden. Nachdem der Fotolack belichtet und entwickelt wurde, um Gräben in der Fotolackschicht auszubilden, wobei zwei verschiedene Breiten W1 und W2 in der Fotomaske verwendet werden, ist die Breite der Fotolackgräben, die mit Breite W1 strukturiert sind, somit gleich der Breite der mit Breite W2 strukturierten Fotolackgräben oder stimmt sie näher mit dieser überein. Dann können die Gräben in der Fotolackschicht durch einen Ätzprozess (oben beschrieben) mit im Wesentlichen einheitlichen Breiten in der Vertiefung 152 und dem zentralen Bereich des Chips auf den Halbleiter-Wafer übertragen werden.
  • Die Erfinder fanden heraus, dass die Auswirkungen der defokussierten aktinischen Strahlung in dem Bereich der Vertiefung 152 von dem inneren Umfangsrand der Vertiefung 152 um eine Distanz DF in Richtung der Mitte der Grabenanordnung nach innen gelangen, was in der Nähe der oberen linken Ecke der Maske 220 gezeigt ist. Der Wert von DF variiert aufgrund der optischen Eigenschaften des Steppers und Stepper-Scanners, der Abmessungen der Gräben, der Dicke des Fotolacks und der Eigenschaften des Fotolacks und des Entwicklers. Der Wert von DF liegt in der Größenordnung von einem Mikrometer, wobei man jedoch annimmt, dass er von null Mikrometer bis 10 Mikrometer variieren kann. Um den Auswirkungen der Defokussierung über der Distanz DF entgegenzuwirken, können die Abschnitte der streifenförmigen Gebiete 232, die außerhalb des Bereichs der Vertiefung 152 (des zuvor genannten ersten Bereichs), jedoch innerhalb der Distanz DF des inneren Umfangsrands der Vertiefung 152 liegen, mit Breite W1 hergestellt werden, wie es in der Figur gezeigt ist. Dies kann so gesehen werden, dass jedes streifenförmige Gebiet 232 ein drittes Gebiet mit Breite W1 aufweist, das zwischen jedem distalen Abschnitt und mittleren Abschnitt des streifenförmigen Gebiets 232 angeordnet ist. Jeder dritte Abschnitt kann eine Breite aufweisen, die gleich W1 ist oder gleich einem Wert ist, der zwischen den Breiten W1 und W2 liegt. Die Breite des dritten Abschnitts liegt typischerweise näher an W1 als an W2. Die Länge jedes dritten Abschnitts kann gleich DF sein oder etwas kleiner oder etwas größer. Diese Distanz kann zwischen null und zehn Mikrometer variieren, variiert jedoch typischerweise zwischen 0,2 Mikrometer und 5 Mikrometer, und noch typischer zwischen 0,5 Mikrometer und 2 Mikrometer. Die Breite jedes dritten Abschnitts kann sich auch von W1 zu W2 verjüngen, wobei die Realisierung solch einer Verjüngung jedoch mit Abmessungen auf Submikron-Niveau mit derzeitigen Verarbeitungsgeräten im Allgemeinen schwierig ist.
  • Der Wert von W1 und W2 für eine bestimmte Verarbeitungsbedingung kann durch einen Fachmann ohne übermäßige Tests durch Herstellen mehrerer Testfotomasken mit mehreren entsprechenden Auswahlen von W1 für einen gewünschten Wert von W2 ermittelt werden, wobei sich die Teilungslinie zwischen den Breiten W1 und W2 außerhalb der Vertiefung 152 und etwa einen Mikrometer von dem inneren Umfangsrand der Vertiefung 152 entfernt befindet. Diese Testfotomasken können dann an einem Satz von jeweiligen Testchips verwendet werden, die Vertiefungen 152 aufweisen, wobei die Qualität der Fotolackschichten, die aus den Testfotomasken resultieren, beobachtet werden kann und der Chip (und sein Wert von W1), der die beste Fotolackauflösung in der Vertiefung 152 bereitstellt, ausgewählt werden kann. Der Wert von DF kann dann aus einem anderen Satz von Testfotomasken geschätzt werden, die die ausgewählten Werte von W1 und W2 verwenden, wobei jedoch die Abstandsdistanz zwischen den Teilungslinien zwischen den Breiten W1 und W2 und dem inneren Umfangsrand der Vertiefung 152 variiert wird. Dieser zweite Satz von Testfotomasken kann dann an einem zweiten Satz von jeweiligen Testchips, die Vertiefungen 152 aufweisen, verwendet werden. Die Qualität der Fotolackschichten, die aus diesen Testfotomasken resultieren, kann beobachtet werden, und der Chip, der die beste Fotolackauflösung um den inneren Umfang der Vertiefung 152 herum bereitstellt, kann ausgewählt werden, um den Wert von DF bereitzustellen (d. h., die Abstandsdistanz zwischen den Breiten W1 und W2 und dem inneren Umfangsrand der Vertiefung 152 dieses besten Testchips liefert den geschätzten Wert von DF).
  • Da die Gräben 162 und 166 (2) in der Vertiefung 152 (dem zuvor genannten ersten Bereich) liegen, liegt der Wert der Breiten der zweiten streifenförmigen Gebiete 236 und der dritten streifenförmigen Gebiete 238 näher an W1 als an W2 und wird er vorzugsweise auf W1 gesetzt. Wenn die äußeren der Gräben (d. h. jene an den Außenseiten der Anordnung von Gräben 122) innerhalb der Distanz DF des inneren Umfangsrands der Vertiefung 152 liegen, werden die Breiten der zweiten streifenförmigen Gebiete 234 vorzugsweise auf W1 gesetzt, wie es in 12 gezeigt ist. Die Breiten der streifenförmigen Gebiete 234 können jedoch Werte zwischen W1 und W2 aufweisen und können Werte aufweisen, die näher an W1 als an W2 liegen.
  • 13 zeigt eine Draufsicht einer beispielhaften Fotomaske 220 in Retikelform zum Definieren der Gräben 122, 162 und 166 in der Halbleitervorrichtung 100 unter Verwendung eines Negativfotolacks. Die Fotomaske 220' weist zwei Ausrichtungsmarkierungen 221' und 222' für eine Ausrichtung an den Markierungen auf, die in der Wafer-Oberfläche durch die Ausrichtungsmarkierungen 201 und 202 verbleiben. Für eine Bezugnahme sind der innere und der äußere Umfangsrand der Vertiefung 152 in der Figur durch gestrichelte Linien gezeigt. Die Ausrichtungsmarkierungen 221' und 222' decken sich im Wesentlichen mit den Ausrichtungsmarkierungen 211 und 212 der Fotomaske 200, wenn die Fotomasken 200 und 220' miteinander ausgerichtet sind. Somit entsprechen die Ausrichtungsmarkierungen 221' und 222' der Fotomaske 220' den Ausrichtungsmarkierungen 211 und 212 der Fotomaske 200. Die Fotomaske 220' umfasst eine Anordnung von ersten streifenförmigen Gebieten 232' zum Definieren der mittleren der Gräben 122 (gezeigt in 2), zwei zweite streifenförmige Gebiete 234' zum Definieren der Äußeren der Gräben 122 (d. h. jener an den Außenseiten der Anordnung der Gräben 122), zwei zusätzliche zweite streifenförmige Gebiete 236' zum Definieren der Endgräben 162 (gezeigt in 2) und zwei dritte streifenförmige Gebiete 238' zum Definieren der Endgräben 166 (gezeigt in 2). Somit haben die streifenförmigen Gebiete 232', 234', 236' und 238' der Fotomaske 220' den gleichen Zweck wie die streifenförmigen Gebiete 232, 234, 236 und 238 der Fotomaske 220. Die Abmessungen der Abschnitte der streifenförmigen Gebiete unterscheiden sich jedoch.
  • Jedes der streifenförmigen Gebiete 232' weist einen distalen Abschnitt ganz links, der innerhalb des Abschnitts ganz links der Vertiefung 152 (des zuvor genannten ersten Bereichs) auszurichten ist, einen distalen Abschnitt ganz rechts, der innerhalb des Abschnitts ganz rechts der Vertiefung 152 auszurichten ist, und einen mittleren Abschnitt, der außerhalb des vertieften Gebiets in dem zentralen Bereich des Chips (dem zuvor genannten zweiten Bereich) auszurichten ist, auf. Jeder distale Abschnitt des streifenförmigen Gebiets 232' weist eine erste Breite W1' auf, und der mittlere Abschnitt weist eine zweite Breite W2' auf. Wenn der erste Bereich der Wafer-Oberfläche unter dem zweiten Bereich der Wafer-Oberfläche vertieft ist, ist die zweite Breite W2 größer als die Breite W1. Diese Beziehung ist das Gegenteil der Beziehung zwischen W1 und W2 bei der Fotomaske 220 und basiert auf der komplementären Natur von Positiv- und Negativfotolacken.
  • Die Fotomaske 220' weist eine Distanz DF' auf, die die gleiche Ursache und den gleichen Zweck wie die Distanz DF der Fotomaske 220 aufweist, obwohl sie aufgrund der unterschiedlichen Eigenschaften des Negativfotolacks einen etwas anderen Wert aufweisen kann [engl.: ”may has”]. Trotzdem kann die Distanz DF' die gleichen Bereiche wie oben für die Distanz DF genannt aufweisen. Um den Auswirkungen der Defokussierung über der Distanz DF' entgegenzuwirken, können die Abschnitte der streifenförmigen Gebiete 232', die außerhalb des Bereichs der Vertiefung 152, jedoch innerhalb der Distanz DF' des inneren Umfangsrands der Vertiefung 152 liegen, mit Breite W1' hergestellt werden, wie es in der Figur gezeigt ist. Dies kann so gesehen werden, als hätte jedes streifenförmige Gebiet 232' ein drittes Gebiet der Breite W1', das zwischen jedem distalen Abschnitt und mittleren Abschnitt des streifenförmigen Gebiets 232' angeordnet ist. Jeder dritte Abschnitt kann eine Breite aufweisen, die gleich W1' ist oder gleich einem Wert ist, der zwischen den Breiten W1' und W2' liegt. Die Länge jedes dritten Abschnitts kann gleich DF' oder etwas kleiner oder etwas größer sein. Diese Distanz kann zwischen null und zehn Mikrometer variieren, variiert jedoch typischerweise zwischen 0,2 Mikrometer und 5 Mikrometer, und am typischsten zwischen 0,5 Mikrometer und 2 Mikrometer. Die Breite jedes dritten Abschnitts kann sich auch von W1 zu W2' verjüngen. Da die Gräben 162 und 166 (2) in der Vertiefung 152 liegen, werden die Breiten der zweiten streifenförmigen Gebiete 236' und der dritten streifenförmigen Gebiete 238' vorzugsweise auf W1' gesetzt. Wenn die Äußeren der Gräben (d. h. jene an den Außenseiten der Anordnung von Gräben 122) innerhalb der Distanz DF' des inneren Umfangsrands der Vertiefung 152 liegen, werden die Breiten der zweiten streifenförmigen Gebiete 234' vorzugsweise auf W1' gesetzt, wie es in 13 gezeigt ist. Die Breiten der streifenförmigen Gebiete 234', 236' und 238' können jedoch Werte zwischen W1 und W2 aufweisen und können Werte aufweisen, die näher an W1 als an W2 liegen.
  • Die Werte von W1', W2' und DF' der Fotomaske 220' können durch die gleichen experimentellen Verfahren geschätzt werden wie jene, die oben für W1, W2 und Dr der Fotomaske 220 beschrieben. sind. Die Fotomaske 220' ist auch für so genannte ”negativ fungierende” Positivfotolacke geeignet, die belichtet werden, als wären sie Negativfotolacke, jedoch nach dem Belichten für eine Entwicklung als Positivbilder durch den Entwickler behandelt werden.
  • Die Erfindung einer optischen Nahbereichskorrektur der vorliegenden Anmeldung kann auf andere Vorrichtungskonfigurationen angewandt werden, bei denen sich ein Mesagebiet in dem ersten Bereich anstatt der Vertiefung 152 befindet. Solch ein Fall ist in dem Querschnitt von 14 eines Vorrichtungschips vor der Ausbildung der Gräben gezeigt. Die fertige Vorrichtung ist in 15 bei 100' gezeigt. Der Vorrichtungschip weist keine Vertiefung 152 auf und weist eine p-dotierte Isolationswanne 156' mit einer Oberfläche auf, die mit der Oberfläche der Halbleiterschicht 106 im Wesentlichen bündig sein kann. Wieder auf 14 Bezug nehmend wird vor dem Ausbilden der Gräben eine Oxidschicht über den Oberflächen der Wanne 156' und der Halbleiterschicht 106 angeordnet, wobei die Oxidschicht einen erhöhten Bereich über der Wanne 156' aufweist. Diese Struktur kann aus dem Verwenden einer strukturierten Schicht von p-dotiertem BPSG-Glas zum Bereitstellen der Dotierung für die Wanne 156' resultieren. Nach dem Abscheiden kann die BPSG-Schicht durch eine Fotolackschicht geätzt werden, die durch die in 11 gezeigte Maske 200 strukturiert wurde. Die strukturierte BPSG-Schicht kann erwärmt werden, um sie auszuheilen und um Dotiermittel in die Halbleiterschicht zu diffundieren, um die Wanne 156' auszubilden. Während des Ausheilens können Umgebungen mit Dampf und/oder trockenem Sauerstoff eingeführt werden, um eine Oxidschicht über dem zentralen Abschnitt des Chips aufzuwachsen. Nach dem Ausheilen kann der Mesabereich der Oxidschicht eine Dicke aufweisen, die von 3000 Angström bis 13.000 Angström reicht und kann der zentrale Bereich eine Dicke von 1500 Angström bis 2500 Angström (wobei 2000 Angström typisch sind) aufweisen.
  • Dann kann eine Fotolackschicht über der Oxidschicht ausgebildet werden, über eine Fotomaske mit aktinischer Strahlung strukturiert werden und entwickelt werden, um Fotolackgräben zu hinterlassen, die verwendet werden können, um die Oxidschicht zu ätzen. Die strukturierte Oxidschicht kann dann mit einem Ätzmittel für eine Ausbildung in der Halbleiteroberfläche verwendet werden. Da der zentrale Bereich niedriger ist als der Mesabereich, treten die zuvor genannten Defokussierungseffekte in dem Fotolack in dem zentralen Bereich und nicht in dem Umfangsbereich (z. B. dem Bereich der Vertiefung 152 für die Vorrichtung 100, gezeigt in 1) auf. Demgemäß ist die vorherige Beziehung zwischen W1 und W2 der Fotomaske umgekehrt. Das heißt, für Positivfotolacke ist die Breite W1 in diesem Fall kleiner als die Breite W2, wenn der Mesabereich (z. B. der zuvor genannte erste Bereich der Wafer-Oberfläche) über dem zentralen Bereich (z. B. dem zuvor genannten zweiten Bereich der Wafer-Oberfläche) liegt. Demgemäß kann eine Fotomaske mit dem Negativbild der Fotomaske 220' verwendet werden [engl.: ”may be use”], um den Positivfotolack zu strukturieren. Bei Negativfotolacken ist die Breite W1 in diesem Fall größer als die Breite W2, und es kann eine Fotomaske mit dem Negativbild der Fotomaske 220 verwendet werden [engl.: ”may be use”], um den Negativfotolack zu strukturieren.
  • Eine Angabe von ”ein(e)” und ”der/die/das” soll ein(e) oder mehrere bedeuten, wenn dies nicht ausdrücklich gegenteilig angegeben ist.
  • Die Begriffe und Ausdrücke, die hierin verwendet wurden, werden als Begriffe der Beschreibung und nicht der Einschränkung verwendet, und es besteht bei der Verwendung solcher Begriffe und Ausdrücke nicht die Absicht, Äquivalente der gezeigten und beschriebenen Merkmale auszuschließen, da zu erkennen ist, dass innerhalb des Umfangs der beanspruchten Erfindung verschiedene Abwandlungen möglich sind.
  • Während die verschiedenen Ausführungsformen von einigen der Erfindungen zumeist im Kontext von N-Kanal-Grabenabschirmungsvorrichtungen beschrieben sind, können die Ausführungsformen gemäß diesen Erfindungen als P-Kanal-Grabenabschirmungsvorrichtungen realisiert sein, wobei die Leitfähigkeitstypen der Schichten und Gebiete umgekehrt werden. Ferner können, während einige der Ausführungsformen einiger der Erfindungen unter Verwendung von Schottky-Barrierengleichrichtern gezeigt wurden, die Ausführungsformen gemäß dem vorliegenden Gegenstand mit MOSFET-Aufbauten, IGBT-Aufbauten, BJT-Aufbauten, synchronen Gleichrichtern mit abgeschirmtem Gate (z. B. MOSFET mit abgeschirmtem Gate und Schottky, die integriert sind) und Superjunction-Abwandlungen der hierin beschriebenen Vorrichtungen (z. B. Vorrichtungen mit Spalten von Silizium mit abwechselndem Leitfähigkeitstyp) realisiert sein.
  • Ferner können ein oder mehrere Merkmale einer oder mehrerer Ausführungsformen der Erfindungen mit einem oder mehreren Merkmalen anderer Ausführungsformen der Erfindung kombiniert werden, ohne von dem Schutzumfang der Erfindung abzuweichen.
  • Während die vorliegende Erfindung insbesondere in Bezug auf die gezeigten Ausführungsformen beschrieben wurde, sei angemerkt, dass verschiedene Änderungen, Abwandlungen, Anpassungen und äquivalente Anordnungen auf der Grundlage der vorliegenden Offenbarung vorgenommen werden können (z. B. können verschiedene Änderungen durchgeführt werden und können Äquivalente für eine Anpassung an bestimmte Situationen für Elemente hiervon eingesetzt werden), und dass diese als innerhalb des Schutzumfangs der Erfindung und der beigefügten Ansprüche liegend zu betrachten sind.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 6680232 [0035]

Claims (61)

  1. Halbleitervorrichtung, umfassend: ein Halbleitergebiet mit einer Oberfläche; einen ersten Bereich des Halbleitergebiets; ein Wannengebiet eines ersten Leitfähigkeitstyps, das in dem Halbleitergebiet und um den ersten Bereich herum angeordnet ist; und mehrere Gräben, die sich in einem Halbleitergebiet erstrecken, wobei jeder Graben ein erstes Ende, das in einem ersten Abschnitt des Wannengebiets angeordnet ist, ein zweites Ende, das in einem zweiten Abschnitt des Wannengebiets angeordnet ist, und einen mittleren Abschnitt zwischen dem ersten und zweiten Ende, der in dem ersten Bereich angeordnet ist, aufweist, wobei jeder Graben ferner gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, aufweist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei zumindest ein Abschnitt des Wannengebiets eine Oberfläche aufweist, die unter der Oberfläche des Halbleitergebiets vertieft ist, und wobei die Böden des ersten und zweiten Endabschnitts jedes Grabens unter dem Boden des mittleren Abschnitts des Grabens liegen.
  3. Halbleitervorrichtung nach Anspruch 1, wobei die Gräben parallel zueinander angeordnet sind.
  4. Halbleitervorrichtung nach Anspruch 3, ferner umfassend einen ersten Endgraben, der in dem Wannengebiet angeordnet ist, wobei der erste Endgraben ein erstes Ende, ein zweites Ende, gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, aufweist, wobei der erste Endgraben ferner an einer Seite der mehreren Gräben und parallel zu den mehreren Gräben angeordnet ist.
  5. Halbleitervorrichtung nach Anspruch 4, wobei der erste Endgraben eine Breite aufweist, die in dem Bereich von 0,8 mal bis 1,2 mal der Breite eines Grabens der mehreren Gräben liegt.
  6. Halbleitervorrichtung nach Anspruch 4, ferner umfassend eine erste Mesa, die zwischen zwei benachbarten Gräben der mehreren Gräben angeordnet ist, und eine zweite Mesa, die zwischen dem ersten Endgraben und den mehreren Gräben angeordnet ist, wobei die zweite Mesa eine Breite aufweist, die in dem Bereich von 0,8 mal bis 1,2 mal der Breite eines Grabens der ersten Mesa liegt.
  7. Halbleitervorrichtung nach Anspruch 4, ferner umfassend einen zweiten Endgraben, der in dem Wannengebiet angeordnet ist, wobei der zweite Endgraben ein erstes Ende, ein zweites Ende, gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, aufweist, wobei der zweite Endgraben ferner senkrecht zu den ersten Enden der mehreren Gräben angeordnet ist.
  8. Halbleitervorrichtung nach Anspruch 7, wobei die ersten Enden des ersten und zweiten Endgrabens miteinander verbunden sind.
  9. Halbleitervorrichtung nach Anspruch 8, wobei zumindest ein Abschnitt des Wannengebiets eine Oberfläche aufweist, die unter der Oberfläche des Halbleitergebiets vertieft ist, und wobei die Böden des ersten und zweiten Endabschnitts jedes Grabens unter dem Boden des mittleren Abschnitts des Grabens liegen, und wobei die Böden des ersten und zweiten Endgrabens unter dem Boden des mittleren Abschnitts von zumindest einem der anderen Gräben liegen.
  10. Halbleitervorrichtung nach Anspruch 3, ferner umfassend einen Endgraben, der in dem Wannengebiet angeordnet ist, wobei der Endgraben ein erstes Ende, ein zweites Ende, gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, aufweist, wobei der Endgraben ferner senkrecht zu den ersten Enden der mehreren Gräben angeordnet ist.
  11. Halbleitervorrichtung nach Anspruch 1, ferner umfassend einen Perimetergraben, der in dem Wannengebiet angeordnet ist und die mehreren Gräben umgibt.
  12. Halbleitervorrichtung nach Anspruch 1, ferner umfassend ein aktives Gebiet eines zweiten Leitfähigkeitstyps, der zu dem ersten Leitfähigkeitstyp entgegengesetzt ist, das in dem Halbleitergebiet und innerhalb des ersten Bereichs hiervon angeordnet ist.
  13. Halbleitervorrichtung nach Anspruch 1, wobei das Wannengebiet eine Oberfläche aufweist, die mit der Oberfläche des Halbleitergebiets bündig ist.
  14. Fotomaske zum Definieren mehrerer Gräben an einer ersten Oberfläche eines Halbleiter-Wafers, wobei der Halbleiter-Wafer einen ersten Bereich an seiner ersten Oberfläche, einen zweiten Bereich an seiner ersten Oberfläche und benachbart zu dem ersten Bereich und einen Umfangsrand zwischen dem ersten und zweiten Bereich aufweist, wobei der erste Bereich relativ zu dem zweiten Bereich an einer anderen Höhe liegt, wobei die Fotomaske umfasst: eine Ausrichtungsmarkierung zum Ausrichten der Fotomaske an dem Umfangsrand zwischen dem ersten und zweiten Bereich des Halbleiter-Wafers; eine Anordnung von streifenförmigen Gebieten zum Definieren mehrerer Gräben in der ersten Oberfläche des Halbleiter-Wafers, wobei jedes streifenförmige Gebiet einen ersten Abschnitt für eine Ausrichtung in dem ersten Bereich des Halbleiter-Wafers und einen zweiten Abschnitt für eine Ausrichtung in dem zweiten Bereich aufweist, wobei der erste Abschnitt des streifenförmigen Gebiets eine erste Breite aufweist und der zweite Abschnitt des streifenförmigen Gebiets eine zweite Breite aufweist, wobei sich die erste Breite von der zweiten Breite unterscheidet.
  15. Fotomaske nach Anspruch 14, wobei die erste Breite breiter ist als die zweite Breite.
  16. Fotomaske nach Anspruch 14, wobei die zweite Breite breiter ist als die erste Breite.
  17. Fotomaske nach Anspruch 14, wobei jedes streifenförmige Gebiet ferner einen dritten Abschnitt aufweist, der zwischen seinem ersten und zweiten Abschnitt angeordnet ist, wobei der dritte Abschnitt in dem zweiten Bereich des Halbleiter-Wafers auszurichten ist, jedoch mit einem Rand, der an dem Umfangsrand angrenzt, wobei der dritte Abschnitt eine Breite, die näher an der ersten Breite als an der zweiten Breite liegt, und eine Länge eines ersten Werts aufweist.
  18. Fotomaske nach Anspruch 17, wobei die Breite des dritten Abschnitts im Wesentlichen gleich der ersten Breite ist.
  19. Fotomaske nach Anspruch 17, wobei die Länge des dritten Abschnitts nicht mehr als 10 Mikrometer beträgt.
  20. Fotomaske nach Anspruch 17, wobei die Länge des dritten Abschnitts in einem Bereich liegt, der von 0,2 Mikrometer bis 5 Mikrometer reicht.
  21. Fotomaske nach Anspruch 17, wobei die Länge des dritten Abschnitts in einem Bereich liegt, der von 0,5 Mikrometer bis 2 Mikrometer reicht.
  22. Fotomaske nach Anspruch 17, wobei der Umfangsrand einen Abschnitt aufweist, der im Wesentlichen parallel zu der Anordnung von streifenförmigen Gebieten verläuft, wobei das streifenförmige Gebiet der Anordnung, das am Nächsten an dem Abschnitt des Umfangsrands liegt, derart auszurichten ist, dass es um zumindest den ersten Wert von dem Abschnitt beabstandet ist.
  23. Fotomaske nach Anspruch 17, wobei der Umfangsrand einen Abschnitt aufweist, der im Wesentlichen parallel zu der Anordnung von streifenförmigen Gebieten verläuft, wobei die Fotomaske ferner ein erstes streifenförmiges Gebiet umfasst, das an einer Seite der Anordnung von streifenförmigen Gebieten angeordnet ist, wobei das erste streifenförmige Gebiet derart auszurichten ist, dass es von dem Abschnitt des Umfangsrands um eine Distanz bestandet ist, die kleiner oder gleich dem ersten Wert ist, und wobei das erste streifenförmige Gebiet eine Breite aufweist, die näher an der ersten Breite als an der zweiten Breite liegt.
  24. Fotomaske nach Anspruch 23, wobei die Breite des ersten streifenförmigen Gebiets gleich der ersten Breite ist.
  25. Fotomaske nach Anspruch 23, wobei die Fotomaske ferner ein zweites streifenförmiges Gebiet umfasst, das benachbart zu dem ersten streifenförmigen Gebiet angeordnet ist, wobei das zweite streifenförmige Gebiet in dem zweiten Abschnitt des ersten Bereichs des Halbleiter-Wafers auszurichten ist, und wobei das zweite streifenförmige Gebiet eine Breite aufweist, die näher an der ersten Breite als an der zweiten Breite liegt.
  26. Fotomaske nach Anspruch 25, wobei die Breite des zweiten streifenförmigen Gebiets gleich der ersten Breite ist.
  27. Fotomaske nach Anspruch 14, ferner umfassend ein streifenförmiges Endgebiet, das benachbart zu ersten Abschnitten der mehreren streifenförmigen Gebiete angeordnet ist, wobei das streifenförmige Endgebiet innerhalb des ersten Bereichs des Halbleiter-Wafers auszurichten ist, und wobei das streifenförmige Endgebiet eine Breite aufweist, die näher an der ersten Breite als an der zweiten Breite hegt.
  28. Fotomaske nach Anspruch 27, wobei die Breite des streifenförmigen Endgebiets gleich der ersten Breite ist.
  29. Fotomaske nach Anspruch 14, wobei die Höhe des ersten Bereichs unter der Höhe des zweiten Bereichs liegt.
  30. Fotomaske nach Anspruch 14, wobei die Höhe des ersten Bereichs über der Höhe des zweiten Bereichs liegt.
  31. Fotomaske nach Anspruch 14, wobei zumindest eine der ersten und zweiten Breite kleiner als ein Mikrometer ist.
  32. Fotomaskensatz, umfassend: eine Fotomaske nach Anspruch 14; und eine zweite Fotomaske zum Definieren des ersten Bereichs in der ersten Oberfläche des Halbleiter-Wafers.
  33. Verfahren zum Herstellen einer Halbleitervorrichtung mit einem oder mehreren Gräben, wobei das Verfahren umfasst, dass: ein oder mehrere Anfangsgräben in ein Halbleitergebiet ausgebildet werden, wobei jeder Graben eine Bodenwand und eine oder mehrere Seitenwände aufweist; eine Opferoxidschicht an den Wänden der Anfangsgräben aufgewachsen wird; die Opferoxidschicht entfernt wird; eine Dielektrikumschicht an den Seiten- und Bodenwänden des einen oder der mehreren Gräben ausgebildet wird, nachdem die Opferoxidschicht entfernt wurde; und der eine oder die mehreren Gräben mit elektrisch leitendem Material gefüllt werden.
  34. Verfahren nach Anspruch 33, wobei das Ausbilden der Anfangsgräben ein Plasmaätzen des Halbleitergebiets mit einem fluorbasierten Gas durch eine strukturierte Ätzmaske umfasst.
  35. Verfahren nach Anspruch 34, wobei die strukturierte Ätzmaske eine strukturierte Fotolackschicht umfasst.
  36. Verfahren nach Anspruch 34, wobei die strukturierte Ätzmaske eine strukturierte Oxidschicht umfasst.
  37. Verfahren nach Anspruch 33, wobei das Ausbilden der Anfangsgräben ein Plasmaätzen des Halbleitergebiets mit einem chlorbasierten Gas durch eine strukturierte Ätzmaske umfasst.
  38. Verfahren nach Anspruch 37, wobei die strukturierte Ätzmaske eine strukturierte Fotolackschicht umfasst.
  39. Verfahren nach Anspruch 37, wobei die strukturierte Ätzmaske eine strukturierte Oxidschicht umfasst.
  40. Verfahren nach Anspruch 34, wobei das Plasmaätzen des Halbleitergebiets mit dem fluorbasierten Gas ein erstes Plasmaätzen ist, und wobei das Ausbilden der Anfangsgräben ferner umfasst, dass die Anfangsgräben einem zweiten Plasmaätzen ausgesetzt werden, das einen geringeren Energieeinfluss auf das Halbleitermaterial hat.
  41. Verfahren nach Anspruch 33, wobei das Ausbilden der Anfangsgräben umfasst: Plasmaätzen des Halbleitergebiets mit einem ersten Ätzmittelgas durch eine strukturierte Ätzmaske, wobei die Ätzeigenschaften des ersten Ätzmittelgases mehr anisotrop als isotrop sind, wobei das erste Ätzgas eine oder mehrere chemische Komponenten umfasst; und danach Plasmaätzen des Halbleitergebiets mit einem zweiten Ätzmittelgas, wobei die Ätzeigenschaften des zweiten Ätzmittelgases mehr isotrop sind als die Ätzeigenschaften des ersten Ätzmittelgases, wobei das zweite Ätzgas eine oder mehrere chemische Komponenten umfasst.
  42. Verfahren nach Anspruch 33, wobei das Aufwachsen der Opferoxidschicht umfasst, dass eine Oxidschicht bei einer Temperatur von etwa 1100°C oder höher aufgewachsen wird.
  43. Verfahren nach Anspruch 42, wobei die bei einer Temperatur von etwa 1100°C oder höher aufgewachsene Oxidschicht eine Dicke von 1000 Angström oder weniger aufweist.
  44. Verfahren nach Anspruch 33, das ferner umfasst, dass, nach dem Entfernen des Opferoxids, eine zweite Opferoxidschicht aufgewachsen wird und die zweite Opferoxidschicht entfernt wird.
  45. Verfahren nach Anspruch 33, wobei das Ausbilden einer dünnen Dielektrikumschicht umfasst, dass eine Oxidschicht an dem Grabenboden und den Seitenwänden bei einer Temperatur von 1100°C oder höher in einer Umgebung mit trockenem Sauerstoff, die mit einem oder mehreren Inertgasen verdünnt ist, aufgewachsen wird.
  46. Verfahren nach Anspruch 45, wobei die Oxidschicht bei einer Temperatur in dem Bereich von etwa 1125°C bis etwa 1200°C aufgewachsen wird, und wobei der Sauerstoff 10 Vol.-% bis 75 Vol.-% der gasförmigen Aufwachsumgebung umfasst.
  47. Verfahren nach Anspruch 45, wobei die Oxidschicht bei einer Temperatur in dem Bereich von etwa 1125°C bis etwa 1200°C aufgewachsen wird, und wobei der Sauerstoff 25 Vol.-% bis 60 Vol.-% der gasförmigen Aufwachsumgebung umfasst.
  48. Verfahren zum Herstellen einer Halbleitervorrichtung mit einem oder mehreren Gräben, wobei das Verfahren umfasst, dass: ein oder mehrere Gräben in ein Halbleitergebiet ausgebildet werden, wobei jeder Graben eine Bodenwand und eine oder mehrere Seitenwände aufweist; eine Oxidschicht an dem Grabenboden und den Seitenwänden des einen oder der mehreren Gräben bei einer Temperatur von 1100°C oder höher in einer Umgebung mit trockenem Sauerstoff, die mit einem oder mehreren Inertgasen verdünnt ist, aufgewachsen wird; und der eine oder die mehreren Gräben mit elektrisch leitendem Material gefüllt werden.
  49. Verfahren nach Anspruch 48, wobei die Oxidschicht bei einer Temperatur in dem Bereich von etwa 1125°C bis etwa 1200°C aufgewachsen wird, und wobei der Sauerstoff 10 Vol.-% bis 75 Vol.-% der gasförmigen Aufwachsumgebung umfasst.
  50. Verfahren nach Anspruch 48, wobei die Oxidschicht bei einer Temperatur in dem Bereich von etwa 1125°C bis etwa 1200°C aufgewachsen wird, und wobei der Sauerstoff 25 Vol.-% bis 60 Vol.-% der gasförmigen Aufwachsumgebung umfasst.
  51. Halbleitervorrichtung, umfassend: ein Halbleitergebiet mit einer Oberfläche; und mehrere Gräben, die sich in einem Halbleitergebiet erstrecken, wobei jeder Graben ein erstes Ende, ein zweites Ende und einen mittleren Abschnitt zwischen dem ersten und zweiten Ende aufweist, wobei jeder Graben ferner gegenüberliegende Seitenwände, die mit einer Dielektrikumschicht ausgekleidet sind, und eine leitende Elektrode, die an zumindest einem Abschnitt der Dielektrikumschicht angeordnet ist, aufweist, wobei die leitende Elektrode p-dotiertes Polysilizium umfasst.
  52. Halbleitervorrichtung nach Anspruch 51, wobei zumindest ein Abschnitt des p-dotierten Polysiliziums ein Nettodotierniveau von 1 × 1018 Dotiermittelatome pro Kubikzentimeter (cm–3) oder höher aufweist.
  53. Verfahren zum Herstellen einer Halbleitervorrichtung mit einem oder mehreren Gräben, wobei das Verfahren umfasst, dass: ein oder mehrere Gräben in ein Halbleitergebiet ausgebildet werden, wobei jeder Graben eine Bodenwand und eine oder mehrere Seitenwände aufweist, wobei der eine oder die mehreren Gräben Oberflächen des Halbleitergebiets definieren, die benachbart zu dem einen oder den mehreren Gräben sind; eine Dielektrikumschicht an den Seiten- und Bodenwänden des einen oder der mehreren Gräben ausgebildet wird; und der eine oder die mehreren Gräben mit p-dotiertem Polysiliziummaterial gefüllt werden.
  54. Verfahren nach Anspruch 53, wobei das Ausbilden der Dielektrikumschicht die Schicht an den Oberflächen des Halbleitergebiets, die benachbart zu dem einen oder den mehreren Gräben sind, ausbildet; und wobei das Füllen des einen oder der mehreren Gräben mit p-dotiertem Polysiliziummaterial umfasst, dass: eine Schicht von p-dotiertem Polysiliziummaterial über der Dielektrikumschicht abgeschieden wird; das abgeschiedene Polysiliziummaterial ausgeheilt wird; und ein oberer Abschnitt des ausgeheilten Polysiliziummaterials entfernt wird.
  55. Verfahren nach Anspruch 54, wobei zumindest ein Abschnitt des p-dotierten Polysiliziummaterials ein Nettodotierniveau von 1 × 1018 Dotiermittelatome pro Kubikzentimeter (cm–3) oder höher aufweist.
  56. Verfahren nach Anspruch 54, wobei das Polysiliziummaterial bei einer ersten Temperatur abgeschieden wird, und wobei das Ausheilen des abgeschiedenen Polysiliziummaterials umfasst, dass die abgeschiedene Polysiliziumschicht auf eine zweite Temperatur erwärmt wird, die höher als die erste Temperatur ist.
  57. Verfahren nach Anspruch 53, wobei das Füllen des einen oder der mehreren Gräben mit p-dotiertem Polysiliziummaterial umfasst, dass: eine Schicht von Polysiliziummaterial über der Dielektrikumschicht und dem Halbleitergebiet abgeschieden wird; ein p-leitendes Dotiermittel in das Polysiliziummaterial implantiert wird; das implantierte Polysiliziummaterial ausgeheilt wird; und ein oberer Abschnitt des ausgeheilten Polysiliziummaterials entfernt wird.
  58. Verfahren nach Anspruch 57, wobei das Implantieren eines p-leitenden Dotiermittels umfasst, dass das Dotiermittel mit zwei oder mehr Implantationsenergieniveaus implantiert wird.
  59. Verfahren nach Anspruch 57, wobei die implantierte Dosis des p-leitenden Dotiermittels zumindest 5 × 1014 Dotiermittelatome pro Quadratzentimeter beträgt.
  60. Verfahren nach Anspruch 57, wobei die implantierte Dosis des p-leitenden Dotiermittels in dem Bereich von 5 × 1014 Dotiermittelatome pro Quadratzentimeter bis 5 × 1016 Dotiermittelatome pro Quadratzentimeter liegt.
  61. Verfahren nach Anspruch 53, wobei das Ausbilden der Dielektrikumschicht die Schicht an den Oberflächen des Halbleitergebiets ausbildet, die benachbart zu dem einen oder den mehreren Gräben sind; und wobei das Fällen des einen oder der mehreren Gräben mit p-dotiertem Polysiliziummaterial umfasst, dass: eine Schicht von Polysiliziummaterial über der Dielektrikumschicht abgeschieden wird; das Polysiliziummaterial ausgeheilt wird, während das Polysiliziummaterial einem p-leitenden Dampfphasendotiermittel ausgesetzt wird; und ein oberer Abschnitt des ausgeheilten Polysiliziummaterials entfernt wird.
DE112010000455T 2009-02-19 2010-02-02 Strukturen und Verfahren zum Verbessern von Grabenabschirmungshalbleitervorrichtungen und Schottky-Barrierengleichrichtervorrichtungen Ceased DE112010000455T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/389,335 2009-02-19
US12/389,335 US8148749B2 (en) 2009-02-19 2009-02-19 Trench-shielded semiconductor device
PCT/US2010/022830 WO2010096261A2 (en) 2009-02-19 2010-02-02 Structures and methods for improving trench-shielded semiconductor devices and schottky barrier rectifier devices

Publications (1)

Publication Number Publication Date
DE112010000455T5 true DE112010000455T5 (de) 2012-05-24

Family

ID=42559145

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112010000455T Ceased DE112010000455T5 (de) 2009-02-19 2010-02-02 Strukturen und Verfahren zum Verbessern von Grabenabschirmungshalbleitervorrichtungen und Schottky-Barrierengleichrichtervorrichtungen

Country Status (7)

Country Link
US (1) US8148749B2 (de)
JP (1) JP2012518292A (de)
KR (1) KR101712038B1 (de)
CN (1) CN102326256B (de)
DE (1) DE112010000455T5 (de)
TW (1) TWI569410B (de)
WO (1) WO2010096261A2 (de)

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8304829B2 (en) 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
CN101494239B (zh) * 2009-02-27 2010-12-01 电子科技大学 一种高速igbt
US20110084332A1 (en) * 2009-10-08 2011-04-14 Vishay General Semiconductor, Llc. Trench termination structure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8461646B2 (en) * 2011-02-04 2013-06-11 Vishay General Semiconductor Llc Trench MOS barrier schottky (TMBS) having multiple floating gates
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5641995B2 (ja) * 2011-03-23 2014-12-17 株式会社東芝 半導体素子
CN102760662B (zh) * 2011-04-29 2014-12-31 茂达电子股份有限公司 半导体功率装置的制作方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8872278B2 (en) 2011-10-25 2014-10-28 Fairchild Semiconductor Corporation Integrated gate runner and field implant termination for trench devices
CN103383969B (zh) * 2012-05-06 2017-04-26 朱江 一种肖特基器件及其制备方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP6299102B2 (ja) * 2012-08-07 2018-03-28 株式会社デンソー 炭化珪素半導体装置およびその製造方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9437440B2 (en) 2012-11-21 2016-09-06 Infineon Technologies Dresden Gmbh Method for manufacturing a semiconductor device
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9230851B2 (en) * 2013-02-07 2016-01-05 Texas Instruments Incorporated Reduction of polysilicon residue in a trench for polysilicon trench filling processes
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
TWI620340B (zh) * 2013-03-15 2018-04-01 傲思丹度科技公司 增強效能主動式像素陣列及用於達成其之磊晶成長方法
US9496391B2 (en) * 2013-03-15 2016-11-15 Fairchild Semiconductor Corporation Termination region of a semiconductor device
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9224854B2 (en) * 2013-10-03 2015-12-29 Texas Instruments Incorporated Trench gate trench field plate vertical MOSFET
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9786580B2 (en) * 2013-11-15 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for redistribution layer
KR20150061973A (ko) * 2013-11-28 2015-06-05 삼성전기주식회사 전력 반도체 소자
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015084155A1 (en) * 2013-12-04 2015-06-11 Mimos Berhad A method for producing a reduced reverse leakage current trenched schottky diode
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
KR20150069117A (ko) * 2013-12-13 2015-06-23 삼성전기주식회사 전력 반도체 소자
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9478426B2 (en) 2014-02-27 2016-10-25 Semiconductor Components Industries, Llc Semiconductor device and manufacturing method thereof
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
CN105336794B (zh) * 2014-08-14 2018-10-19 强茂股份有限公司 沟渠式肖特基二极管
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9577073B2 (en) * 2014-12-11 2017-02-21 Infineon Technologies Ag Method of forming a silicon-carbide device with a shielded gate
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160247879A1 (en) * 2015-02-23 2016-08-25 Polar Semiconductor, Llc Trench semiconductor device layout configurations
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106158955A (zh) * 2015-03-30 2016-11-23 中芯国际集成电路制造(上海)有限公司 功率半导体器件及其形成方法
JP6550995B2 (ja) 2015-07-16 2019-07-31 富士電機株式会社 半導体装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10833021B2 (en) * 2017-06-29 2020-11-10 Alpha And Omega Semiconductor (Cayman) Ltd. Method for precisely aligning backside pattern to frontside pattern of a semiconductor wafer
CN109216359B (zh) * 2017-07-04 2022-06-03 华邦电子股份有限公司 存储器装置及其制造方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7147141B2 (ja) * 2017-09-11 2022-10-05 Tdk株式会社 ショットキーバリアダイオード
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN108091682B (zh) * 2017-11-21 2020-05-01 重庆大学 一种高可靠性肖特基接触超级势垒整流器
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11222851B2 (en) * 2017-12-28 2022-01-11 Fuji Electric Co., Ltd. Method of manufacturing semiconductor device
JP7163577B2 (ja) * 2017-12-28 2022-11-01 富士電機株式会社 半導体装置の製造方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10593760B2 (en) 2018-08-02 2020-03-17 Semiconductor Components Industries, Llc Method for forming trench semiconductor device having Schottky barrier structure
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7310356B2 (ja) * 2019-06-27 2023-07-19 富士電機株式会社 半導体装置
WO2021215505A1 (ja) * 2020-04-24 2021-10-28 京セラ株式会社 半導体装置及び半導体装置の製造方法
CN112509980B (zh) * 2020-11-30 2022-06-03 绍兴中芯集成电路制造股份有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法
CN112509979B (zh) * 2020-11-30 2022-08-09 绍兴中芯集成电路制造股份有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法
CN114005880B (zh) * 2021-10-31 2022-08-12 无锡新洁能股份有限公司 一种功率半导体器件及其制作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680232B2 (en) 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow

Family Cites Families (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58106870A (ja) 1981-12-18 1983-06-25 Nissan Motor Co Ltd パワ−mosfet
US4974059A (en) 1982-12-21 1990-11-27 International Rectifier Corporation Semiconductor high-power mosfet device
US4641174A (en) 1983-08-08 1987-02-03 General Electric Company Pinch rectifier
FR2581252B1 (fr) 1985-04-26 1988-06-10 Radiotechnique Compelec Composant semiconducteur du type planar a structure d'anneaux de garde, famille de tels composants et procede de realisation
US5528058A (en) 1986-03-21 1996-06-18 Advanced Power Technology, Inc. IGBT device with platinum lifetime control and reduced gaw
US5262336A (en) 1986-03-21 1993-11-16 Advanced Power Technology, Inc. IGBT process to produce platinum lifetime control
US4767722A (en) 1986-03-24 1988-08-30 Siliconix Incorporated Method for making planar vertical channel DMOS structures
US4941026A (en) 1986-12-05 1990-07-10 General Electric Company Semiconductor devices exhibiting minimum on-resistance
EP0565212A2 (de) 1986-12-19 1993-10-13 Applied Materials, Inc. Iodine-Ätzverfahren für Silizium und Silizide
US4796070A (en) 1987-01-15 1989-01-03 General Electric Company Lateral charge control semiconductor device and method of fabrication
US4893160A (en) 1987-11-13 1990-01-09 Siliconix Incorporated Method for increasing the performance of trenched devices and the resulting structure
US4914058A (en) 1987-12-29 1990-04-03 Siliconix Incorporated Grooved DMOS process with varying gate dielectric thickness
JPH01227468A (ja) 1988-03-08 1989-09-11 Oki Electric Ind Co Ltd 半導体記憶装置
US4881105A (en) 1988-06-13 1989-11-14 International Business Machines Corporation Integrated trench-transistor structure and fabrication process
US4942445A (en) 1988-07-05 1990-07-17 General Electric Company Lateral depletion mode tyristor
US5072266A (en) 1988-12-27 1991-12-10 Siliconix Incorporated Trench DMOS power transistor with field-shaping body profile and three-dimensional geometry
US4876579A (en) 1989-01-26 1989-10-24 Harris Corporation Low top gate resistance JFET structure
US4954854A (en) 1989-05-22 1990-09-04 International Business Machines Corporation Cross-point lightly-doped drain-source trench transistor and fabrication process therefor
US5119153A (en) 1989-09-05 1992-06-02 General Electric Company Small cell low contact resistance rugged power field effect devices and method of fabrication
US4994883A (en) 1989-10-02 1991-02-19 General Electric Company Field controlled diode (FCD) having MOS trench gates
US4982260A (en) 1989-10-02 1991-01-01 General Electric Company Power rectifier with trenches
US5077228A (en) 1989-12-01 1991-12-31 Texas Instruments Incorporated Process for simultaneous formation of trench contact and vertical transistor gate and structure
JP2590284B2 (ja) 1990-02-28 1997-03-12 株式会社日立製作所 半導体装置及びその製造方法
KR950006483B1 (ko) 1990-06-13 1995-06-15 가부시끼가이샤 도시바 종형 mos트랜지스터와 그 제조방법
CN1019720B (zh) 1991-03-19 1992-12-30 电子科技大学 半导体功率器件
IT1254799B (it) 1992-02-18 1995-10-11 St Microelectronics Srl Transistore vdmos con migliorate caratteristiche di tenuta di tensione.
US5315142A (en) 1992-03-23 1994-05-24 International Business Machines Corporation High performance trench EEPROM cell
US5233215A (en) 1992-06-08 1993-08-03 North Carolina State University At Raleigh Silicon carbide power MOSFET with floating field ring and floating field plate
JP2948985B2 (ja) 1992-06-12 1999-09-13 三菱電機株式会社 半導体装置
US5346835A (en) 1992-07-06 1994-09-13 Texas Instruments Incorporated Triple diffused lateral resurf insulated gate field effect transistor compatible with process and method
JPH0637269A (ja) 1992-07-17 1994-02-10 Mitsubishi Electric Corp 接合型電界効果トランジスタ、その接合型電界効果トランジスタを含む半導体記憶装置およびそれらの製造方法
US5430324A (en) 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5241195A (en) 1992-08-13 1993-08-31 North Carolina State University At Raleigh Merged P-I-N/Schottky power rectifier having extended P-I-N junction
JP3167457B2 (ja) 1992-10-22 2001-05-21 株式会社東芝 半導体装置
JP3417013B2 (ja) 1993-10-18 2003-06-16 株式会社デンソー 絶縁ゲート型バイポーラトランジスタ
US5365102A (en) 1993-07-06 1994-11-15 North Carolina State University Schottky barrier rectifier with MOS trench
US5424563A (en) 1993-12-27 1995-06-13 Harris Corporation Apparatus and method for increasing breakdown voltage ruggedness in semiconductor devices
JP3400846B2 (ja) 1994-01-20 2003-04-28 三菱電機株式会社 トレンチ構造を有する半導体装置およびその製造方法
JP3481287B2 (ja) 1994-02-24 2003-12-22 三菱電機株式会社 半導体装置の製造方法
JP3125567B2 (ja) 1994-03-28 2001-01-22 富士電機株式会社 絶縁ゲート型サイリスタ
JPH07326663A (ja) 1994-05-31 1995-12-12 Fuji Electric Co Ltd ウエハの誘電体分離方法
EP0698919B1 (de) 1994-08-15 2002-01-16 Siliconix Incorporated Verfahren zum Herstellen eines DMOS-Transistors mit Grabenstruktur unter Verwendung von sieben Masken
US5665996A (en) 1994-12-30 1997-09-09 Siliconix Incorporated Vertical power mosfet having thick metal layer to reduce distributed resistance
US5597765A (en) 1995-01-10 1997-01-28 Siliconix Incorporated Method for making termination structure for power MOSFET
US5545915A (en) 1995-01-23 1996-08-13 Delco Electronics Corporation Semiconductor device having field limiting ring and a process therefor
JP3291957B2 (ja) 1995-02-17 2002-06-17 富士電機株式会社 縦型トレンチmisfetおよびその製造方法
US5557127A (en) 1995-03-23 1996-09-17 International Rectifier Corporation Termination structure for mosgated device with reduced mask count and process for its manufacture
US5828101A (en) 1995-03-30 1998-10-27 Kabushiki Kaisha Toshiba Three-terminal semiconductor device and related semiconductor devices
EP0746042B1 (de) 1995-06-02 2004-03-31 SILICONIX Incorporated Bidirektional sperrender Graben-Leistungs-MOSFET
US6078090A (en) 1997-04-02 2000-06-20 Siliconix Incorporated Trench-gated Schottky diode with integral clamping diode
US6049108A (en) 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US5856692A (en) 1995-06-02 1999-01-05 Siliconix Incorporated Voltage-clamped power accumulation-mode MOSFET
US5920108A (en) 1995-06-05 1999-07-06 Harris Corporation Late process method and apparatus for trench isolation
US5777362A (en) 1995-06-07 1998-07-07 Harris Corporation High efficiency quasi-vertical DMOS in CMOS or BICMOS process
GB9512089D0 (en) 1995-06-14 1995-08-09 Evans Jonathan L Semiconductor device fabrication
US5949124A (en) 1995-10-31 1999-09-07 Motorola, Inc. Edge termination structure
US6242787B1 (en) 1995-11-15 2001-06-05 Denso Corporation Semiconductor device and manufacturing method thereof
US5637898A (en) 1995-12-22 1997-06-10 North Carolina State University Vertical field effect transistors having improved breakdown voltage capability and low on-state resistance
JP3444081B2 (ja) 1996-02-28 2003-09-08 株式会社日立製作所 ダイオード及び電力変換装置
US5814858A (en) 1996-03-15 1998-09-29 Siliconix Incorporated Vertical power MOSFET having reduced sensitivity to variations in thickness of epitaxial layer
JP3575908B2 (ja) 1996-03-28 2004-10-13 株式会社東芝 半導体装置
US5894149A (en) 1996-04-11 1999-04-13 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having high breakdown voltage and method of manufacturing the same
US5602046A (en) 1996-04-12 1997-02-11 National Semiconductor Corporation Integrated zener diode protection structures and fabrication methods for DMOS power devices
US5973368A (en) 1996-06-05 1999-10-26 Pearce; Lawrence G. Monolithic class D amplifier
US5807783A (en) 1996-10-07 1998-09-15 Harris Corporation Surface mount die by handle replacement
JPH10132871A (ja) 1996-10-29 1998-05-22 Toshiba Corp 半導体装置
US5972741A (en) 1996-10-31 1999-10-26 Sanyo Electric Co., Ltd. Method of manufacturing semiconductor device
US5998822A (en) 1996-11-28 1999-12-07 Nippon Steel Semiconductor Corp. Semiconductor integrated circuit and a method of manufacturing the same
US5877528A (en) 1997-03-03 1999-03-02 Megamos Corporation Structure to provide effective channel-stop in termination areas for trenched power transistors
KR100225409B1 (ko) 1997-03-27 1999-10-15 김덕중 트렌치 디-모오스 및 그의 제조 방법
US6110763A (en) 1997-05-22 2000-08-29 Intersil Corporation One mask, power semiconductor device fabrication process
JP3618517B2 (ja) 1997-06-18 2005-02-09 三菱電機株式会社 半導体装置およびその製造方法
EP0892435A1 (de) 1997-07-14 1999-01-20 STMicroelectronics S.r.l. Integrierter Halbleitertransistor mit Stromüberwachung
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US6051468A (en) 1997-09-15 2000-04-18 Magepower Semiconductor Corp. Method of forming a semiconductor structure with uniform threshold voltage and punch-through tolerance
JP3315356B2 (ja) 1997-10-15 2002-08-19 株式会社東芝 高耐圧半導体装置
US6337499B1 (en) 1997-11-03 2002-01-08 Infineon Technologies Ag Semiconductor component
US6429481B1 (en) 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
JP4192281B2 (ja) 1997-11-28 2008-12-10 株式会社デンソー 炭化珪素半導体装置
US6396102B1 (en) 1998-01-27 2002-05-28 Fairchild Semiconductor Corporation Field coupled power MOSFET bus architecture using trench technology
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6137152A (en) 1998-04-22 2000-10-24 Texas Instruments - Acer Incorporated Planarized deep-shallow trench isolation for CMOS/bipolar devices
JP4189610B2 (ja) 1998-05-08 2008-12-03 ソニー株式会社 光電変換素子およびその製造方法
US6104054A (en) 1998-05-13 2000-08-15 Texas Instruments Incorporated Space-efficient layout method to reduce the effect of substrate capacitance in dielectrically isolated process technologies
JP2000056281A (ja) 1998-08-07 2000-02-25 Mitsubishi Electric Corp 光変調器とその製造方法
US6316280B1 (en) 1998-09-07 2001-11-13 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor devices separated from a wafer
US5998833A (en) 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
US6677626B1 (en) 1998-11-11 2004-01-13 Fuji Electric Co., Ltd. Semiconductor device with alternating conductivity type layer and method of manufacturing the same
US6208185B1 (en) 1999-03-25 2001-03-27 Wisconsin Alumni Research Corporation High performance active gate drive for IGBTs
US6188105B1 (en) 1999-04-01 2001-02-13 Intersil Corporation High density MOS-gated power device and process for forming same
US6433385B1 (en) 1999-05-19 2002-08-13 Fairchild Semiconductor Corporation MOS-gated power device having segmented trench and extended doping zone and process for forming same
US6492663B1 (en) 1999-05-20 2002-12-10 Richard A. Blanchard Universal source geometry for MOS-gated power devices
US6191447B1 (en) 1999-05-28 2001-02-20 Micro-Ohm Corporation Power semiconductor devices that utilize tapered trench-based insulating regions to improve electric field profiles in highly doped drift region mesas and methods of forming same
US6242784B1 (en) 1999-06-28 2001-06-05 Intersil Corporation Edge termination for silicon power devices
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
KR100331032B1 (ko) * 1999-09-18 2002-04-06 오길록 측벽막을 이용한 트렌치 게이트 전력소자 제조방법
US6211018B1 (en) 1999-08-14 2001-04-03 Electronics And Telecommunications Research Institute Method for fabricating high density trench gate type power device
US6252277B1 (en) 1999-09-09 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Embedded polysilicon gate MOSFET
US6437419B1 (en) 1999-11-29 2002-08-20 Fairchild Semiconductor Corporation Emitter ballast resistor with enhanced body effect to improve the short circuit withstand capability of power devices
US6461918B1 (en) 1999-12-20 2002-10-08 Fairchild Semiconductor Corporation Power MOS device with improved gate charge performance
JP4765012B2 (ja) 2000-02-09 2011-09-07 富士電機株式会社 半導体装置及びその製造方法
TW493262B (en) 2000-02-10 2002-07-01 Int Rectifier Corp Vertical conduction flip-chip device with bump contacts on single surface
US6376878B1 (en) 2000-02-11 2002-04-23 Fairchild Semiconductor Corporation MOS-gated devices with alternating zones of conductivity
GB0003186D0 (en) 2000-02-12 2000-04-05 Koninkl Philips Electronics Nv A semiconductor device
US6392290B1 (en) 2000-04-07 2002-05-21 Siliconix Incorporated Vertical structure for semiconductor wafer-level chip scale packages
US6482681B1 (en) 2000-05-05 2002-11-19 International Rectifier Corporation Hydrogen implant for buffer zone of punch-through non epi IGBT
JP2003533889A (ja) 2000-05-13 2003-11-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ トレンチゲート半導体装置
JP4528460B2 (ja) 2000-06-30 2010-08-18 株式会社東芝 半導体素子
US6445035B1 (en) 2000-07-24 2002-09-03 Fairchild Semiconductor Corporation Power MOS device with buried gate and groove
JP4963750B2 (ja) 2000-08-10 2012-06-27 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US6437386B1 (en) 2000-08-16 2002-08-20 Fairchild Semiconductor Corporation Method for creating thick oxide on the bottom surface of a trench structure in silicon
US6696726B1 (en) 2000-08-16 2004-02-24 Fairchild Semiconductor Corporation Vertical MOSFET with ultra-low resistance and low gate charge
US6700158B1 (en) 2000-08-18 2004-03-02 Fairchild Semiconductor Corporation Trench corner protection for trench MOSFET
GB0022149D0 (en) 2000-09-09 2000-10-25 Zetex Plc Implantation method
JP4750933B2 (ja) 2000-09-28 2011-08-17 株式会社東芝 薄型パンチスルー型パワーデバイス
US6365942B1 (en) 2000-12-06 2002-04-02 Fairchild Semiconductor Corporation MOS-gated power device with doped polysilicon body and process for forming same
JP3899231B2 (ja) 2000-12-18 2007-03-28 株式会社豊田中央研究所 半導体装置
US7132712B2 (en) 2002-11-05 2006-11-07 Fairchild Semiconductor Corporation Trench structure having one or more diodes embedded therein adjacent a PN junction
US7345342B2 (en) 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6906362B2 (en) 2002-01-22 2005-06-14 Fairchild Semiconductor Corporation Method of isolating the current sense on power devices while maintaining a continuous stripe cell
US6916745B2 (en) 2003-05-20 2005-07-12 Fairchild Semiconductor Corporation Structure and method for forming a trench MOSFET having self-aligned features
US6803626B2 (en) 2002-07-18 2004-10-12 Fairchild Semiconductor Corporation Vertical charge control semiconductor device
JP5025071B2 (ja) 2001-02-01 2012-09-12 三菱電機株式会社 半導体装置およびその製造方法
DE60139386D1 (de) 2001-02-02 2009-09-10 Mitsubishi Electric Corp Halbleiteranordnung mit einem bipolartransistor mit isoliertem gate und einer freilaufdiode
US6465325B2 (en) 2001-02-27 2002-10-15 Fairchild Semiconductor Corporation Process for depositing and planarizing BPSG for dense trench MOSFET application
TW543146B (en) 2001-03-09 2003-07-21 Fairchild Semiconductor Ultra dense trench-gated power device with the reduced drain-source feedback capacitance and miller charge
JP4839519B2 (ja) 2001-03-15 2011-12-21 富士電機株式会社 半導体装置
JP4073176B2 (ja) 2001-04-02 2008-04-09 新電元工業株式会社 半導体装置およびその製造方法
US6683363B2 (en) 2001-07-03 2004-01-27 Fairchild Semiconductor Corporation Trench structure for semiconductor devices
US6573558B2 (en) 2001-09-07 2003-06-03 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
US6831329B2 (en) 2001-10-26 2004-12-14 Fairchild Semiconductor Corporation Quick punch through IGBT having gate-controllable DI/DT and reduced EMI during inductive turn off
US6573569B2 (en) 2001-11-06 2003-06-03 Fairchild Semiconductor Corporation Trench MOSFET with low gate charge
US6635535B2 (en) 2001-11-20 2003-10-21 Fairchild Semiconductor Corporation Dense trench MOSFET with decreased etch sensitivity to deposition and etch processing
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
US6798019B2 (en) 2002-01-18 2004-09-28 Fairchild Semiconductor Corporation IGBT with channel resistors
US6777747B2 (en) 2002-01-18 2004-08-17 Fairchild Semiconductor Corporation Thick buffer region design to improve IGBT self-clamped inductive switching (SCIS) energy density and device manufacturability
JP3908572B2 (ja) 2002-03-18 2007-04-25 株式会社東芝 半導体素子
TWI248136B (en) 2002-03-19 2006-01-21 Infineon Technologies Ag Method for fabricating a transistor arrangement having trench transistor cells having a field electrode
US7091573B2 (en) 2002-03-19 2006-08-15 Infineon Technologies Ag Power transistor
DE10212149B4 (de) 2002-03-19 2007-10-04 Infineon Technologies Ag Transistoranordnung mit Schirmelektrode außerhalb eines aktiven Zellenfeldes und reduzierter Gate-Drain-Kapazität
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US6653161B1 (en) 2002-05-16 2003-11-25 Intel Corporation Method and apparatus for forming a capacitive structure including single crystal silicon
JP4158453B2 (ja) 2002-08-22 2008-10-01 株式会社デンソー 半導体装置及びその製造方法
US6825510B2 (en) 2002-09-19 2004-11-30 Fairchild Semiconductor Corporation Termination structure incorporating insulator in a trench
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
WO2004032244A1 (ja) 2002-10-04 2004-04-15 Shindengen Electric Manufacturing Co., Ltd. 半導体装置、半導体装置の製造方法
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US7652326B2 (en) 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
CN1823421B (zh) 2003-08-20 2010-04-28 株式会社电装 垂直型半导体装置
DE10345347A1 (de) 2003-09-19 2005-04-14 Atmel Germany Gmbh Verfahren zur Herstellung eines DMOS-Transistors mit lateralem Driftregionen-Dotierstoffprofil
DE10353387B4 (de) 2003-11-14 2008-07-24 Infineon Technologies Ag Verfahren zur Herstellung einer Leistungstransistoranordnung und Leistungstransistoranordnung
DE10355588B4 (de) 2003-11-28 2006-06-14 Infineon Technologies Ag MOS-Transistoreinrichtung
TWI348219B (en) 2003-12-19 2011-09-01 Third Dimension 3D Sc Inc A method for manufacturing a superjunction device with wide mesas
JP4699692B2 (ja) 2003-12-26 2011-06-15 ローム株式会社 半導体装置の製造方法および半導体装置
JP4903055B2 (ja) * 2003-12-30 2012-03-21 フェアチャイルド・セミコンダクター・コーポレーション パワー半導体デバイスおよびその製造方法
US7416948B2 (en) 2003-12-30 2008-08-26 Fairchild Semiconductor Corporation Trench FET with improved body to gate alignment
US20050242411A1 (en) 2004-04-29 2005-11-03 Hsuan Tso [superjunction schottky device and fabrication thereof]
US7352036B2 (en) 2004-08-03 2008-04-01 Fairchild Semiconductor Corporation Semiconductor power device having a top-side drain using a sinker trench
US7737522B2 (en) * 2005-02-11 2010-06-15 Alpha & Omega Semiconductor, Ltd. Trench junction barrier controlled Schottky device with top and bottom doped regions for enhancing forward current in a vertical direction
KR101236030B1 (ko) 2005-04-06 2013-02-21 페어차일드 세미컨덕터 코포레이션 트랜치-게이트 전계효과 트랜지스터 및 그 형성 방법
US7382019B2 (en) 2005-04-26 2008-06-03 Fairchild Semiconductor Corporation Trench gate FETs with reduced gate to drain charge
CN101542731B (zh) 2005-05-26 2012-07-11 飞兆半导体公司 沟槽栅场效应晶体管及其制造方法
US7553740B2 (en) 2005-05-26 2009-06-30 Fairchild Semiconductor Corporation Structure and method for forming a minimum pitch trench-gate FET with heavy body region
DE112006001516T5 (de) 2005-06-10 2008-04-17 Fairchild Semiconductor Corp. Feldeffekttransistor mit Ladungsgleichgewicht
US7648877B2 (en) 2005-06-24 2010-01-19 Fairchild Semiconductor Corporation Structure and method for forming laterally extending dielectric layer in a trench-gate FET
TWI400757B (zh) 2005-06-29 2013-07-01 Fairchild Semiconductor 形成遮蔽閘極場效應電晶體之方法
US8084815B2 (en) 2005-06-29 2011-12-27 Fairchild Korea Semiconductor Ltd. Superjunction semiconductor device
KR20070015309A (ko) 2005-07-30 2007-02-02 페어차일드코리아반도체 주식회사 고전압 반도체소자
US7385248B2 (en) 2005-08-09 2008-06-10 Fairchild Semiconductor Corporation Shielded gate field effect transistor with improved inter-poly dielectric
US7449354B2 (en) 2006-01-05 2008-11-11 Fairchild Semiconductor Corporation Trench-gated FET for power device with active gate trenches and gate runner trench utilizing one-mask etch
US7768064B2 (en) 2006-01-05 2010-08-03 Fairchild Semiconductor Corporation Structure and method for improving shielded gate field effect transistors
US20070181927A1 (en) 2006-02-03 2007-08-09 Yedinak Joseph A Charge balance insulated gate bipolar transistor
US7955961B2 (en) * 2006-03-07 2011-06-07 International Rectifier Corporation Process for manufacture of trench Schottky
US7595542B2 (en) 2006-03-13 2009-09-29 Fairchild Semiconductor Corporation Periphery design for charge balance power devices
US7446374B2 (en) 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
US7521773B2 (en) 2006-03-31 2009-04-21 Fairchild Semiconductor Corporation Power device with improved edge termination
US7319256B1 (en) 2006-06-19 2008-01-15 Fairchild Semiconductor Corporation Shielded gate trench FET with the shield and gate electrodes being connected together
US7732842B2 (en) 2006-12-06 2010-06-08 Fairchild Semiconductor Corporation Structure and method for forming a planar schottky contact
JP2008277352A (ja) * 2007-04-25 2008-11-13 Matsushita Electric Ind Co Ltd 半導体装置
US7750412B2 (en) 2008-08-06 2010-07-06 Fairchild Semiconductor Corporation Rectifier with PN clamp regions under trenches

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680232B2 (en) 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow

Also Published As

Publication number Publication date
US20100207205A1 (en) 2010-08-19
JP2012518292A (ja) 2012-08-09
CN102326256A (zh) 2012-01-18
US8148749B2 (en) 2012-04-03
KR20110122739A (ko) 2011-11-10
WO2010096261A2 (en) 2010-08-26
TW201112392A (en) 2011-04-01
KR101712038B1 (ko) 2017-03-13
CN102326256B (zh) 2015-07-01
TWI569410B (zh) 2017-02-01
WO2010096261A3 (en) 2010-11-18

Similar Documents

Publication Publication Date Title
DE112010000455T5 (de) Strukturen und Verfahren zum Verbessern von Grabenabschirmungshalbleitervorrichtungen und Schottky-Barrierengleichrichtervorrichtungen
DE112010005626B4 (de) Halbleitervorrichtung
DE102014113946B4 (de) Integrierte Schaltung und Verfahren zum Herstellen einer integrierten Schaltung
DE112016004086T5 (de) Halbleiterbauelement
DE102009033313A1 (de) Reduzierte Prozessempfindlichkeit von Elektroden-Halbleiter-Gleichrichtern
DE102007027519B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102019119020A1 (de) Siliziumcarbid-vorrichtung mit kompensationsschicht und verfahren zur herstellung
DE112008002423T5 (de) Ladungsausgleich in der Aktivfläche und Kantenabschlussfläche eines MOSFETs
DE102014117719A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mittels elektrochemischen Ätzens, Halbleitervorrichtung und Superjunction-Halbleitervorrichtung
DE102005020410A1 (de) Transistorstruktur und zugehöriges Herstellungsverfahren
DE102019115161A1 (de) Leistungsvorrichtung mit superübergang und schottky-diode
DE112017007040T5 (de) Halbleitereinheit
DE102011084419A1 (de) Vollständig isoliertes Bauelement mit selbstjustiertem Körpergebiet
DE60028847T2 (de) Verfahren mit reduzierter Maskenzahl für die Herstellung von Mischsspannung-CMOS mit Hochleistung-Transistoren und -I/O Transistoren von hoher Zuverlässigkeit
DE102015122828A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit epitaktischen Schichten und einer Ausrichtungsmarkierung
DE112021003253T5 (de) Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone
DE19641838A1 (de) Abschlußstruktur für Halbleiterbauteile sowie Verfahren zur Herstellung derartiger Abschlußstrukturen
DE102014110497A1 (de) Superjunction-halbleitervorrichtung und herstellungsverfahren
DE102016108125B4 (de) Halbleitervorrichtung und Herstellung davon
DE102015118616B3 (de) Latchup-fester Transistor
DE112012002481T5 (de) Siliciumcarbid-Halbleitervorrichtung und Verfahren zum Herstellen einer Siliciumcarbid-Halbleitervorrichtung
DE102019006359A1 (de) Super-junction- mosfet mit schmaler mesa
DE102019216309A1 (de) Siliciumcarbid-halbleitervorrichtung und verfahren zur herstellung einer siliciumcarbid-halbleitervorrichtung
DE102020127426A1 (de) Ein-/Ausgabevorrichtungen
DE112009003199T5 (de) Lateraler MOSFET mit einer Substrat-Drainverbindung

Legal Events

Date Code Title Description
R082 Change of representative

Representative=s name: MUELLER-BORE & PARTNER PATENTANWAELTE, EUROPEA, DE

Representative=s name: MUELLER-BORE & PARTNER PATENTANWAELTE PARTG MB, DE

Representative=s name: WUESTHOFF & WUESTHOFF, PATENTANWAELTE PARTG MB, DE

R012 Request for examination validly filed
R082 Change of representative

Representative=s name: WUESTHOFF & WUESTHOFF, PATENTANWAELTE PARTG MB, DE

R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final