DE112011103146B4 - Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln - Google Patents

Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln Download PDF

Info

Publication number
DE112011103146B4
DE112011103146B4 DE112011103146.7T DE112011103146T DE112011103146B4 DE 112011103146 B4 DE112011103146 B4 DE 112011103146B4 DE 112011103146 T DE112011103146 T DE 112011103146T DE 112011103146 B4 DE112011103146 B4 DE 112011103146B4
Authority
DE
Germany
Prior art keywords
layer
nanoparticles
ulk
dielectric
curing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112011103146.7T
Other languages
English (en)
Other versions
DE112011103146T5 (de
Inventor
Junjing Bao
Naftali E. Lustig
Tien-Jen J. Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112011103146T5 publication Critical patent/DE112011103146T5/de
Application granted granted Critical
Publication of DE112011103146B4 publication Critical patent/DE112011103146B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/96Porous semiconductor

Abstract

Mehrschichtige Halbleiterstruktur, die aufweist: eine dielektrische Ultra-low-k(ULK)-Schicht (100), wobei die dielektrische ULK-Schicht eine Vielzahl darin gebildeter Gräben (180) und Durchgangskontakte (150) aufweist, die mit Metall gefüllt sind; eine Deckschicht (130), worauf die dielektrische ULK-Schicht (100) angeordnet ist; und Nanopartikel (120), die eine Monoschicht an einer Grenzfläche zwischen der dielektrischen ULK-Schicht und der Deckschicht bilden.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft allgemein integrierte Halbleiterschaltkreise und -einheiten und insbesondere die Verwendung von Nanopartikeln bei der Bearbeitung von Halbleiterzwischenverbindungen.
  • HINTERGRUND
  • Auf der Grundlage von Halbleitern hergestellte Einheiten und Schaltkreise bestehen aus aktiven Einheiten, üblicherweise Transistoren, auf einer Silicium-Wafer-Oberfläche und einem Satz von Leitungen, die diese miteinander verbinden. Dieser Satz von Leitungen wird üblicherweise als Back-end-of-line (BEOL) bezeichnet, während die aktiven Transistoren als Front-end-of-line (FEOL) bezeichnet werden. Ein komplexes Netz leitender Zwischenverbindungen ist nötig, um die große Anzahl von Einheiten elektrisch miteinander zu verbinden und so funktionsfähige Schaltkreise zu erzeugen. Dies wird dadurch erreicht, dass eine Mehrschichtstruktur aufgebaut wird, die aus metallischen Leitungen besteht, die in ein isolierendes dielektrisches Medium eingebettet sind. Moderne Hochgeschwindigkeits-Zwischenverbindungen bestehen üblicherweise aus Kupferleitungen (Cu), die durch Stoffe mit niedriger Dielektrizitätskonstante (Low-k) voneinander isoliert werden. Die Zwischenverbindungsstruktur kann aus bis zu fünfzehn vertikal übereinander gestapelten Metallebenen bestehen, zwischen denen Durchgangskontakte eine leitende Verbindung herstellen. Die Leitungen sind durch ihre Linienbreite und den Abstand zu ihrem nächsten Nachbarn gekennzeichnet. Die Summe aus dieser Linienbreite und dem Abstand wird als Rasterweite bezeichnet. Die ersten paar Verdrahtungsebenen werden mit der technologisch kleinstmöglichen Rasterweite hergestellt, die durch die Lithografie vorgegeben ist. Die kleine Rasterweite ermöglicht das Erstellen der dichtest gepackten Verschaltung, während die höheren Ebenen mit einem Vielfachen der Mindestrasterweite erstellt werden. Diese hierarchische Struktur ermöglicht dicke breite Leitungen, die auch als dicke Drähte bezeichnet werden, in den höheren Ebenen, die üblicherweise zur Verbreitung von Signalen auf dem Chip und zur Versorgung mit elektrischem Strom verwendet werden. Das dielektrische Material dient nicht nur als elektrischer Isolator, sondern unterstützt die Mehrschichtstruktur auch mechanisch.
  • Gegenwärtig werden Mehrschichtstrukturen aus Cu/Low-k-Dielektrikum üblicherweise durch einen Dual-Damaszener-Prozess wie folgt gebildet: das Dielektrikum wird flächendeckend als Dünnschicht abgeschieden, lithografisch strukturiert und dann einem reaktiven Ionenätzprozess (RIE) unterzogen, um sowohl Gräben als auch Durchgangskontakte zu erzeugen. Die Struktur wird dann mit einer Sperrschicht aus hochschmelzendem Metall wie beispielsweise Ta und TaNx und anschließend durch Sputtern mit einer dünnen Schicht aus Kupferkeimen beschichtet. Die Keimschicht ermöglicht die elektrochemische Abscheidung (electrochemical deposition, ECD) einer dicken Kupferschicht, die die Löcher ausfüllt. Durch mechanisch-chemisches Polieren (CMP) wird das überschüssige Kupfer entfernt und die Oberfläche planarisiert. Abschließend wird oberhalb der strukturierten Kupferleitungen eine dünne dielektrische Schicht abgeschieden, die auch als „Deckschicht” bekannt ist. Dieser Dual-Damaszener-Prozess wird auf jeder der gebildeten höheren Ebenen wiederholt.
  • Gemäß der Vorhersage durch das Moore'sche Gesetz werden die Halbleitereinheiten immer mehr verkleinert, um die Leistungsfähigkeit der Einheiten zu verbessern und mehr Transistoren auf dem Substrat unterzubringen. Die entsprechende Verkleinerung der Zwischenverbindungsstruktur verursacht eine Zunahme des parasitären Widerstands (R) und der parasitären Kapazität (C), die auf die Cu/Low-k-Zwischenverbindungen zurückzuführen sind. Das Produkt RC stellt ein Maß für die Verzögerungszeit dar, die durch die BEOL in die Schaltlogik eingeführt wird. Um die RC-Verzögerung zu verringern, werden Low-k- und Ultra-low-k(ULK)-Materialien als Dielektrika verwendet.
  • Eine typische Variante für ein Low-k-Dielektrikum ist ein Organo-Silikatglas-Material, das auch als SiCOH bezeichnet wird. Es besteht aus einem Gerüst aus vernetzten SiO2-analogen Tetraederstrukturen und beispielsweise -CH3 oder -H als terminale Gruppe oder Seitenkette, um die Polarisierbarkeit zu verringern, die Porosität zu erhöhen und die Volumendichte zu verringern. Die Low-k-Dielektrika werden üblicherweise durch einen plasmagestützten chemischen Abscheidungsprozess aus der Gasphase (PECVD) abgeschieden, bei dem das organische Ausgangsmaterial für die porenbildende Opfersubstanz (z. B. Cyclohexan und Ähnliches) mit dem Matrix-Ausgangsmaterial für die Low-k-Gerüststruktur (z. B. Decamethylcyclopentasiloxan, Diethoxymethylsilan, Dimethyldimethoxysilan, Tetramethylcyclotetrasilan, Octamethylcyclotetrasilan und Ähnliches) gemischt wird. Auf den Abscheidungsschritt folgt ein Ultraviolett(UV)-Härtungsprozess, um den flüchtigen organischen Porenbildner zu entfernen, der nur locker an dem Low-k-Gerüst haftet. Das führt dazu, dass das Low-k-Dielektrikum porös wird. Außerdem bewirkt der UV-Härtungsprozess eine Vernetzung des Low-k-Dielektrikums und verbessert dessen mechanische Festigkeit. Es ist jedoch bekannt, dass ULK-Dünnschichten mechanisch schwächer als deren nicht poröse Low-k-Analoga sind. Die Porosität und die verringerte Dielektrizitätskonstante geht mit einer Verringerung des Elastizitätsmoduls der Dünnschicht einher. Typische ULK-Moduln liegen je nach Porositätsgrad im Bereich von 2 bis 8 GPa, wodurch die ULK-Dünnschichten während der BEOL-Verarbeitung und der Kapselung der Chips besonders anfällig gegen mechanische Spannungen werden.
  • Die dielektrische Dünnschicht, welche die Oberseite der metallischen Damaszenerstruktur bedeckt, verhindert das Ausdiffundieren von Kupfer in das umgebende Low-k-Dielektrikum. In Hinblick auf die Leistungsfähigkeit und Beständigkeit sind die physikalischen und elektrischen Eigenschaften der dielektrischen Deckschicht wie beispielsweise die Durchbruchspannung, die Haftung am darunter liegenden Metall und den Dielektrika, die Dichtheit, die inneren Spannungen und der Elastizitätsmodul besonders wichtig. Im Allgemeinen tragen mechanisch unter Druckspannung stehende Dünnschichten mit einer guten Haftung auf Kupfer dazu bei, die Elektromigration von Cu zu unterdrücken, und erzeugen eine mechanisch stabile Struktur. Dichtere unter Druckspannung stehende Dünnschichten neigen auch zu einer höheren Durchbruchspannung und bieten eine höhere Dichtheit und Passivierung der Kupferleitungen. Als typische dielektrische Sperrschicht wird in der modernen Halbleiterfertigung ein amorphes nitridiertes Siliciumcarbid (SiCNH) verwendet.
  • Die im Härtungsprozess von ULK-Dielektrika verwendete UV-Strahlung liegt in einem Wellenlängenbereich von 200 nm bis 600 nm und wird durch eine UV-Lampe erzeugt, die im Folgenden unter Bezug auf 1 dargestellt wird. Die Strahlung kann die ULK-Dünnschicht durchdringen und schädigt die SiCNH-Deckschicht, wodurch sich deren mechanischer Spannungszustand von Druckspannung in Zugspannung ändert. Dies wiederum kann zum spontanen Reißen des porösen ULK-Materials oberhalb der Deckschicht und einer geringen Beständigkeit während der Kapselung des Chips führen. Eine bereits verfügbare Lösung besteht darin, eine herkömmliche SiCHN-Einzelschicht durch eine zweischichtige Low-k-Deckschicht zu ersetzen. Diese Lösung weist zwei Probleme auf: erstens ändert sich der Spannungszustand der Deckschicht auch dann noch, wenngleich in geringerem Maße. Die Dünnschicht bleibt nur dann unter Druckspannung, wenn die UV-Härtungszeit kurz ist (< 70 s). Typische ULK-Härtungszeiten sind größer als 100 s. Bei diesen längeren Härtungszeiten geht der Spannungszustand der zweischichtigen Deckschicht in Zugspannung über; und zweitens neigt die zweischichtige Deckschicht mit einem stickstoffreichen SiCNH im unteren Teil und einem kohlenstoffreichen SiCNH im oberen Teil unter UV-Strahlung zum Schrumpfen. Eine grobe Schätzung beläuft sich auf ungefähr 2% der Dicke bei 70 s UV-Bestrahlung, während die Dickenänderung von (standardmäßigem) High-k-SiCNH unter denselben Strahlungsbedingungen ungefähr gleich null ist. Dieses Schrumpfen der Deckschicht ist unerwünscht und kann zu zusätzlichen mechanischen Spannungen auf der BEOL-Struktur führen.
  • Unter Bezugnahme auf 2 wird nunmehr die (in MPa gemessene) Änderung der inneren Spannung einer SiCHN-Deckschicht als Folge der UV-Belichtung während der ULK-Härtung veranschaulicht. Die Spannungsmessung wird für verschiedene Deckschichtmaterialien bei verschiedenen UV-Härtungszeiten gezeigt. Insbesondere zeigt die Spannungsänderung als Folge der UV-Belichtung während des ULK-Härtungsprozesses, dass sich die innere Spannung von einem negativen Wert (Druckspannung) mit zunehmender UV-Härtungszeit zu einem positiven Wert (Zugspannung) ändert. Die mit SiCNH High-k bezeichnete Kurve steht für einen herkömmlichen Abscheidungsprozess, während die mit SiCNH Low-k bezeichnete zweite Kurve den Abscheidungsprozess der Doppelschicht darstellt. Obwohl die zweischichtige Deckschicht die Spannungsänderung verlangsamen kann, geht die Dünnschicht letztlich in Zugspannung über (d. h. die x-Achse wird von negativen zu positiven Werten überschritten). Dieser Übergang von Druckspannung zu Zugspannung kann durch ein Aufbrechen der Bindungen in der SiCNH-Dünnschicht als Folge der Absorption der hochenergetischen UV-Photonen erklärt werden. Die entstandenen aufgebrochenen bzw. freien Bindungen führen zu einer Zunahme der inneren freien Hohlräume und zu einer verringerten Druckspannung. Unter Zugspannung stehende Dünnschichten neigen stärker zum Reißen und zum Verlust der Haftung auf der darunter liegenden Struktur.
  • 3 zeigt die Dicke der zweischichtigen Low-k-Deckschicht, die bei Belichtung mit UV-Strahlung geschrumpft ist. Diese Deckschicht verliert ungefähr 2% ihrer Anfangsdicke als Folge des Verlustes an gebundenem Wasserstoff und gebundenen Kohlenstoffgruppen in der Dünnschicht. Herkömmliche High-k-SiCNH-Schichten zeigen bei vergleichbarer Belichtung mit UV-Strahlung keine Dickenschrumpfung.
  • Unter Bezugnahme auf 4 werden die UV-VIS-Absorptionseigenschaften eines typischen Metalloxids gezeigt, z. B. von ZnO, das zusammen mit geeigneten Reaktionspartnern in bidestilliertem Wasser dispergiert wurde. Die Kurven 1, 2 und 3 entsprechen PVP (Polyvinylpyrrolidon, das zum Verhindern des Zusammenklumpens verwendet wurde), ZnO-Nanopartikel bzw. Zn(NO3)2. ZnO-Nanopartikel können aus unterschiedlichen alkoholischen Lösungen wie beispielsweise Methanol, Ethanol, Propanol oder höheren Alkoholen synthetisiert werden.
  • Zum Nachweis der Bildung von ZnO-Nanopartikel werden Röntgenbeugung, TEM und EDAX eingesetzt. Der Absorptionspeak für die ZnO-Partikel wird bei einer Wellenlänge von 262 nm beobachtet, die unterhalb der Wellenlänge der Bandlücke (in 4 als gestrichelte Linie dargestellt) von 388 nm (Eg = 3,2 eV) von massivem ZnO liegt. Die Verschiebung der Absorptionskante zu kürzeren Wellenlängen ist eine grundlegende Eigenschaft von Nanopartikeln und wird auf die Verbreiterung der Bandlücke bei geringerer Partikelgröße zurückgeführt.
  • Unter Bezugnahme auf 5 wird der Effekt der Nanopartikelgröße auf die Wellenlänge des Absorptionspeaks für verschiedene Partikeldurchmesser veranschaulicht. Die ZnO-Nanopartikel zeigen einen Absorptionspeak bei ungefähr 262 nm, wenn die mittlere Partikelgröße 2,1 nm beträgt. Der runde Punkt in 5 zeigt den aus der TEM-Untersuchung ermittelten Wert der mittleren Partikelgröße an. Aus dem oben Gesagten zeigt sich, dass ZnO-Nanopartikel mit einem Partikeldurchmesser von weniger als ungefähr 8 nm deutliche Eingrenzungseffekte zeigen.
  • Die US20080122103A1 offenbart eine Halbleiterzwischenverbindung und ein Verfahren zur deren Herstellung. Dabei kommt ein UV Licht blokierender Film zum Einsatz.
  • Die US20080166506A1 offenbart eine Substanz, die Metallnanopartikeln und ein Dielektrikum aufweist. Die Metallnanopartikeln absorbieren und blockieren UV Licht.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die beiliegenden Zeichnungen, die in die Beschreibung einbezogen sind und einen Teil derselben darstellen, veranschaulichen die gegenwärtig bevorzugten Ausführungsformen der Erfindung, die in Verbindung mit der oben dargelegten allgemeinen Beschreibung und der detaillierten Beschreibung der im Folgenden dargelegten bevorzugten Ausführungsformen dazu dienen, die Grundgedanken der Erfindung zu erläutern, wobei gleiche Bezugszeichen gleiche Elemente und Teile bezeichnen, wobei:
  • 1 ein Diagramm der Strahlungsleistung als Funktion von der Wellenlänge ist, das die spektrale Ausgangsleistung einer UV-Lampe nach dem Stand der Technik zeigt;
  • 2 ein Diagramm ist, das die Änderung der inneren Spannung einer einschichtigen und einer zweischichtigen SiCHN-Deckschicht infolge der Belichtung mit UV-Strahlung während eines ULK-Härtungsprozesses nach dem Stand der Technik zeigt;
  • 3 einen Graphen zeigt, in dem die prozentuale Schrumpfung der Schichtdicke einer zweischichtigen Low-k-Deckschicht als Funktion der Zeit der UV-Härtung aufgetragen ist. Diese Schrumpfung wird nach dem Stand der Technik auf den Verlust an gebundenem Wasserstoff und Kohlenstoff zurückgeführt;
  • 4 die UV-VIS-Absorptionseigenschaften von ZnO-Nanopartikeln nach dem Stand der Technik zeigt;
  • 5 die Auswirkung der Größe von Nanopartikeln (z. B. ZnO-Nanokolloid) auf die Wellenlänge des Absorptionspeaks nach dem Stand der Technik veranschaulicht;
  • 6 eine seitliche Querschnittsansicht einer Struktur gemäß einer Ausführungsform veranschaulicht, die Nanopartikel unterschiedlicher Größe zwischen einem Ultra-low-k(ULK)-Dielektrikum und einer SiCNH-Deckschicht zeigt, welche das Absorbieren von UV-Strahlen in einem breiten Wellenlängenbereich ermöglichen;
  • 7 eine seitliche Querschnittsansicht der Umwandlung der Struktur in eine Konfiguration mit mehreren Zwischenverbindungsebenen durch Abscheidung einer zweiten ULK-Ebene mit UV-Härtung zeigt;
  • 8 eine seitliche Querschnittsansicht einer Ausführungsform ist, die die Bildung einer TEOS-HM-Schicht für lithografische Zwecke und das nachfolgende Ätzen der ULK-Schicht zeigt, um Gräben und Durchgangskontakte zu bilden und anschließend die Nanopartikel selektiv zu entfernen;
  • 9 zeigt eine seitliche Querschnittsansicht, die eine in-situ-Abscheidung von Cu-Keimen und einer TaN/Ta-Zwischenschicht und eine darauffolgende galvanische Kupferabscheidung veranschaulicht, durch die die Gräben und Durchgangskontakte 155 ausgefüllt werden (8).
  • 10 ist eine seitliche Querschnittsansicht, die einen fertiggestellten BEOL-Stapel gemäß einer Ausführungsform zeigt, der die in der Grenzfläche zwischen dem ULK-Dielektrikum und der SiCNH-Deckschicht angeordneten Nanopartikel in einer Mehrschichtstruktur veranschaulicht.
  • KURZDARSTELLUNG
  • Gemäß einem Aspekt beschreibt die Erfindung das Einfügen von Nanopartikeln zwischen das Material der Deckschicht, die die metallischen Zwischenverbindungen und das darüber liegende isolierende dielektrische ULK-Material bedeckt. Die Verwendung von Nanopartikeln führt zu einer verringerten Schädigung durch UV-Strahlung während der Verarbeitung. Wenn keine Strahlenschäden vorliegen, verbleibt das Material der Deckschicht im Zustand der Druckspannung und festigt somit die BEOL-Struktur. Die gemäß einer Ausführungsform der vorliegenden Erfindung verwendete ultraviolette Strahlung wird vorzugsweise durch eine UV-Lampe mit einem Spektrum im Bereich von 200 nm bis 600 nm erzeugt, wobei ein großer Anteil der Wellenlänge vorzugsweise unterhalb 400 nm liegt.
  • Zwischen die Deckschicht und die ULK-Schicht wird eine Schicht unterschiedlich großer Nanopartikel eingefügt, um während des ULK-Härtungsprozesses UV-Strahlung zu absorbieren. Die Nanopartikel werden vorzugsweise aus einem Metalloxid mit einem Durchmesser im Bereich von 1 nm bis 4 nm hergestellt. Dieser Größenbereich der Nanopartikel stellt eine starke Absorption der UV-Strahlung bis zu ungefähr 375 nm sicher. Die Absorptionskante für SiCNH-Dünnschichten als Funktion des Kohlenstoffgehalts liegt für 26% Kohlenstoff bei ungefähr 400 nm. Gemäß einer Ausführungsform liegt der durch RBS ermittelte Kohlenstoffgehalt der Dünnschichten zwischen 20 und 26%. Strahlung mit einer größeren Wellenlänge als 400 nm wird durch die SiCNH-Dünnschichten durchgelassen und kann keine Schädigung bewirken, die die Dünnschichten in Zugspannung versetzt. Andererseits werden ungefähr 90% des Spektrums der UV-Lampe, das zwischen 200 nm und 400 nm liegt und die SiCNH-Dünnschichten schädigen kann, durch die Nanopartikel absorbiert.
  • Die Bildung einer Monolage Nanopartikel ist entscheidend für die Bereitstellung einer stabilen Struktur, die insbesondere während der Herstellung der Endbearbeitung des Halbleiters (BEOL) und der nachfolgenden Kapselung mit mechanischen Spannungen fertig wird. Eine Monolage Nanopartikel wird bereitgestellt, die vorzugsweise aus Metalloxid wie beispielsweise ZnO oder TiO2 hergestellt werden und die bei der Bildung von Ultra-low-k-Dielektrika verwendete UV-Strahlung dämpfen und absorbieren können.
  • Gemäß einem weiteren Aspekt werden die Nanopartikel bei einer Ausführungsform der vorliegenden Erfindung zwischen eine SiCNH-Deckschicht und ein Ultra-low-k-Dielektrikum eingefügt, damit UV-Strahlung absorbiert wird, sodass die Deckschicht vor Schädigung durch UV-Strahlung und vor dem damit verbundenen Übergang von Druckspannung in Zugspannung geschützt wird. (Zur Beachtung: die Deckschicht gehört zur nächsten Ebene und dient als Unterlage für die nächste Abscheidung von Nanopartikeln, da der Schutz gegen UV-Strahlung durch die Nanopartikel auf jeder ULK-Ebene der im Aufbau befindlichen Mehrschichtstruktur gewährleistet werden soll.) Die Größe der Nanopartikel wird so gewählt, dass diese die UV-Strahlung gezielt bei bestimmten Wellenlängen absorbieren.
  • Gemäß einem weiteren Aspekt stellt die Erfindung Nanopartikel bereit, die auf die Deckschicht durch Rotationsbeschichtung aufgebracht und durch Entfernen der Lösung getrocknet werden, wobei die Lösung aus Methanol oder anderen organischen Alkoholen hergestellt wird.
  • Gemäß noch einem weiteren Aspekt beinhaltet eine Ausführungsform der Erfindung: a) Aufbringen von Nanopartikeln im Rotationsverfahren mittels einer organischen Lösung und Trocknen derselben, wobei die Lösung aus Methanol oder anderen Alkoholen hergestellt wird; b) Abscheiden der ULK-Schicht der nächsten Ebene und Aushärten derselben mittels UV-Strahlung; c) Ätzen der ULK-Schicht und anschließend Entfernen der Nanopartikel mit organischen Lösemitteln auf den durch Ätzen erzeugten freien Flächen mittels DHF, um Lösemittel und weitere Rückstände zu entfernen; d) Abscheiden einer TaN/Ta-Zwischenschicht und von Cu-Keimen in situ und anschließend galvanisches Abscheiden von Kupfer und Tempern; und e) entfernen von überschüssigem Cu/Zwischenschicht durch CMP und anschließend Abscheiden einer SiCNH-Deckschicht.
  • Gemäß noch einem weiteren Aspekt verhindert die Erfindung die durch UV-Strahlung verursachte Schädigung der Deckschicht, deren Spannungszustand durch den Einfluss von UV-Strahlung in höheren Ebenen in Zugspannung übergeht, was zur Bildung von Rissen an der Grenzfläche zwischen ULK und Deckschicht führt. Durch das Einführen einer Zweifachschicht wird die Low-k-Deckschicht nur unter bestimmten UV-Bedingungen wirksam, deren Dicke unter dem Einfluss von UV-Strahlung schrumpft. Darüber hinaus können Dimensionsänderungen die Integrität der BEOL-Struktur infrage stellen.
  • Gemäß einem weiteren Aspekt stellt eine Ausführungsform der Erfindung eine Mehrschichtstruktur bereit, die beinhaltet: eine oder mehrere dielektrische Ultra-low-k(ULK)-Schichten, wobei jede aufeinander folgende dielektrische ULK-Schicht eine Vielzahl in derselben gebildeter, mit Metall gefüllter Gräben und Durchgangskontakte aufweist; eine Deckschicht zum Bedecken und Abdichten der dielektrischen ULK-Schichten, die eine Vielzahl mit Metall gefüllter Gräben und Durchgangskontakte aufweisen; und Nanopartikel, die an der Grenzfläche zwischen den dielektrischen ULK-Schichten und den Deckschichten eine Monolage bilden.
  • Gemäß noch einem weiteren Aspekt stellt die Erfindung ein Verfahren zum Bilden einer Mehrschichtstruktur bereit, das beinhaltet: Bilden einer oder mehrerer dielektrischer Ultra-low-k(ULK)-Schichten, wobei jede aufeinander folgende dielektrische ULK-Schicht eine Vielzahl in derselben gebildeter mit Metall gefüllter Gräben und Durchgangskontakte aufweist, Bilden einer Deckschicht zum Bedecken und Abdichten der dielektrischen ULK-Schichten, welche die Vielzahl mit Metall gefüllter Gräben und Durchgangskontakte aufweisen; und abdeckendes Aufbringen von Nanopartikeln durch Rotationsbeschichtung, die an einer Grenzfläche zwischen den dielektrischen ULK-Schichten und jeder der Deckschichten eine Monolage bilden.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Erfindung wird nunmehr im Rahmen der folgenden Erörterung unter Bezugnahme auf die der vorliegenden Anmeldung beiliegenden Zeichnungen näher beschrieben. Es wird darauf hingewiesen, dass die Zeichnungen der vorliegenden Anmeldung nur zur Veranschaulichung dienen.
  • Im Folgenden wird eine Ausführungsform der vorliegenden Erfindung beschrieben. Zur Vereinfachung und Verdeutlichung der Darstellung sind die in den Zeichnungen gezeigten Elemente nicht notwendigerweise maßstabsgerecht dargestellt. Zum Beispiel können zur Verdeutlichung einige der Elemente gegenüber anderen Elementen hervorgehoben sein,
  • 6 veranschaulicht eine Ausführungsform der erfindungsgemäßen Struktur, die Nanopartikel 120 zeigt, die durch Rotationsbeschichtung auf die SiCNH-Deckschicht 130 oberhalb der Cu-Schicht 140 aufgebracht wurden, wobei die Nanopartikel vorzugsweise aus organischen Lösungen ausgetrocknet werden. Die SiCNH-Dünnschicht kann mit einer Dicke im Bereich zwischen 15 nm und 50 nm hergestellt werden und dient zur Bedeckung der im Folgenden beschriebenen Damaszener Kupferstruktur. Die durch Rotationsbeschichtung oberhalb der Nanopartikel abgeschiedene ULK-Schicht 100 wird vorzugsweise aus einem siliciumorganischen Low-k-Dielektrikum wie beispielsweise SiCOH mit einem Dickenbereich von 50 nm bis 1000 nm hergestellt.
  • Die Nanopartikel 120 bestehen vorzugsweise aus Metalloxiden, z. B. ZnO. Es ist klar, dass andere Materialien mit ähnlichen Eigenschaften wie beispielsweise TiO2 und ähnliche vorteilhaft verwendet werden können. Der bevorzugte Durchmesser der Nanopartikel liegt im Bereich zwischen 1 nm und 4 nm. Die Nanopartikel werden durch Rotationsbeschichtung gleichmäßig abgeschieden und bilden eine Monolage. Es hat sich gezeigt, dass ZnO- oder TiO2-Nanopartikel erfolgreich in verschiedenen Arten alkoholischer Lösungen, darunter Methanol, Ethanol und höhere Alkohole, erfolgreich synthetisiert werden können.
  • Die Größe der Nanopartikel kann so gewählt werden, dass sie gezielt die UV-Strahlung bei einer bestimmten Wellenlänge absorbieren. Der Zweck der Nanopartikel besteht wie oben beschrieben darin, die SICNH-Deckschicht vor UV-Strahlung und damit die Deckschicht vor der Änderung ihres Spannungszustands von Druckspannung in Zugspannung zu schützen. Die frisch abgeschiedene Deckschicht steht unter Druckspannung. Durch Belichtung mit UV-Strahlung ändert sich der Spannungszustand der Deckschicht wie in 2 gezeigt in Zugspannung.
  • Die unter Zugspannung stehende Dünnschicht neigt leichter zum Reißen als unter Druckspannung stehende Dünnschichten. Ein Riss in der Deckschicht kann Risse in der ULK-Dünnschicht mit einem kleinen Elastizitätsmodul auslösen, mit der sie in Kontakt steht. Die Größe der Nanopartikel weist eine breite Verteilung (meist von 1 nm bis 4 nm) auf, sodass der größte Teil der UV-Strahlung gezielt absorbiert werden kann.
  • Der Absorptionspeak, der oben unter Bezugnahme auf 5 gezeigt wurde, variiert mit der Partikelgröße. Der Absorptionspeak verschiebt sich aufgrund eines Quanteneingrenzungseffektes (quantum confinement) mit zunehmender Partikelgröße nach kürzeren Wellenlängen. Das Ziel besteht darin, Strahlung mit einer kürzeren Wellenlänge als ungefähr 400 nm zu absorbieren, bei der die oben unter Bezugnahme auf 1 gezeigte UV-Lampe den größten Teil ihrer UV-Strahlung emittiert und die SiCHN-Deckschicht eine Absorptionskante aufweist.
  • Die Strahlung wird durch die Nanopartikel durch Elektronenübergänge vom oberen Teil des Valenzbandes in das Leitungsband absorbiert. Die angeregten Elektronen fallen wieder in das Valenzband zurück, typischerweise durch eine Reihe von Übergängen über Defektzustände in der optischen Bandlücke. Für ZnO liegt der Peak der resultierenden Photolumineszenzspektren bei ungefähr 550 nm (sichtbares Licht).
  • Bezug nehmend auf 7 werden dann an ausgewählten Stellen Gräben mit einer kritischen Abmessung (critical dimension, CD) im Bereich von 40 nm bis 1.000 nm gebildet, deren Seiten mit einer Zwischenschicht 170 überzogen sind, vorzugsweise, ohne darauf beschränkt zu sein, aus Ta/TaN mit einer Dicke von ungefähr 10 nm oder weniger. Dann werden die Gräben vorzugsweise durch physikalische Gasphasenabscheidung (physical vapor deposition, PVD) mit Cu-Keimen beschichtet, vorzugsweise unter Verwendung von Sputterverfahren und mit einer Dicke in der Größenordnung von ungefähr 100 nm oder weniger. Daran schließt sich das galvanische Ausfüllen mit Kupfer 180 an.
  • Die somit gebildete Struktur wird in eine mehrschichtige Zwischenverbindungskonfiguration überführt. Ähnlich der vorhergehenden ULK-Schicht wird oberhalb der Monolage aus Nanopartikeln 120 (d. h. mit Abmessungen im Bereich von 1 nm bis 4 nm) vorzugsweise mittels PECVD eine neue ULK-Schicht 100' abgeschieden und anschließend einer UV-Härtung unterzogen. Wie oben beschrieben kann die obere ULK-Ebene wiederum durch Hinzufügen von Gräben und Durchgangskontakten verändert werden, um weitere Zwischenverbindungen bereitzustellen, oder durch Cu-Verbindungen mit vorhergehenden Ebenen verbunden werden.
  • Das oben Gesagte wird unter Bezugnahme auf 8 deutlich, die die durch Ätzen der ULK-Schicht strukturierte oberste Ebene, das Entfernen der Nanopartikel vorzugsweise mit organischen Lösemitteln an den durch RIE-Ätzprozesse erzeugten freien Flächen und das anschließende Entfernen der Lösemittel und anderer Rückstände mittels DHF (verdünnte Flusssäure) veranschaulicht. Der Prozess wird vorzugsweise dadurch ermöglicht, dass auf der oberen Ebene für die lithografische Bearbeitung eine TEOS-Hartmaske (HM) 160 abgeschieden wird, die vorzugsweise mittels PECVD gebildet wird. Die Dicke der TEOS-HM kann vorzugsweise im Bereich von 15 nm bis 50 nm liegen. In 8 sind auch mehrere durch Ätzen gebildete Gräben 150 und Durchgangskontakte 155 dargestellt, wobei die Nanopartikel an den Stellen selektiv entfernt wurden, wo ein Kontakt mit den galvanisch mit Cu beschichteten Gräben in dem ersten ULK-Substrat hergestellt werden soll. Aufgabe der somit gebildeten zusätzlichen Gräben ist es, die Bildung eines Netzes von Zwischenverbindungen zu schaffen, das für VLSI-Chips benötigt wird.
  • 9 zeigt die oben beschriebene Abscheidung der TEOS-HM 160, auf der in situ eine Zwischenschicht 185 aus TaN/Ta und anschließend Cu-Keime und ECP-Kupfer 190 abgeschieden wurden, die die Gräben und die Durchgangskontakte ausfüllen, und einen Temperschritt. Die somit gebildete Struktur wird vorzugsweise mittels eines CMP-Prozesses planarisiert. Das elektrochemische Beschichten dient zum Ausfüllen der Damaszener-Gräben mit Kupfer und erfolgt üblicherweise bei Raumtemperatur (25°C). Die Schicht der Kupferkeime wird unter Verwendung physikalischer Abscheidungstechniken wie beispielsweise Sputtern abgeschieden und besteht aus Kupferlegierungen (d. h. mit Aluminium, Mangan und anderen Legierungselementen).
  • 10 zeigt eine schematische Darstellung des fertigen BEOL-Stapels mit Nanopartikeln zwischen dem Low-k-Dielektrikum und der SiCNH-Deckschicht. Die Struktur wird mittels eines CMP-Prozesses poliert und planarisiert. Nach diesem Prozess wird die SiCNH-Deckschicht abgeschieden. Oberhalb jeder nachfolgenden Kombination einer ULK-Schicht mit einer darüber liegenden SiCNH-Deckschicht können weitere Monolagen von Nanopartikeln gebildet werden, sodass eine Mehrschichtstruktur entsteht.
  • Zusammenfassend ist zu sagen, dass die vorliegende Beschreibung eine Mehrschichtstruktur zum Erzeugen eines BEOL-Stapels mit üblicherweise 15 bis 22 Ebenen erläutert. Ein bevorzugter Prozess zur Herstellung jeder Ebene, die den Stapel bildet, beinhaltet:
    • 1. Aufbringen von Nanopartikeln mittels Rotationsbeschichtung und Austrocknen der Lösung;
    • 2. Abscheiden der ULK-Schicht der nächsten Ebene und anschließendes UV-Härten;
    • 3. Lithografisches Strukturieren von Leitungen oder Durchgangskontakten;
    • 4. Übertragen von Leitungs- oder Durchgangskontaktstrukturen in das ULK-Dielektrikum durch Ätzen;
    • 5. Entfernen der Nanopartikel am Boden der freien Flächen mit organischen Lösemitteln (d. h. der durch den RIE-Prozess gebildeten freien Flächen, wobei in der ULK-Dünnschicht Leitungsbahnen und Durchgangskontakte freigelegt werden);
    • 6. Abspülen von Lösemitteln und RIE-Rückständen mit verdünnter HF (diluted HF, DHF);
    • 7. Abscheiden einer TaN/Ta-Zwischenschicht und von Cu-Keimen in situ durch physikalische Gasphasenabscheidung (PVD), anschließendes galvanisches Abscheiden (electrochemical plating, ECP) von Cu und Tempern des Cu;
    • 8. CMP von überschüssigem Material des Kupfers und der Zwischenschicht;
    • 9. Abscheiden einer SiCNH-Deckschicht; und
    • 10. Zurück zu Schritt 1.
  • Obwohl die vorliegende Erfindung insbesondere unter Bezugnahme auf deren bevorzugte Ausführungsformen gezeigt und beschrieben wird, ist dem Fachmann klar, dass das oben Beschriebene sowie weitere Änderungen von Formen und Details ausgeführt werden können, ohne vom Wesensgehalt der vorliegenden Erfindung abzuweichen.
  • INDUSTRIELLE ANWENDBARKEIT
  • Die vorliegende Erfindung findet industrielle Anwendungen in der Konstruktion und Fertigung von Hochleistungs-Feldeffekttransistoren (FET) auf Halbleiterbasis, die in integrierte Schaltkreis-Chips eingebaut und in einer großen Vielfalt an elektronischen und elektrischen Vorrichtungen eingesetzt werden.

Claims (25)

  1. Mehrschichtige Halbleiterstruktur, die aufweist: eine dielektrische Ultra-low-k(ULK)-Schicht (100), wobei die dielektrische ULK-Schicht eine Vielzahl darin gebildeter Gräben (180) und Durchgangskontakte (150) aufweist, die mit Metall gefüllt sind; eine Deckschicht (130), worauf die dielektrische ULK-Schicht (100) angeordnet ist; und Nanopartikel (120), die eine Monoschicht an einer Grenzfläche zwischen der dielektrischen ULK-Schicht und der Deckschicht bilden.
  2. Mehrschichtige Struktur nach Anspruch 1, wobei die Nanopartikel mehrere Größen aufweisen.
  3. Mehrschichtige Struktur nach Anspruch 2, wobei die zwischen die Deckschicht und die ULK-Schicht eingefügten Nanopartikel die UV-Strahlung während des ULK-Härtens dämpfen und absorbieren.
  4. Mehrschichtige Struktur nach Anspruch 1, wobei die Nanopartikel in einer chemischen Lösung synthetisiert und anschließend durch Entfernen der Lösung getrocknet werden.
  5. Mehrschichtige Struktur nach Anspruch 4, wobei die Lösung organische Verbindungen, darunter Methanol, Ethanol und höhere Alkohole, aufweist.
  6. Mehrschichtige Struktur nach Anspruch 1, wobei die Größe der Nanopartikel zwischen 1 nm und 4 nm variiert.
  7. Mehrschichtige Struktur nach Anspruch 1, die ferner die Nanopartikel mit einer Größenverteilung aufweist, um UV-Strahlung mit Wellenlängebereichen zwischen 200 nm und 600 nm zu absorbieren.
  8. Mehrschichtige Struktur nach Anspruch 1, wobei die Nanopartikel das UV-Licht absorbieren, bevor die Deckschicht geschädigt wird.
  9. Mehrschichtige Struktur nach Anspruch 1, wobei die Nanopartikel aus einem Metalloxid hergestellt werden.
  10. Mehrschichtige Struktur nach Anspruch 9, wobei es sich bei dem Metalloxid um ZnO oder TiO2 handelt.
  11. Mehrschichtige Struktur nach Anspruch 1, wobei die Nanopartikel durch Rotationsbeschichtung gleichmäßig auf die Deckschicht aufgetragen werden.
  12. Verfahren zum Bilden einer Mehrschichtstruktur, wobei das Verfahren aufweist: Bilden einer Deckschicht (130); abdeckendes Aufbringen von Nanopartikeln (120) durch Rotationsbeschichtung, die auf der Deckschicht (130) eine Monolage bilden; und Bilden einer dielektrischen Ultra-law-k(ULK)-Schicht (100), wobei die Nanopartikein an einer Grenzfläche zwischen der dielektrischen ULK-Schicht und der Deckschicht (130) eine Monolage bilden, wobei die dielektrische ULK-Schicht eine Vielzahl darin gebildeter, mit Metall gefüllter Gräben (180) und Durchgangskontakte (155) aufweist.
  13. Verfahren nach Anspruch 12, das ferner aufweist: a. Aufbringen einer Lösung der Nanopartikeln durch Rotationsbeschichtung und anschließend Austrocknen der Nanopartikel aus dem Lösemittel; b. Abscheiden einer nächsten Ebene des ULK und UV-Härtung; c. Ätzen des ULK und Entfernen der Nanopartikel von den geätzten freien Flächen; d. Abscheiden einer Zwischenschicht (185) aus hochschmelzendem Metall und einer leitenden Schicht, anschließend Ausfüllen der freien Flächen mit galvanisch abgeschiedenem Kupfer und anschließend Tempern; e. Polieren der Zwischenschicht und der leitenden Schicht; und f. Abscheiden einer dielektrischen Deckschicht darauf.
  14. Verfahren nach Anspruch 13, wobei die leitende Schicht aus Cu oder einer Cu-Legierung hergestellt wird.
  15. Verfahren nach Anspruch 13, wobei die dielektrische Deckschicht aus SiCHN hergestellt wird.
  16. Verfahren nach Anspruch 13, wobei das Trocknen durch Erwärmen erfolgt.
  17. Verfahren nach Anspruch 13, wobei das Austrocknen der Nanopartikel unter Verwendung eines organischen Lösemittels erfolgt.
  18. Verfahren nach Anspruch 13, wobei nach dem Polieren das Entfernen der Lösemittel und weiterer Rückstände folgt.
  19. Verfahren nach Anspruch 13, wobei die aus hochschmelzendem Metall bestehende Zwischenschicht aus TaN, Ta, W, WNx, TiNx, Ru oder Co hergestellt wird
  20. Verfahren nach Anspruch 18, wobei das Entfernen der Lösemittel und Rückstände unter Verwendung von verdünnter Flusssäure (DHF) erfolgt.
  21. Verfahren nach Anspruch 12, wobei das Ausfüllen der Gräben durch Galvanisieren erfolgt.
  22. Verfahren nach Anspruch 13, wobei das Polieren durch ein chemisch-mechanisches Polieren (CMP) erfolgt.
  23. Verfahren nach Anspruch 13, das ferner das Härten der Ultra-low-k(ULK)-Delektrika aufweist.
  24. Verfahren nach Anspruch 13, wobei die Strahlung das ULK-Dielektrikum durchdringt und die Deckschicht erreicht.
  25. Verfahren zum Bilden eines mehrschichtigen Back-end-of-line(BEOL)-Stapels, wobei jede Ebene aufweist: Aufbringen einer Nanopartikel (120) enthaltenden Lösung durch Rotationsbeschichtung und anschließendes Austrocknen der Lösung; Bilden einer dielektrischen ULK-Schicht (100) und anschließend UV-Härtung; Lithografisches Strukturieren von Leitungen oder Durchgangskontakten (155); Übertragen der strukturierten Leitungen und Durchgangskontakte durch Ätzen in die dielektrische ULK-Schicht (100); Entfernen der Nanopartikel (120) an einem Boden von freien Flächen, die durch Spülen mit organischen Lösemitteln erzeugt wurden; Reinigen der Oberfläche mittels DHF und Abspülen der Lösemittel und der Rückstände vom Ätzen; Bilden einer Zwischenschicht (185), die aus TaN, Ta, W, WNx, TiNx, Ru, Co hergestellt wurde, anschließend Abscheiden (190) von Cu oder Cu-Legierungen und dann elektrochemisches Behandeln des Cu und anschließend Härten des Cu; und Durchführen einer chemisch-mechanischen Politur des Überschusses an Kupfer und Zwischenschicht und Abscheiden einer SiCNH-Deckschicht (130).
DE112011103146.7T 2010-09-20 2011-08-10 Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln Active DE112011103146B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/885,596 2010-09-20
US12/885,596 US8129269B1 (en) 2010-09-20 2010-09-20 Method of improving mechanical properties of semiconductor interconnects with nanoparticles
PCT/US2011/047152 WO2012039850A2 (en) 2010-09-20 2011-08-10 Method of improving mechanical properties of semiconductor interconnects with nanoparticles

Publications (2)

Publication Number Publication Date
DE112011103146T5 DE112011103146T5 (de) 2013-07-25
DE112011103146B4 true DE112011103146B4 (de) 2015-02-26

Family

ID=45757901

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011103146.7T Active DE112011103146B4 (de) 2010-09-20 2011-08-10 Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln

Country Status (5)

Country Link
US (2) US8129269B1 (de)
CN (1) CN103180933A (de)
DE (1) DE112011103146B4 (de)
GB (1) GB2497485B (de)
WO (1) WO2012039850A2 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120235304A1 (en) * 2011-03-18 2012-09-20 Globalfoundries Inc. Ultraviolet (uv)-reflecting film for beol processing
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9367654B2 (en) * 2013-02-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Limited Variation modeling
CN104112700B (zh) * 2013-04-18 2017-03-29 中芯国际集成电路制造(上海)有限公司 一种改善金属互连工艺中线路断裂缺陷的方法
CN104658967B (zh) * 2013-11-21 2017-10-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US20150357236A1 (en) 2014-06-08 2015-12-10 International Business Machines Corporation Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects
KR102491577B1 (ko) 2015-09-23 2023-01-25 삼성전자주식회사 유전 층을 갖는 반도체 소자 형성 방법 및 관련된 시스템
US9768061B1 (en) * 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
US10211153B2 (en) * 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
EP3633431A1 (de) * 2018-10-05 2020-04-08 Indigo Diabetes N.V. Schweissschutz für hermetische abdichtung auf wafer-ebene
US10679892B1 (en) * 2019-02-28 2020-06-09 International Business Machines Corporation Multi-buried ULK field in BEOL structure
CN110972403A (zh) * 2019-12-04 2020-04-07 广东工业大学 一种基于纳米铜的精细嵌入式线路的成型方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080122103A1 (en) * 2006-11-29 2008-05-29 International Business Machines Corporation Embedded nano uv blocking barrier for improved reliability of copper/ultra low k interlevel dielectric electronic devices
US20080166506A1 (en) * 2007-01-10 2008-07-10 Samsung Advanced Institute Of Technology Uv light-blocking material with metal nanoparticles

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6930034B2 (en) * 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7367739B2 (en) * 2004-08-02 2008-05-06 Clark Equipment Company Two component seal
JP2006161969A (ja) * 2004-12-08 2006-06-22 Toyota Industries Corp 軸受部のシール方法及びシール装置
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
WO2006081664A1 (en) * 2005-02-03 2006-08-10 Héroux-Devtek Inc. Alternative uplock release assembly
WO2008033227A2 (en) * 2006-09-11 2008-03-20 Applied Nano Works, Inc. Optically clear nanoparticle colloidal suspensions and method of making thereof
WO2009102363A2 (en) * 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
US20090258230A1 (en) 2008-04-11 2009-10-15 Kobo Products, Inc. Porous and/or hollow material containing uv attenuating nanoparticles, method of production and use

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080122103A1 (en) * 2006-11-29 2008-05-29 International Business Machines Corporation Embedded nano uv blocking barrier for improved reliability of copper/ultra low k interlevel dielectric electronic devices
US20080166506A1 (en) * 2007-01-10 2008-07-10 Samsung Advanced Institute Of Technology Uv light-blocking material with metal nanoparticles

Also Published As

Publication number Publication date
US8129269B1 (en) 2012-03-06
CN103180933A (zh) 2013-06-26
WO2012039850A3 (en) 2012-06-07
GB2497485A (en) 2013-06-12
US20120146224A1 (en) 2012-06-14
DE112011103146T5 (de) 2013-07-25
WO2012039850A2 (en) 2012-03-29
US8384219B2 (en) 2013-02-26
US20120068315A1 (en) 2012-03-22
GB2497485B (en) 2014-12-24
GB201305611D0 (en) 2013-05-15

Similar Documents

Publication Publication Date Title
DE112011103146B4 (de) Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE102004010352B4 (de) Reduktion von Schub- bzw. Scherspannungen in Kupfer-Vias in organischem dielektrischen Zwischenschichtmaterial
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102007046846A1 (de) Seitenwandschutzschicht
DE102006053927A1 (de) Halbleiter-Bauteil und ein Verfahren zu seiner Herstellung
DE102013101191B4 (de) Halbleiterstruktur aufweisend widerstandsvariable Speicherstruktur und Verfahren zur Ausbildung Dieser
DE10226571A1 (de) Prozess zur Ausbildung von Schmelzsicherungen
DE102015115617A1 (de) Verbindungsstruktur und Verfahren zu ihrer Herstellung
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102014118991A1 (de) Verbindungsstruktur für Halbleitervorrichtungen
DE112004001530T5 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102012206024A1 (de) Verfahren zum Bilden oxideingekapselter leitfähiger Merkmale
DE102021111424A1 (de) Speichervorrichtung und Verfahren zu deren Herstellung
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102012105304B4 (de) Vorrichtung zur Belastungsreduzierung
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021320500

Ipc: H01L0023532000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021320500

Ipc: H01L0023532000

Effective date: 20141112

R020 Patent grant now final