DE19728473A1 - Layer structuring by dry etching process - Google Patents

Layer structuring by dry etching process

Info

Publication number
DE19728473A1
DE19728473A1 DE1997128473 DE19728473A DE19728473A1 DE 19728473 A1 DE19728473 A1 DE 19728473A1 DE 1997128473 DE1997128473 DE 1997128473 DE 19728473 A DE19728473 A DE 19728473A DE 19728473 A1 DE19728473 A1 DE 19728473A1
Authority
DE
Germany
Prior art keywords
layer
structured
metal
mask
structuring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE1997128473
Other languages
German (de)
Inventor
Volker Dipl Chem Dr Weinrich
Manfred Dipl Phys D Engelhardt
Siegfried Dipl Phys D Schwarzl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE1997128473 priority Critical patent/DE19728473A1/en
Publication of DE19728473A1 publication Critical patent/DE19728473A1/en
Ceased legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A process for structuring a layer by dry etching through a mask employs a mask of a metal (preferably Al, Ti, Ta, Mo and/or W), a metal nitride, a metal silicide or a metal oxide. Preferably, the mask consists of aluminium oxide or a titanium nitride, especially TiNx where x = 0.8-1.2 exclusive; the layer consists of copper, iron, cobalt, nickel, a 4d or 5d transition metal (especially a Pt group metal), a ferroelectric material, a high permeability dielectric material, a perovskite or their precursors; and the etching reagent is a reactive gas selected from O2, N2, H2, gaseous fluorine compounds and Cl2.

Description

Die vorliegende Erfindung betrifft ein Strukturierungsverfah­ ren, insbesondere ein Verfahren zur Strukturierung von plas­ ma- oder trocken-chemisch nur schwer oder nicht ätzbaren Schichten wie beispielsweise Schichten aus Edelmetallen, fer­ roelektrischen Materialien sowie dielektrischen Materialien mit hoher Permittivität.The present invention relates to a structuring method ren, in particular a process for structuring plas ma- or dry-chemical difficult or not etchable Layers such as layers of precious metals, fer roelectric materials and dielectric materials with high permittivity.

Bei der Entwicklung von hochintegrierten Speicherbausteinen, wie z. B. DRAMs bzw. FRAMs sollte die Zellkapazität bei der fortschreitenden Miniaturisierung beibehalten oder sogar noch verbessert werden. Zur Erreichung dieses Ziels werden immer dünnere dielektrische Schichten und gefaltete Kondensatore­ lektroden (Trench-Zelle, Stack-Zelle) verwendet. In letzter Zeit werden anstatt des herkömmlichen Siliziumoxids neue Ma­ terialien, insbesondere Paraelektrika und Ferroelektrika, zwischen den Kondensatorelektroden einer Speicherzelle ver­ wendet. Beispielsweise kommen Bariumstrontiumtitanat (BST, (Ba, Sr)TiO3), Bleizirkontitanat (PZT, Pb(Zr, Ti)O3) bzw. Lanthan-dotiertes Bleizirkontitanat oder Strontiumwismuttan­ talat (SBT, SrBi2Ta2O9) für die Kondensatoren der Speicherzel­ len bei DRAMs bzw. FRAMs zum Einsatz.In the development of highly integrated memory chips, such as B. DRAMs or FRAMs, the cell capacity should be maintained or even improved as miniaturization progresses. To achieve this goal, ever thinner dielectric layers and folded capacitor electrodes (trench cell, stack cell) are used. Recently, instead of the conventional silicon oxide, new materials, in particular paraelectrics and ferroelectrics, have been used between the capacitor electrodes of a memory cell. For example, barium strontium titanate (BST, (Ba, Sr) TiO 3 ), lead zirconium titanate (PZT, Pb (Zr, Ti) O 3 ) or lanthanum-doped lead zirconium titanate or strontium bismuth tantalum (SBT, SrBi 2 Ta 2 O 9 ) are used for the capacitors of the memory cells are used with DRAMs or FRAMs.

Dabei werden diese Materialien üblicherweise auf bereits vor­ handenen Elektroden (Bodenelektroden) abgeschieden. Die Pro­ zessierung erfolgt unter hohen Temperaturen, so daß die Mate­ rialien, aus denen normalerweise die Kondensatorelektroden bestehen, so z. B. dotiertes Polysilizium, leicht oxidiert werden und ihre elektrisch leitenden Eigenschaften verlieren, was zum Ausfall der Speicherzelle führen würde.These materials are usually pre-prepared existing electrodes (bottom electrodes) deposited. The pro cessation takes place at high temperatures, so that the mate materials that normally make up the capacitor electrodes exist, e.g. B. doped polysilicon, easily oxidized and lose their electrically conductive properties, which would lead to the failure of the memory cell.

Wegen ihrer guten Oxidationsbeständigkeit und/oder der Aus­ bildung elektrisch leitfähiger Oxide gelten 4d und 5d Über­ gangsmetalle, insbesondere Platinmetalle (Ru, Rh, Pd, Os, Ir, Pt) und insbesondere Platin selbst, sowie Rhenium als aus­ sichtsreiche Kandidaten, die dotiertes Polysilizium als Elek­ trodenmaterial in den obengenannten Speicherzellen ersetzen könnten.Because of their good oxidation resistance and / or the out The formation of electrically conductive oxides applies to 4d and 5d  transition metals, especially platinum metals (Ru, Rh, Pd, Os, Ir, Pt) and especially platinum itself, as well as rhenium promising candidates, the doped polysilicon as Elek Replace electrode material in the storage cells mentioned above could.

Die fortschreitende Miniaturisierung der Bauelemente hat ebenfalls zur Folge, daß Ersatzmaterialien für das heute für die Leiterbahnen verwendete Aluminium erforderlich werden. Dabei sollte das Ersatzmaterial einen geringeren spezifischen Widerstand und eine geringere Elektromigration als Aluminium aufweisen. Als aussichtsreichster Kandidat gilt dabei Kupfer.The advancing miniaturization of components has also the consequence that replacement materials for today for the conductor tracks used aluminum will be required. The replacement material should have a lower specific Resistance and less electromigration than aluminum exhibit. Copper is the most promising candidate.

Weiterhin erfordert die Entwicklung magnetischer "Random Ac­ cess Memories" (MRAMs) die Integration magnetischer Schichten (z. B. Fe, Co, Ni oder Permalloy) in mikroelektronische Schal­ tungen.Furthermore, the development of magnetic "Random Ac cess Memories "(MRAMs) the integration of magnetic layers (e.g. Fe, Co, Ni or Permalloy) in microelectronic scarf exercises.

Um aus den genannten, bisher in der Halbleitertechnologie noch nicht verbreiteten Materialien eine integrierte Schal­ tung aufbauen zu können, müssen dünne Schichten dieser Mate­ rialien strukturiert werden.To get out of those mentioned so far in semiconductor technology not yet common materials an integrated scarf thin layers of this mate rialien be structured.

Die Strukturierung der bisher verwendeten Materialien erfolgt in der Regel durch sogenannte plasmaunterstützte anisotrope Ätzverfahren. Dabei werden üblicherweise physikalisch­ chemische Verfahren angewandt, bei denen Gasgemische aus ei­ nem oder mehreren reaktiven Gasen, wie z. B. Sauerstoff, Chlor, Brom, Chlorwasserstoff, Bromwasserstoff bzw. haloge­ nierten Kohlenwasserstoffen und aus Edelgasen (z. B. Ar, He) verwendet werden. Diese Gasgemische werden in der Regel in einem elektromagnetischen Wechselfeld bei geringen Drücken angeregt.The materials used so far are structured usually through so-called plasma-assisted anisotropic Etching process. It usually becomes physical chemical processes applied, in which gas mixtures from egg Nem or more reactive gases, such as. B. oxygen, Chlorine, bromine, hydrogen chloride, hydrogen bromide or halogen hydrocarbons and noble gases (e.g. Ar, He) be used. These gas mixtures are usually in an alternating electromagnetic field at low pressures excited.

Fig. 7 zeigt die prinzipielle Arbeitsweise einer Ätzkammer, dargestellt am Beispiel eines Parallelplattenreaktors 20. Das Gasgemisch, z. B. Ar und Cl2, wird über den Gaseinlass 21 der eigentlichen Reaktorkammer 22 zugeführt und durch den Gasaus­ lass 29 wieder abgepumpt. Die untere Platte 24 des Parallel­ plattenreaktors ist über eine Kapazität 27 mit einer Hochfre­ quenzquelle 28 verbunden und dient als Substrathalter. Durch das Anlegen eines hochfrequenten elektrischen Wechselfeldes an die obere und die untere Platte 23, 24 des Parallelplat­ tenreaktors wird das Gasgemisch in ein Plasma 25 überführt. Da die Beweglichkeit der Elektronen größer als die der Gaska­ tionen ist, laden sich die obere und die untere Platte 23, 24 gegenüber dem Plasma 25 negativ auf. Daher üben beide Platten 23, 24 auf die positiv geladenen Gaskationen eine hohe Anzie­ hungskraft aus, so daß sie einem permanenten Bombardement durch diese Ionen, z. B. Ar⁺ ausgesetzt sind. Da der Gasdruck zudem niedrig gehalten wird, typischerweise 0.1-10 Pa, fin­ det nur eine geringfügige Streuung der Ionen untereinander und an den Neutralteilchen statt, und die Ionen treffen nahe­ zu senkrecht auf die Oberfläche eines Substrats 26, das auf der unteren Platte 24 des Parallelplattenreaktors gehalten ist. Dies erlaubt eine gute Abbildung einer Maske (nicht ge­ zeigt) auf die darunterliegende, zu ätzende Schicht des Substrats 26. Fig. 7 shows the principle of operation of an etching chamber, the example of a parallel plate reactor 20. The gas mixture, e.g. B. Ar and Cl 2 , is fed via the gas inlet 21 of the actual reactor chamber 22 and 29 through the Gasaus pumped out again. The lower plate 24 of the parallel plate reactor is connected via a capacitance 27 to a high frequency source 28 and serves as a substrate holder. By applying a high-frequency electrical alternating field to the upper and lower plates 23 , 24 of the parallel plate reactor, the gas mixture is converted into a plasma 25 . Since the mobility of the electrons is greater than that of the gas ions, the upper and lower plates 23 , 24 charge negatively with respect to the plasma 25 . Therefore, both plates 23 , 24 exert a high attraction on the positively charged gas cations, so that they are permanently bombarded by these ions, e.g. B. Ar⁺ are exposed. Since the gas pressure is also kept low, typically 0.1-10 Pa, there is only a slight scattering of the ions among one another and at the neutral particles, and the ions strike the surface of a substrate 26 that is on the lower plate 24 of the plate almost too perpendicularly Parallel plate reactor is held. This allows a good image of a mask (not shown) on the underlying layer of the substrate 26 to be etched.

Üblicherweise werden als Maskenmaterialien Photolacke verwen­ det, da diese durch einen Belichtungsschritt und einen Ent­ wicklungsschritt relativ einfach strukturiert werden können.Photoresists are usually used as mask materials det, since this by an exposure step and a Ent development step can be structured relatively easily.

Der physikalische Teil der Ätzung wird durch Impuls und kine­ tische Energie der auftreffenden Ionen (z. B. Cl2⁺, Ar⁺) be­ wirkt. Zusätzlich werden dadurch chemische Reaktionen zwi­ schen dem Substrat und den reaktiven Gasteilchen (Ionen, Mo­ leküle, Atome, Radikale) unter Bildung flüchtiger Reaktions­ produkte initiiert oder verstärkt (chemischer Teil der At­ zung). Diese chemischen Reaktionen zwischen den Substratteil­ chen und den Gasteilchen sind verantwortlich für hohe Ätzse­ lektivitäten des Ätzprozesses. The physical part of the etching is affected by momentum and kinetic energy of the impinging ions (e.g. Cl 2 ⁺, Ar⁺). In addition, chemical reactions between the substrate and the reactive gas particles (ions, molecules, atoms, radicals) are initiated or intensified to form volatile reaction products (chemical part of the etching). These chemical reactions between the substrate particles and the gas particles are responsible for the high etching selectivities of the etching process.

Leider hat sich herausgestellt, daß die oben genannten, in integrierten Schaltungen neu eingesetzten Materialien zu den chemisch nur schwer oder nicht ätzbaren Materialien gehören, bei denen der Ätzabtrag, auch bei der Verwendung "reaktiver" Gase, überwiegend oder fast ausschließlich auf dem physikali­ schen Anteil der Ätzung beruht.Unfortunately, it has been found that the above, in integrated circuits to the materials used belong chemically difficult or non-etchable materials, where the etching removal, even when using "reactive" Gases, predominantly or almost exclusively on the physi portion of the etching.

Wegen der geringen oder fehlenden chemischen Komponente der Ätzung liegt der Ätzabtrag der zu strukturienden Schicht in der selben Größenordnung wie der Ätzabtrag der Maske bzw. der Unterlage Ätzstoppschicht), d. h. die Ätzselektivität zur Ätzmaske bzw. Unterlage ist im allgemeinen klein (zwischen etwa 0,3 und 3,0). Dies hat zur Folge, daß durch die Erosion von Masken mit geneigten Flanken und die unvermeidliche Fa­ cettenbildung der Masken nur eine geringe Maßhaltigkeit der Strukturierung gewährleistet werden kann. Darüber hinaus wird, insbesondere bei einem "Overetch"-Schritt, die Unterla­ ge stark angeätzt und es kommt zu schwer kontrollierbaren Ab­ schrägungen der Ätzflanken.Because of the low or no chemical component of the Etching is the etching removal of the layer to be structured the same order of magnitude as the etching removal of the mask or Etch stop layer), d. H. the etch selectivity for Etching mask or underlay is generally small (between about 0.3 and 3.0). As a result, erosion of masks with sloping flanks and the inevitable Fa chain formation of the masks only low dimensional accuracy Structuring can be guaranteed. Furthermore is, especially in an "overetch" step, the Unterla highly etched and difficult to control ab bevels of the etching flanks.

Es ist daher die Aufgabe der vorliegenden Erfindung, ein Strukturierungsverfahren bereit zustellen, das die genannten Nachteile der bisherigen Verfahren vermeidet oder mindert.It is therefore the object of the present invention To provide structuring procedures that the aforementioned Avoids or reduces disadvantages of the previous methods.

Diese Aufgabe wird von dem Verfahren gemäß Patentanspruch 1 gelöst. Weitere vorteilhafte Ausführungsformen, Ausgestaltun­ gen und Aspekte der vorliegenden Erfindung ergeben sich aus den Unteransprüchen der Beschreibung und den beiliegenden Zeichnungen.This object is achieved by the method according to claim 1 solved. Further advantageous embodiments, Ausgestaltun conditions and aspects of the present invention result from the subclaims of the description and the enclosed Drawings.

Erfindungsgemäß wird ein Verfahren zur Strukturierung zumin­ dest einer zu strukturierenden Schicht bereitgestellt, das die folgenden Schritte umfaßt: die zu strukturierende Schicht wird bereitgestellt, eine Maske wird auf der zu strukturie­ renden Schicht bereitgestellt und die zu strukturierende Schicht wird trockengeätzt. Das erfindungsgemäße Verfahren ist dadurch gekennzeichnet, daß die Maske ein Metallsilizid, ein Metallnitrid oder ein Metalloxid enthält.According to the invention, a method for structuring is provided least one layer to be structured, the comprises the following steps: the layer to be structured is provided, a mask is made on the structure layer and the structure to be structured  Layer is dry etched. The method according to the invention is characterized in that the mask is a metal silicide, contains a metal nitride or a metal oxide.

Die Erfindung hat den Vorteil, daß Metallsilizide, Metallni­ tride oder Metalloxide im Vergleich zu Photolacken wider­ standsfähiger sind, so daß ein chemisches "Veraschen" der Maske verhindert wird. Die hohe Bindungsenergie der Metallio­ nen in Siliziden, Nitriden oder Oxiden führt zu sehr geringen Abtragsraten bei Ätzprozessen mit einem hohen physikalischen Anteil. Dies hat insgesamt zur Folge, daß die Selektivität des Ätzprozesses erhöht wird. Durch die geringere Maskenero­ sion ergibt sich eine höhere Maßhaltigkeit der Strukturie­ rung. Darüber hinaus lassen sich durch das erfindungsgemäße Verfahren auch mit reaktiven Gasen steilere Ätzflanken an der zu strukturienden Schicht erzielen. Ätzflanken mit einem Flankenwinkel von über 85° können erzeugt werden.The invention has the advantage that metal silicides, metal Ni tride or metal oxides compared to photoresists are more stable, so that a chemical "ashing" of the Mask is prevented. The high binding energy of the Metallio in silicides, nitrides or oxides leads to very low levels Removal rates in etching processes with a high physical Proportion of. Overall, this has the consequence that the selectivity the etching process is increased. Due to the lower Maskenero sion there is a higher dimensional accuracy of the structure tion. In addition, the inventive Process also with reactive gases with steeper etching edges on the to achieve a structuring layer. Etching edges with a Flank angles of over 85 ° can be created.

Die zu strukturierenden Schichten sind häufig auf einer SiO2- Unterlage aufgebracht. In diesem Fall besitzt eine erfin­ dungsgemäße Maske, die ein Metallsilizid, ein Metallnitrid oder ein Metalloxid enthält, gegenüber SiO2-Masken den Vor­ teil, daß die erfindungsgemäßen Masken selektiv zu der SiO2- Unterlage, d. h. ohne die SiO2-Unterlage stark anzugreifen, wieder entfernt werden können. Unerwünschte Erhöhungen der Topologie können somit vermieden werden.The layers to be structured are often applied to an SiO 2 base. In this case, a mask according to the invention, which contains a metal silicide, a metal nitride or a metal oxide, has the advantage over SiO 2 masks that the masks according to the invention are selective to the SiO 2 base, ie without severely attacking the SiO 2 base , can be removed again. Unwanted increases in topology can thus be avoided.

Bevorzugt enthält die zu strukturierende Schicht Kupfer, Ei­ sen, Kobalt, Nickel, ein 4d oder 5d Übergangsmetall, insbe­ sondere ein Platinmetall.The layer to be structured preferably contains copper, egg sen, cobalt, nickel, a 4d or 5d transition metal, esp especially a platinum metal.

Weiterhin ist es bevorzugt, wenn die zu strukturierende Schicht ein ferroelektrisches Material, ein dielektrisches Material hoher Permittivität (< 20), ein Perowskit oder Vor­ stufen dieser Materialien enthält. Dabei soll unter einer Vorstufe der genannten Materialien ein Material verstanden werden, das durch eine geeignete Wärmebehandlung (z. B. Tem­ pern), gegebenenfalls unter Zuführung von Sauerstoff, in die genannten Materialien umgewandelt werden kann.It is further preferred if the structure to be structured Layer a ferroelectric material, a dielectric Material of high permittivity (<20), a perovskite or Vor levels of these materials. It is said under one  Understand the precursor of the materials mentioned a material that are protected by a suitable heat treatment (e.g. tem pern), optionally with the addition of oxygen, into the mentioned materials can be converted.

So ist es bevorzugt, wenn die zu strukturierende Schicht Strontiumwismuttantalat (SBT, SrBi2Ta2O9), Strontiumwismuthni­ obattantalat (SBNT, SrBi2Ta2-xNbxO9, x=0-2) Bleizirkontitanat (PZT, Pb(Zr, Ti)O3) oder Derivate sowie Bariumstrontiumtitanat (BST, BaxSr1-xTiO3, x=0-1), Bleilanthantitanat (PLT, (Pb, La)TiO3), Bleilanthanzirkontitanat (PLZT, (Pb, La) (Zr, Ti)O3) oder Derivate enthält.It is preferred if the layer to be structured is strontium bismuth tantalate (SBT, SrBi 2 Ta 2 O 9 ), strontium bismuth tannate obattantalate (SBNT, SrBi 2 Ta 2-x Nb x O 9 , x = 0-2) lead zirconium titanate (PZT, Pb ( Zr, Ti) O 3 ) or derivatives as well as barium strontium titanate (BST, Ba x Sr 1-x TiO 3 , x = 0-1), lead lanthanum titanate (PLT, (Pb, La) TiO 3 ), lead lanthanumethane contitanate (PLZT, (Pb, La) (Zr, Ti) O 3 ) or derivatives.

Weiterhin ist es bevorzugt, wenn die zu strukturierende Schicht Platin, Gold, Silber, Iridium, Palladium, Ruthenium, Rhenium oder deren Oxide enthält.It is further preferred if the structure to be structured Layer of platinum, gold, silver, iridium, palladium, ruthenium, Contains rhenium or its oxides.

Gemäß einer bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens enthält die Maske ein Aluminiumoxid, insbesondere Al2O3, oder ein Titannitrid, insbesondere TiNx 0.8 < × < 1.2.According to a preferred embodiment of the method according to the invention, the mask contains an aluminum oxide, in particular Al 2 O 3 , or a titanium nitride, in particular TiN x 0.8 <× <1.2.

Bevorzugt ist eine elektrisch leitende Maske vorgesehen. Dies hat den Vorteil, daß bei der Ätzung einer Topelektrode einer Speicherzelle oder bei der Ätzung eines Elektrodenstacks die Maske auf der zu strukturierenden Schicht verbleiben kann. Sie reduziert so beispielsweise den Schichtwiderstand einer "Common Plate" und beschleunigt somit ein Auslesen der Spei­ cherzelle.An electrically conductive mask is preferably provided. This has the advantage that when etching a top electrode Memory cell or when etching an electrode stack Mask can remain on the layer to be structured. For example, it reduces the sheet resistance of one "Common Plate" and thus accelerates reading of the memory cell.

Weiterhin ist es bevorzugt, wenn während der Trockenätzung der zu strukturienden Schicht ein reaktiver Stoff, insbeson­ dere ein reaktives Gas, vorgesehen ist.Furthermore, it is preferred if during the dry etching the layer to be structured is a reactive substance, in particular a reactive gas is provided.

Vorteilhafterweise ist das reaktive Gas aus einer Gruppe aus­ gewählt, die aus den Gasen Sauerstoff (O2), Stickstoff (N2), Wasserstoff (H2), gasförmige Fluorverbindungen, Chlor (Cl2) oder einer Mischung dieser Gase besteht.The reactive gas is advantageously selected from a group consisting of the gases oxygen (O 2 ), nitrogen (N 2 ), hydrogen (H 2 ), gaseous fluorine compounds, chlorine (Cl 2 ) or a mixture of these gases.

Weiterhin ist es bevorzugt, wenn während der Trockenätzung der zu strukturienden Schicht ein Edelgas, insbesondere Ar­ gon, vorgesehen ist.Furthermore, it is preferred if during the dry etching an inert gas, in particular Ar gon, is provided.

Bevorzugt wird zum Trockenätzen der zu strukturienden Schicht ein Plasmaätzverfahren verwendet.Preference is given to dry etching the layer to be structured uses a plasma etching process.

Die Erfindung wird nachfolgend anhand von Figuren der Zeich­ nung näher dargestellt. Es zeigen:The invention is based on the figures of the drawing shown in more detail. Show it:

Fig. 1 bis 6 eine schematische Darstellung eines erfin­ dungsgemäßen Verfahrens, Figs. 1 to 6 is a schematic representation of a process OF INVENTION to the invention,

Fig. 7 eine schematische Darstellung einer Ätzkammer in Form eines Parallelplattenreaktors. Fig. 7 is a schematic representation of an etching chamber in the form of a parallel plate reactor.

Die Fig. 1 bis 3 zeigen eine schematische Darstellung ei­ nes erfindungsgemäßen Verfahrens. Auf einem Siliziumsubstrat 1 wird eine Titanunterlage 2 erzeugt. Die Titanunterlage 2 dient als Barrierenmaterial für die noch aufzubringende Pla­ tinschicht. Auf dieser Unterlage 2 wird eine Platinschicht 3 als zu strukturierende Schicht beispielsweise durch Sputtern aufgebracht. Auf der Platinschicht 3 wird eine Titannitrid­ schicht 4 gebildet. Die Titannitridschicht 4 kann ebenfalls durch einen Sputterprozess erzeugt werden und besitzt sinn­ vollerweise eine Dicke zwischen 100 und 1000 nm. Anschließend wird auf die Titannitridschicht 4 eine Lackschicht 5 aufge­ bracht. Die sich daraus ergebende Struktur ist in Fig. 1 ge­ zeigt. Figs. 1 to 3 show a schematic representation of egg nes inventive method. A titanium base 2 is produced on a silicon substrate 1 . The titanium base 2 serves as a barrier material for the platinum layer still to be applied. A platinum layer 3 is applied to this base 2 as a layer to be structured, for example by sputtering. On the platinum layer 3, a titanium nitride layer 4 is formed. The titanium nitride layer 4 can also be produced by a sputtering process and sensibly has a thickness between 100 and 1000 nm. A lacquer layer 5 is then applied to the titanium nitride layer 4 . The resulting structure is shown in Fig. 1 ge.

Die Lackschicht 5 wird nun in herkömmlicher Weise durch einen Belichtungs- und einen Entwicklungsschritt strukturiert, um als Maske für die anschließende Strukturierung der Titanni­ tridschicht 4 dienen zu können. Die sich daraus ergebende Struktur ist in Fig. 2 gezeigt.The lacquer layer 5 is now structured in a conventional manner by an exposure and a development step in order to be able to serve as a mask for the subsequent structuring of the titanium tride layer 4 . The resulting structure is shown in Fig. 2.

Anstelle der Lackschicht 5 könnte auch eine "SiO2-Hardmask" verwendet werden, um die Titannitridschicht 4 zu strukturie­ ren.Instead of the lacquer layer 5 , a “SiO 2 hard mask” could also be used to structure the titanium nitride layer 4 .

Anschließend wird die Titannitridschicht 4 plasmachemisch anisotrop geätzt, um als Maske für die anschließende Struktu­ rierung der Platinschicht 3 dienen zu können. Als Ätzgase können dabei beispielsweise BCl3 oder HBr verwendet werden. Die sich daraus ergebende Struktur ist in Fig. 3 gezeigt.Subsequently, the titanium nitride layer 4 is anisotropically etched by plasma chemistry in order to serve as a mask for the subsequent structuring of the platinum layer 3 . BCl 3 or HBr, for example, can be used as the etching gases. The resulting structure is shown in Fig. 3.

Die noch verbliebene Lackschicht 5 kann nun durch einen naß­ chemischen Prozeß oder durch Veraschen entfernt werden (Fig. 4). Als Alternative kann die Lackschicht 5 aber auch erst nach der Strukturierung der Platinschicht 3 entfernt werden. In diesem Fall verstärkt die verbliebene Lackschicht 5 die als Maske dienende Titannitridschicht 4.The remaining lacquer layer 5 can now be removed by a wet chemical process or by ashing ( Fig. 4). As an alternative, the lacquer layer 5 can also be removed only after the structuring of the platinum layer 3 . In this case, the remaining lacquer layer 5 reinforces the titanium nitride layer 4 serving as a mask.

Nachfolgend wird ein reaktives Ionenätzen (RIE, Reactive Ion Etching) durchgeführt, um die Platinschicht 3 einem chemisch­ physikalischen Trockenätzen zu unterziehen. Als Ätzgas wird dabei beispielsweise Argon mit einem Zusatz von Chlor Cl2 und Sauerstoff O2 verwendet. Anstatt des reaktiven Ionenätzens können auch andere Plasmaätzverfahren wie beispielsweise das magnetfeldunterstützte reaktive Ionenätzen (MERIE, Magneti­ cally Enhanced RIE), das ECR-Ätzen (ECR, Electron Cyclotron Resonance) oder induktiv gekoppelte Plasmaätzverfahren (ICP, TCP) eingesetzt werden.Subsequently, a reactive ion etching (RIE, Reactive Ion Etching) is carried out in order to subject the platinum layer 3 to chemically physical dry etching. For example, argon with the addition of chlorine Cl 2 and oxygen O 2 is used as the etching gas. Instead of reactive ion etching, other plasma etching processes such as magnetic field-assisted reactive ion etching (MERIE, Magnetic cally Enhanced RIE), ECR etching (ECR, Electron Cyclotron Resonance) or inductively coupled plasma etching processes (ICP, TCP) can be used.

Durch das Trockenätzen der Platinschicht 3 wird auch die Ti­ tanunterlage 2 an den Stellen, die nicht durch die Titanni­ tridschicht 4 geschützt sind, entfernt. Die sich daraus erge­ bende Struktur ist in Fig. 5 gezeigt.Through the dry etching of the platinum layer 3 , the titanium base 2 is also removed at the points which are not protected by the titanium tride layer 4 . The resulting structure is shown in Fig. 5.

Durch die hohe Bindungsenergie der Titanionen in Titannitrid wird die Titannitridschicht während der Trockenätzung der Platinschicht 3 nur zu einem geringen Teil abgetragen. Dies hat zur Folge, daß die Selektivität des Ätzprozesses erhöht wird. Durch die geringere Maskenerosion ergibt sich eine hö­ here Maßhaltigkeit der Strukturierung und eine geringere Fa­ cettierung der Maske. Darüber hinaus lassen sich somit stei­ lere Ätzflanken an der zu strukturienden Schicht erzielen. Ätzflanken mit einem Flankenwinkel von über 85° können er­ zeugt werden.Due to the high binding energy of the titanium ions in titanium nitride, the titanium nitride layer is only partially removed during the dry etching of the platinum layer 3 . The result of this is that the selectivity of the etching process is increased. The lower mask erosion results in a higher dimensional accuracy of the structuring and less faceting of the mask. In addition, steeper etching edges can be achieved on the layer to be structured. Etching flanks with a flank angle of over 85 ° can be created.

Anschließend wird auch die noch verbliebene Titannitrid­ schicht 4 beispielsweise plasmachemisch entfernt. Die sich daraus ergebende Struktur ist in Fig. 6 gezeigt.Subsequently, the remaining titanium nitride layer 4 is removed, for example, by plasma chemistry. The resulting structure is shown in Fig. 6.

Claims (11)

1. Verfahren zur Strukturierung zumindest einer zu struktu­ rierenden Schicht mit den Schritten:
die zu strukturierende Schicht wird bereitgestellt, eine Maske wird auf der zu strukturierenden Schicht bereitge­ stellt,
die zu strukturierende Schicht wird trockengeätzt, dadurch gekennzeichnet, daß die Maske ein Metallsilizid, ein Metallnitrid oder ein Metalloxid ent­ hält.
1. Method for structuring at least one layer to be structured, comprising the steps:
the layer to be structured is provided, a mask is provided on the layer to be structured,
the layer to be structured is dry-etched, characterized in that the mask contains a metal silicide, a metal nitride or a metal oxide.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die zu strukturierende Schicht Kupfer, Eisen, Kobalt, Nickel, ein 4d oder 5d Übergangsmetall, insbesondere ein Platinmetall, ent­ hält.2. The method according to claim 1, characterized in that the to structuring layer copper, iron, cobalt, nickel, a 4d or 5d transition metal, in particular a platinum metal holds. 3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die zu strukturierende Schicht ein ferroelektrisches Material, ein dielektrisches Material hoher Permittivität, ein Perowskit oder Vorstufen dieser Materialien enthält.3. The method according to claim 1, characterized in that the to structuring layer a ferroelectric material dielectric material of high permittivity, a perovskite or precursors of these materials. 4. Verfahren nach Anspruch 3, dadurch gekennzeichnet, daß die zu strukturierende Schicht Strontiumwismuttantalat (SBT, SrBi2Ta2O9), Strontiumwismuthniobattantalat (SBNT, SrBi2Ta2-xNbxO9, x=0-2), Bleizirkontitanat (PZT, Pb (Zr, Ti)O3) oder De­ rivate oder Bariumstrontiumtitanat (BST, BaxSr1-xTiO3, x=0-1), Bleilanthantitanat (PLT, (Pb, La) TiO3), Bleilanthanzirkonti­ tanat (PLZT, (Pb, La) (Zr, Ti)O3) oder Derivate enthält.4. The method according to claim 3, characterized in that the layer to be structured strontium bismuth tantalate (SBT, SrBi 2 Ta 2 O 9 ), strontium bismuth niobate tantalate (SBNT, SrBi 2 Ta 2-x Nb x O 9 , x = 0-2), lead zirconium titanate (PZT, Pb (Zr, Ti) O 3 ) or derivatives or barium strontium titanate (BST, Ba x Sr 1-x TiO 3 , x = 0-1), lead lanthanum titanate (PLT, (Pb, La) TiO 3 ), lead lanthanum octane contains tanate (PLZT, (Pb, La) (Zr, Ti) O 3 ) or derivatives. 5. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß die zu strukturierende Schicht Platin, Gold, Silber, Iridium, Palla­ dium, Ruthenium, Rhenium oder deren Oxide enthält.5. The method according to claim 2,  characterized in that the to structuring layer platinum, gold, silver, iridium, palla contains dium, ruthenium, rhenium or their oxides. 6. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß das Mas­ kematerial elektrisch leitend ist.6. The method according to any one of the preceding claims, characterized in that the Mas kematerial is electrically conductive. 7. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß die Maske ein Aluminiumoxid, insbesondere Al2O3, oder ein Titannitrid, insbesondere TiNx 0.8 < × < 1.2, enthält.7. The method according to any one of the preceding claims, characterized in that the mask contains an aluminum oxide, in particular Al 2 O 3 , or a titanium nitride, in particular TiN x 0.8 <× <1.2. 8. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß während der Trockenätzung der zu strukturienden Schicht ein reaktiver Stoff, insbesondere ein reaktives Gas, vorgesehen ist.8. The method according to any one of the preceding claims, characterized in that during the dry etching of the layer to be structured is a reactive one Substance, in particular a reactive gas, is provided. 9. Verfahren nach Anspruch 8, dadurch gekennzeichnet, daß das reak­ tive Gas aus einer Gruppe ausgewählt ist, die aus den Gasen Sauerstoff (O22), Stickstoff (N2), Wasserstoff (H2), gasförmige Fluorverbindungen, Chlor (Cl2) oder einer Mischung dieser Ga­ se besteht.9. The method according to claim 8, characterized in that the reactive gas is selected from a group consisting of the gases oxygen (O 2 2), nitrogen (N 2 ), hydrogen (H 2 ), gaseous fluorine compounds, chlorine (Cl 2 ) or a mixture of these gases. 10. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß während der Trockenätzung der zu strukturienden Schicht ein Edelgas, insbesondere Argon, vorgesehen ist.10. The method according to any one of the preceding claims, characterized in that during the dry etching of the layer to be structured an inert gas, in particular argon, is provided. 11. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß zum Troc­ kenätzen ein Plasmaätzverfahren verwendet wird.11. The method according to any one of the preceding claims, characterized in that the Troc a plasma etching process is used.
DE1997128473 1997-07-03 1997-07-03 Layer structuring by dry etching process Ceased DE19728473A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE1997128473 DE19728473A1 (en) 1997-07-03 1997-07-03 Layer structuring by dry etching process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE1997128473 DE19728473A1 (en) 1997-07-03 1997-07-03 Layer structuring by dry etching process

Publications (1)

Publication Number Publication Date
DE19728473A1 true DE19728473A1 (en) 1999-01-07

Family

ID=7834560

Family Applications (1)

Application Number Title Priority Date Filing Date
DE1997128473 Ceased DE19728473A1 (en) 1997-07-03 1997-07-03 Layer structuring by dry etching process

Country Status (1)

Country Link
DE (1) DE19728473A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1001459A2 (en) * 1998-09-09 2000-05-17 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
WO2000049202A2 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Improved etching methods for anisotropic platinum profile
WO2000049650A1 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Iridium etching methods for anisotrophic profile
WO2000049651A1 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Improved masking methods and etching sequences for patterning electrodes of high density ram capacitors
DE19906814A1 (en) * 1999-02-18 2000-08-31 Siemens Ag Surface material removal, especially for hard mask removal from a semiconductor surface, comprises removing material while protecting the rest of the surface with a temporary layer
WO2000075978A1 (en) * 1999-06-08 2000-12-14 Infineon Technologies North America Corp. Low temperature oxidation of conductive layers for semiconductor fabrication
DE19958904A1 (en) * 1999-12-07 2001-06-21 Infineon Technologies Ag Process for making a hard mask
DE10062639A1 (en) * 2000-12-15 2002-07-04 Infineon Technologies Ag Production of conducting pathways, especially aluminum pathways used in CMOS circuits or DRAM cells comprises applying an aluminum conducting layer, a titanium nitride layer and a lacquer mask onto a substrate, and structuring
US6482745B1 (en) 1998-01-13 2002-11-19 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6541380B2 (en) 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
DE102007035857A1 (en) * 2007-07-31 2009-02-05 Qimonda Ag Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer
US7602032B2 (en) 2005-04-29 2009-10-13 Altis Semiconductor Snc Memory having cap structure for magnetoresistive junction and method for structuring the same
DE19852256B4 (en) * 1997-11-14 2010-06-24 LG Semicon Co., Ltd., Cheongju Process for etching platinum
EP2119806A4 (en) * 2007-01-09 2015-05-06 Ulvac Inc Multilayer film forming method and multilayer film forming apparatus

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4288283A (en) * 1979-01-10 1981-09-08 Hitachi, Ltd. Method of forming a microscopic pattern
US4760481A (en) * 1986-07-11 1988-07-26 Hitachi, Ltd. Thin film magnetic head having an improved coil
US5024971A (en) * 1990-08-20 1991-06-18 Motorola, Inc. Method for patterning submicron openings using an image reversal layer of material
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
US5254217A (en) * 1992-07-27 1993-10-19 Motorola, Inc. Method for fabricating a semiconductor device having a conductive metal oxide
US5350705A (en) * 1992-08-25 1994-09-27 National Semiconductor Corporation Ferroelectric memory cell arrangement having a split capacitor plate structure
US5515984A (en) * 1994-07-27 1996-05-14 Sharp Kabushiki Kaisha Method for etching PT film
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5585300A (en) * 1994-08-01 1996-12-17 Texas Instruments Incorporated Method of making conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4288283A (en) * 1979-01-10 1981-09-08 Hitachi, Ltd. Method of forming a microscopic pattern
US4760481A (en) * 1986-07-11 1988-07-26 Hitachi, Ltd. Thin film magnetic head having an improved coil
US5024971A (en) * 1990-08-20 1991-06-18 Motorola, Inc. Method for patterning submicron openings using an image reversal layer of material
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
US5254217A (en) * 1992-07-27 1993-10-19 Motorola, Inc. Method for fabricating a semiconductor device having a conductive metal oxide
US5350705A (en) * 1992-08-25 1994-09-27 National Semiconductor Corporation Ferroelectric memory cell arrangement having a split capacitor plate structure
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5515984A (en) * 1994-07-27 1996-05-14 Sharp Kabushiki Kaisha Method for etching PT film
US5585300A (en) * 1994-08-01 1996-12-17 Texas Instruments Incorporated Method of making conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
J. Vac.Sci. Technol. B1(4), Oct.-Dec. 1983, pp. 1033-36 *
JP 1-232729 A2, In: Pat. Abstr. of JP *
Jpn. J. Appl. Phys. Vol. 36, Part 1, No. 4B, April 1997, pp. 2508-13 *

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19852256B4 (en) * 1997-11-14 2010-06-24 LG Semicon Co., Ltd., Cheongju Process for etching platinum
US6265318B1 (en) 1998-01-13 2001-07-24 Applied Materials, Inc. Iridium etchant methods for anisotropic profile
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6777342B2 (en) 1998-01-13 2004-08-17 Jeng H. Hwang Method of plasma etching platinum
US6749770B2 (en) 1998-01-13 2004-06-15 Jeng H. Hwang Method of etching an anisotropic profile in platinum
US6482745B1 (en) 1998-01-13 2002-11-19 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
EP1001459A3 (en) * 1998-09-09 2000-09-20 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
EP1001459A2 (en) * 1998-09-09 2000-05-17 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
WO2000049651A1 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Improved masking methods and etching sequences for patterning electrodes of high density ram capacitors
WO2000049650A1 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Iridium etching methods for anisotrophic profile
WO2000049202A3 (en) * 1999-02-17 2000-12-28 Applied Materials Inc Improved etching methods for anisotropic platinum profile
WO2000049202A2 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Improved etching methods for anisotropic platinum profile
DE19906814A1 (en) * 1999-02-18 2000-08-31 Siemens Ag Surface material removal, especially for hard mask removal from a semiconductor surface, comprises removing material while protecting the rest of the surface with a temporary layer
US6387771B1 (en) 1999-06-08 2002-05-14 Infineon Technologies Ag Low temperature oxidation of conductive layers for semiconductor fabrication
WO2000075978A1 (en) * 1999-06-08 2000-12-14 Infineon Technologies North America Corp. Low temperature oxidation of conductive layers for semiconductor fabrication
DE19958904C2 (en) * 1999-12-07 2002-01-24 Infineon Technologies Ag Method of making a hard mask on a substrate
DE19958904A1 (en) * 1999-12-07 2001-06-21 Infineon Technologies Ag Process for making a hard mask
DE10062639A1 (en) * 2000-12-15 2002-07-04 Infineon Technologies Ag Production of conducting pathways, especially aluminum pathways used in CMOS circuits or DRAM cells comprises applying an aluminum conducting layer, a titanium nitride layer and a lacquer mask onto a substrate, and structuring
US6541380B2 (en) 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US7602032B2 (en) 2005-04-29 2009-10-13 Altis Semiconductor Snc Memory having cap structure for magnetoresistive junction and method for structuring the same
EP2119806A4 (en) * 2007-01-09 2015-05-06 Ulvac Inc Multilayer film forming method and multilayer film forming apparatus
DE102007035857A1 (en) * 2007-07-31 2009-02-05 Qimonda Ag Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer

Similar Documents

Publication Publication Date Title
EP1145279B1 (en) Semiconductor element with a tungsten oxide layer and method for its production
DE19926711B4 (en) Method of manufacturing a ferroelectric memory device
DE19728473A1 (en) Layer structuring by dry etching process
DE19733391C2 (en) Structuring process
EP0895278A2 (en) Patterning process
DE10163345A1 (en) A capacitor for semiconductor elements and a manufacturing method
DE10227346A1 (en) Ferroelectric memory device, e.g. ferroelectric random-access memories comprises bottom electrode patterns formed on semiconductor substrate, and first and second ferroelectric layers
DE10256964A1 (en) Ir and PZT plasma etching using a hard mask and a chemical composition of CL¶2¶ / N¶2¶ / O¶2¶ and CL¶2¶ / CHF¶3¶ / O¶2¶
EP0907203A2 (en) Patterning method
DE19728472A1 (en) Structuring process
EP0889508B1 (en) Process for the dry etching of an electrode structure
EP0823726A1 (en) Process for plasma enhanced anisotropic etching of metals, metal oxides and their mixtures
DE10041685C2 (en) Process for the production of a microelectronic component
EP1307906B1 (en) Structuring of ferroelectric layers
EP0902461A2 (en) Process for forming structures with high aspect ratio
EP1111083B1 (en) Process for making a structured metal layer
DE112004000192T5 (en) Hard mask with high selectivity for IR barrier layers for the production of a ferroelectric capacitor
DE19929307C1 (en) Structured layer, especially a FRAM capacitor lower electrode, is produced by heat treatment to cause layer material migration from a migration region to a target region of a substrate
DE10344273A1 (en) Improved contact for memory cells
DE10121657B4 (en) Microelectronic structure with hydrogen barrier layer
DE19906814A1 (en) Surface material removal, especially for hard mask removal from a semiconductor surface, comprises removing material while protecting the rest of the surface with a temporary layer
DE10057444A1 (en) Production of a capacitor arrangement used for an FeRAM storage device comprises filling exposed intermediate regions of the substrate with an electrically insulating intermediate layer up to the level of an capacitor device
WO2001001462A1 (en) Method for producing a noble-metal electrode
DE112004001585T5 (en) Fence-free etching of an iridium barrier with a steep slope angle
DE19929723B4 (en) Method of making an electrode

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection