DE19744837A1 - Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskonstante - Google Patents

Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskonstante

Info

Publication number
DE19744837A1
DE19744837A1 DE19744837A DE19744837A DE19744837A1 DE 19744837 A1 DE19744837 A1 DE 19744837A1 DE 19744837 A DE19744837 A DE 19744837A DE 19744837 A DE19744837 A DE 19744837A DE 19744837 A1 DE19744837 A1 DE 19744837A1
Authority
DE
Germany
Prior art keywords
source gas
dielectric constant
reactor
substrate
fluorocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19744837A
Other languages
English (en)
Other versions
DE19744837C2 (de
Inventor
Jin Won Park
Young Hie Lee
Dong Sun Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
LG Semicon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LG Semicon Co Ltd filed Critical LG Semicon Co Ltd
Publication of DE19744837A1 publication Critical patent/DE19744837A1/de
Application granted granted Critical
Publication of DE19744837C2 publication Critical patent/DE19744837C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/958Passivation layer

Description

Die vorliegende Erfindung betrifft ein Verfahren zur Bildung eines Dünn­ films und insbesondere ein Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskonstante, welcher für Intermetall-Isolations­ filmanwendungen geeignet ist, mittels plasmaverstärkter chemischer Dampfabscheidung (PECVD).
Mit der Verringerung der Dielektrizitätskonstante eines Dünnfilms bei ei­ ner Intermetall-Isolationsfilmanwendung können die Betriebsgeschwin­ digkeit eines Gerätes in einer Vorrichtung geringerer Größe erhöht und die Kreuzkopplung verringert werden, wodurch eine Verringerung des Ener­ gieverbrauchs resultiert. Zu Zwecken der besten Verwertung dieser aus ei­ ner solchen Verringerung der Dielektrizitätskonstante resultierenden Vorteile werden zahlreiche Verfahren zur Herabsetzung der Dielektrizi­ tätskonstante eines Intermetall-Isolationsfilms vorgeschlagen.
Die Dielektrizitätskonstante eines herkömmlichen Intermetall-Isolations­ films (IMD) aus einem Siliziumoxidfilm (SiO2) beträgt etwa 4. Ein solcher Isolationsfilm aus einem Siliziumoxidfilm (SiO2) ist jedoch darin nachtei­ lig, daß seine Dielektrizitätskonstante auf 10 ansteigt, wenn der Film Feuchtigkeit aufweist.
Ein Beispiel des herkömmlichen Isolationsfilms mit niedriger Dielektrizi­ tätskonstante ist ein Fluor enthaltender Siliziumoxidfilm. Die Dielektrizi­ tätskonstante dieses fluorhaltigen Siliziumoxidfilms (FxSiOy) beträgt 3- 3,7. Die US-A-5 334 552 beschreibt ein Verfahren zur Bildung eines Isola­ tionsfilms aus einer mehrschichtigen Verbindungsstruktur, welches den Schritt der Bildung eines 2-3,5 µm dicken fluorhaltigen Siliziumoxidfilms bei einer Temperatur von nicht höher als 200°C umfaßt. Ein anderes Bei­ spiel ist die US-A-5 429 995, welche ein Verfahren zur Abscheidung eines gering hygroskopischen, fluorhaltigen Films geringer Dielektrizitätskon­ stante durch ein chemisches Plasmadampfabscheidungsverfahren unter Verwendung eines Silizium, Sauerstoff und Fluor enthaltenden Quellen­ gases beschreibt.
Ein weiteres Beispiel des herkömmlichen Isolationsfilms geringer Dielektrizitäts­ konstante ist ein organisches Polymer mit einermolekularen Struktur geringer Po­ larität. Spinbeschichtbare Polyimide besitzen Dielektrizitätskonstanten im Be­ reich von 3,0 bis 3,7. Beispielsweise wurden in der US-A-5 428 102 eine Reihe von aromatischen Hochtemperatur-Polyimiden mit niedriger Dielektrizitätskonstante entwickelt. Eine der Herausforderungen bei der Anwendung solcher organischen Polymeren ist die Temperaturstabilität. Bei den derzeitigen Verfahren wird CVD-Wolfram bei etwa 450°C abgeschieden und eine Temperung bei etwa 400°C durch­ geführt. Die meisten der organischen Polymeren mit niedriger Dielektrizitätskon­ stante können solch einer hohen Verarbeitungstemperatur nicht standhalten.
Unter den verschiedenen organischen Polymeren besitzen Fluorpolymere, wie Po­ lytetrafluorethylen (PTFE) bei weitestem die geringste Dielektrizitätskonstante. Beispielsweise besitzt amorphes Teflon eine Dielektrizitätskonstante von 1,9. De­ ren geringe Klebekraft, niedrige thermische Stabilität und Schwierigkeit bei der Herstellung haben jedoch ihre Anwendung in der Mikroelektronik verhindert. An­ dererseits besitzen hydrierte amorphe Kohlenstoff (a-C : F)-Filme, welche durch Plasmaabscheidung aus Kohlenwasserstoffgasen abgeschieden werden, eine hohe elektrische Resistivität, gute thermische Stabilität aufgrund ihrer starkvernetzten Strukturen, und sind leicht herzustellen. Endo und Tatsumi (1995), J. Appl. Phys. 78 (2), 1955, S. 1370, haben fluorierte, amorphe Kohlenstoff (a-C : F)-Dünnfilme, welche sowohl eine Vernetzung als auch eine PTFE-ähnliche Struktur aufweisen, als Zwischenschicht-Dielektrika mit niedriger Dielektrizitätskonstante für ULSI- Mehrfachebenen-Zwischenschaltungen vorgeschlagen. Wenn das Quellengas aus 94% CF4 und 6% CH4 bestand, zeigte der Dünnfilm auf der stromgeführen Elek­ trode eine Dielektrizitätskonstante von 2,1. Der Film zeigte hohe Spannung und er­ forderte eine Haftschicht aus 10 nm dickem a-C : H. Der Film schrumpfte auf 75%, wenn er bei 300°C getempert wurde. Der Leckstrom betrug 10-7 A/cm2 bei 1 MV/cm und wurde durch Fluorierung auf 10-8 gedrückt.
Ein weiteres Beispiel eines herkömmlichen amorphen Flurkohlenstoffilms mit nie­ driger Dielektrizitätskonstante für ein intermetallisches Dielektrikum ist in US-A-5 302420 beschrieben. Dieses Patent beschreibt einen aus Plasma abgeschiedenen, polymeren Fluorkohlenstoffilm mit einer Dielektrizitätskonstante von etwa 2,5 und mit einer thermischen Stabilität von mindestens 350°C. Der Film wird in einem Re­ aktor des Typs mit asymmetrischer Elektrode bei einem Druck im Bereich von 1,33 bis 23,9 Pa (10 bis 180 mTorr) und mit einer Eigenvorspannung im Bereich von -50 bis -700 V abgeschieden. Es konnten Filme mit einer Dicke zwischen 0,05 und 5 µm abgeschieden werden. Die Härte und die thermische Stabilität der amorphen Fluorkohlenstoffilme stammt aus dem starken Bombardement, das während des Ab­ scheidungsverfahrens angewandt wird.
Ein weiteres Beispiel des herkömmlichen, aus Plasma polymerisierten Fluorpolym­ erdünnfilms niedriger Dielektrizitätskonstante ist in US-A-4 938 995 beschrieben. Dieses Patent beschreibt ein Verfahren zur Abscheidung eines Fluorpolymerdünn­ films niedriger Dielektrizitätskonstante (im Bereich von 2,3 bis 3,3) unter Verwen­ dung von Sauerstoff enthaltenden Fluorpolymeren als Monomerquelle.
Ein weiteres Beispiel eines herkömmlichen Isolationsfilms niedriger Dielektrizi­ tätskonstante ist ein in US-A-5 462 784 beschriebener, fluorierter, diamantähnli­ cher Kohlenstoffilm. Dieses Patent beschreibt eine verbesserte abriebbeständige Schutzbeschichtung für die Oberfläche von Aufzeichnungsgeräten, die aus fluo­ riertem, diamantähnlichem Kohlenstoff gebildet ist. Die Filme werden durch plasmaverstärkte chemische Dampfabscheidung auf einem negativ vorgespannten Substrat aus Mischungen aus fluorierten Kohlenwasserstoffen mit Wasserstoff, vorzugsweise aus fluorierten Kohlenwasserstoffen mit einem großen Verhältnis von Fluor zu Kohlenstoff im Molekül, wie Hexafluorbenzol (C6F6) und Pentafluor­ benzol (C6HF5) hergestellt.
Der herkömmliche Isolationsfilm mit niedriger Dielektrizitätskonstante weist die folgenden Probleme auf.
Erstens sind die Filme bei einer Temperatur von über 350°C nicht stabil.
Zweitens weisen die unter Anwendung eines starken Ionenbombardements abge­ schiedenen Filme starke innere Spannungen auf, welche sie für die Geräteherstel­ lung ungeeignet machen. Beispielsweise wurden Veränderungen von Fluorkohlen­ stoffgruppen bei Temperaturen im Bereich von 20-700°C erhalten. Die Fluorkoh­ lenstoffilme, welche aus CF3-, CF2-, CF- und C-CFx-Bindungen bestehen, zeigten Stabilität bis zu etwa 200°C und pyrolysierten durch Dissoziation der thermischen Bindungen oberhalb dieser Temperatur.
Ziel der vorliegenden Erfindung ist es daher, ein Verfahren zur Bildung eines Isola­ tionsfilms mit niedriger Dielektrizitätskonstante vorzusehen, welches im wesentli­ chen eines oder mehrere der Probleme aufgrund von Beschränkungen und Nach­ teilen des Standes der Technik überwindet.
Dieses Ziel wird durch ein Verfahren gemäß Anspruch 1 erreicht. Vorteilhafte Aus­ gestaltungen des Anmeldungsgegenstandes sind in den Unteransprüchen angege­ ben.
Gegenstand der Erfindung ist daher ein Verfahren zur Bildung eines Isolations­ films mit niedriger Dielektrizitätskonstante, umfassend den Schritt:
Zuführen eines ersten, Fluor und Kohlenstoff enthaltenden Quellen­ gases und eines zweiten, Siliziumdioxid enthaltenden Quellengases zu ei­ nem Doppelfrequenzreaktor für hochdichtes Plasma, und dadurch Bilden eines Fluorkohlenstoff/ Siliziumoxid-Verbundfilms auf einem in dem Re­ aktor angeordneten Substrat.
Der erfindungsgemäße Isolationsfilm mit niedriger Dielektrizitätskon­ stante, bei dem es sich um einen in einem Doppelfrequenzreaktor mit hochdichtem Plasma gebildeten Fluorkohlenstoff/ Siliziumoxid-Verbund­ film handelt, ist bis mindestens 450°C thermisch stabil, besitzt eine Die­ lektrizitätskonstante im Bereich von 2 bis 4 und weist geringe Spannung auf.
Die Erfindung wird anhand der Zeichnungen näher erläutert. Hierbei zei­ gen:
Fig. 1 eine schematische Darstellung, welche eine Vorrichtung zur Bil­ dung eines Isolationsfilms gemäß der Erfindung erläutert.
Fig. 2 ein Diagramm, welches Dielektrizitätskonstanten eines erfindungs­ gemäßen Isolationsfilms mit niedriger Dielektrizitätskonstante als eine Funktion des Einspeisemonomer-Gasverhältnisses erläutert; und Fig. 3 ein Diagramm, welches Extinktionen eines erfindungsgemäßen Iso­ lationsfilms als Funktion der Wellenlängen erläutert.
Die Erfindung wird nun anhand bevorzugter Ausführungsformen, von de­ nen Beispiele in den Zeichnungen erläutert sind, näher beschrieben.
Unter Bezugnahme auf Fig. 1 wird ein Substrat in einen Doppelfrequenzre­ aktor für hochdichtes Plasma eingebracht, wobei das Substrat aus ther­ misch stabilen Materialien gebildet ist, wie Kaliumchlorid, Quarz oder Glas oder Silizium, Metalle, Keramiken oder Polymere. Das Substrat wird vor dem Einbringen in den Reaktor chemisch gesäubert. Dem Reaktor wird dann Argongas mit einer Rate von 15 SCCM zugeführt und der Reaktor­ druck bei 6,6 Pa (50 mTorr) eingestellt. Der RF-Modulatorstrom für eine Bodenelektrode wird angestellt und der Strom erhöht, bis die Vorspan­ nung auf -300 V eingestellt ist. Der Reaktor wird 10 Minuten betrieben, um die Oberfläche des Substrats zu reinigen. Der RF-Modulatorstrom wird dann abgestellt und der Reaktor mittels einer Vakuumpumpe auf seinen Ausgangsdruck evakuiert.
Der Reaktor umfaßt eine Vakuumkammer 20, welche aus nichtrostendem Stahl hergestellt sein kann und vakuumdicht sein sollte. Innerhalb des Reaktors befindet sich ein Substrathalter 21, der bei einer konstanten Temperatur durch eine Heizeinrichtung 22 gehalten wird. Die Heizeinrich­ tung 22 wird durch eine Temperaturreguliereinrichtung 35 reguliert. Der Substrathalter 21 wird mit einem zweiten RF-Modulator 34 niedriger Fre­ quenz versorgt, dessen Anregungsfrequenz zwischen 10 kHz bis 100 kHz variiert werden kann. Der Niederfrequenzstrom wird dem Substrathalter 21 über einen Sperrkondensator 23 mit geringer Impedanz zugeführt. Ein Quellengas wird in den Reaktor eingebracht und durch einen ringförmigen Verteiler 24, der auf dem Substrathalter 21 vorgesehen ist, verteilt.
Eine separate Frequenz von 13,56 MHz aus dem ersten RF-Modulator wird einer oberen Platte 26 des Reaktors über ein Anpassungsnetzwerk 27 vom L-Typ zugeführt. Die obere Platte umfaßt zwei Pyrex- oder Quarzglasplat­ ten mit einer Gesamtdicke im Bereich von 1,905 bis 2,54 cm. Zwischen den zwei Glasplatten befindet sich eine Faraday-Abschirmplatte 28 mit einer Kupferplatte mit radial angeordneten Speichen (ähnlich einem Fahrrad). Diese Faraday-Abschirmplatte 28 verringert eine kapazitive Kupplung durch Abdämpfung divergenter dielektrischer RF-Felder (d. h. kapazitiver Felder), während divergente freie elektromagnetische Felder (d. h. Induk­ tionsfelder) durchgelassen und die Entladung erwärmen gelassen werden.
Eine Antenne 29 sitzt auf der oberen Platte 26 und besitzt ein wasserge­ kühltes Kupferrohr, welches auf der oberen Platte 26 vier Biegungen macht. Der RF-Strom wird einem Zentralabgriff 31 des Kupferrohrs zuge­ führt, während der andere Abgriff 30 geerdet ist. Die Antenne 29 ist in ei­ ner Abschirmbox 32 untergebracht und das Quellengas wird in die Vaku­ umkammer 20 über eine Vakuumkupplung 33 zugeführt.
Nachdem die Kammer 20 mittels einer Kombination aus einer mechani­ schen Pumpe und einer Hochvakuumpumpe, wie einer Turbomolekular­ pumpe oder Diffusionspumpe den Ausgangsdruck erreicht hat, werden Argon- und Sauerstoffgas in die Vakuumkammer 20 im Verhältnis von 1 : 1 eingebracht, wobei die Strömungsraten jedes der Gase auf 10 SCCM einge­ stellt werden.
Die Temperatur des Substrats wird auf eine erwünschte Temperatur zwi­ schen 60 und 350°C mittels der Temperaturreguliereinrichtung 35 einge­ stellt. Nachdem sich die eingestellte Temperatur stabilisiert hat, werden die Strömungsraten der zwei Quellengase auf die erwünschten Werte ein­ gestellt. Das bevorzugte Fluorkohlenstoffquellengas ist Perfluorbenzol (C6F6) und das bevorzugte SiO2-Quellengas ist Hexamethyldisiloxan (HMDSO). Das Strömungsratenverhältnis der zwei Monomer-Quellenga­ se, d. h. HMDSO/(HMDSO+C6F6) kann zwischen 0,01 und 0,99 liegen, in Abhängigkeit der erwünschten Eigenschaften des Films, wie der Dielektri­ zitätskonstante und der Filmspannung. Bei der vorliegenden Erfindung werden die Strömungsraten der Quellengase vorzugsweise so eingestellt, daß sie bei 12,6 SCCM für Perfluorbenzol und bei 1,4 SCCM für HMDSO liegen.
Nachdem sich die Strömungsraten der zwei Quellengase stabilisiert ha­ ben, wird der Druck des Reaktors auf vorzugsweise 26,6 Pa (200 mTorr) mittels einer Druckreguliereinrichtung eingestellt. Da die zwei Quellenga­ se bei Raumtemperatur im Flüssigkeitszustand vorliegen, müssen ge­ eignete Strömungsverteilungssysteme mit jeweils einer Flüssigkeitsheiz­ einrichtung (um den erwünschten Druck zu erhalten) und einem Dosier­ ventil oder einer Strömungsregulierungseinrichtung verwendet werden.
Nachdem sich der Druck stabilisiert hat, wird ein 13,56 MHz RF-Strom an die obere Elektrode mittels des ersten RF-Modulators 25 des Reaktors an­ gelegt und der Strom auf 300 W eingestellt. Ein 100 MHz RF-Strom wird an die Bodenelektrode mittels des zweiten RF-Modulators 34 angelegt. Hier­ bei wird der Strom für die Bodenelektrode solange eingestellt, bis die Gleichstrom-Vorspannung an der Bodenelektrode (gemessen durch ein Oszilloskop oder ein Gleichstrom-Voltmeter mit einem RF-Sperrfilter) - 200 V anzeigt. Um die an die Bodenelektrode angelegte Gleichstrom-Vor­ spannung in geeigneterweise einzustellen, kann die Vorspannung des Os­ zilloskops so gemessen werden, daß sie im Bereich von -50 bis -400 V liegt und der Gesamtdruck des Reaktors kann im Bereich von 6,66 bis 26,66 Pa (50 bis 200 mTorr) liegen.
Die Messung der Abscheidungszeit beginnt zu dem Zeitpunkt, wenn die Gleichstrom-Vorspannung -200 V anzeigt. Nach Verstreichen einer er­ wünschten Zeit werden sowohl der erste als auch der zweite RF-Modulator 25 bzw. 35 abgestellt und der Reaktor auf seinen Ausgangsdruck evaku­ iert, bevor der Reaktor abgefahren wird.
Durch das oben beschriebene Verfahren wird der erfindungsgemäße Isolationsfilm mit niedriger Dielektrizitätskonstante aus Fluorkohlenstoff/Si­ liziumoxid auf dem Substrat gebildet. Das Substrat, auf welchem der Flu­ orkohlenstoff/Siliziumoxid-Verbundfilm abgeschieden wird, kann bewegt werden oder stationär sein.
Als nächstes werden die Dielektrizitätskonstante, die Filmdicke und ein Infrarotspektrum des aus dem Reaktor entnommenen Substrats gemes­ sen. Das Infrarotspektrum wird mit einem Perkin-Elmer FTIR-Spektrome­ ter von einem Film, der auf einer Kaliumchloridscheibe mit einem Durch­ messer von 13 mm abgeschieden worden ist, unter Anwendung des Trans­ missionsmodus mit 2 cm-1 Auflösung gemessen. Um das Signal-zu-Ge­ räusch-Verhältnis zu verbessern, werden mehr als 50 Abtastungen für je­ de Probe gemittelt. Der Brechungsindex und die Dicke des auf dem Sub­ strat von 5,08 cm abgeschiedenen Fluorkohlenstoff/Siliziumoxid-Ver­ bundfilms werden mit einem Ellipsometer gemessen. Die Dickenwerte des Fluorkohlenstoff/Siliziumoxid-Verbundfilms können mit einem Profilo­ meter bestätigt werden. Die Dielektrizitätskonstante des Films wird mit ei­ nem CV-Plotter gemessen. Um die C-V-Messung durchzuführen, werden Metall-Isolator-Silizium (MIS)-Kondensatoren gebildet durch Sputterab­ scheidung von Aluminiumpunktelektroden auf dem Siliziumwafer mit ab­ geschiedenem Fluorkohlenstoff/Siliziumoxid-Verbundfilm. Die Konden­ satoren werden auf einer Meßstation positioniert und mittels Drähten mit der CV-Brücke verbunden. Die C-V-Prüfung wird dann auf den MIS-Kon­ densatoren durchgeführt durch Durchfahren der Spannung von -100 bis +100 V bei Raumtemperatur bei einer Steigungsrate von 0,5 V/s. Die Ka­ papzitätswerte, welche aus mehreren zehn A1-Punkten erhalten werden, werden gemittelt, um einen statistisch signifikanten Wert zu erhalten. Un­ ter diesen Bedingungen lag die gemessene Dielektrizitätskonstante im Be­ reich zwischen 2,0 und 4,0.
Die Abscheidungsrate des Fluorkohlenstoff/Siliziumoxid-Verbundfilms gemäß der Erfindung wird vom Druck, der Energie, Vorspannung, Tempe­ ratur des Substrats und dergleichen beeinflußt. Die Abscheidungsrate ei­ nes Isolationsfilms mit einer Dielektrizitätskonstante gemäß der Erfin­ dung beträgt im allgemeinen etwa 0,5-1,5 µm/h.
Die thermische Stabilität des abgeschiedenen Films wird bewertet durch Erwärmen des Substrats mit dem darauf befindlichen Film in einem 13,3 Pa (100 mTorr) Vakuum in einer Argongasumgebung. Die Temperatur wird zunächst bei 350°C über eine Stunde gehalten, dann wird auf Raumtempe­ ratur gekühlt. Nach Vervollständigung der erforderlichen Messungen wird die Probe erneut eine Stunde bei der nächsten Temperatur getempert. Die Temperungstemperatur wird jedesmal um 50°C erhöht, bis die endgültige Temperungstemperatur von 500°C erreicht ist. Nach jeder Temperungspe­ riode werden die Dielektrizitätskonstante, die Dicke des Films, der Brechungs­ index und ein FTIR-Spektrum gemessen. Bei diesem speziellen Durchlauf wird die Dicke des abgeschiedenen Fluorkohlenstoff/Silizium­ oxid-Verbundfilms um 2% verringert, der Brechungsindex um 1% verrin­ gert, während im FTIR-Spektrum keine signifikanten Änderungen beob­ achtet werden.
Die Spannung der durch dieses Verfahren abgeschiedenen Fluorkohlen­ stoff/Siliziumoxid-Verbundfilme wird unter Verwendung einer Sebastianspannungsmeßvorrichtung bewertet. Die Spannung wird berechnet ba­ sierend auf der Messung der Krümmung des Substrats vor und nach der Abscheidung. Die Fluorkohlenstoff/Siliziumoxid-Verbundfilme werden typischerweise unter geringer Druckspannung im Bereich von 107 bis 108 dyn/cm2 abgeschieden, wobei es bevorzugt ist, den Intermetall-Isolationsfilm unter einem geringen Druck von weniger als 109 dyn/cm2 zu bil­ den. Der Fluorkohlenstoff/Siliziumoxid-Verbundfilm gemäß einer bevor­ zugten Ausführungsform der Erfindung mit einer Dicke von 1 µm besitzt eine Spannung von 4,8 × 108 dyn/cm2, und derjenige mit einer Dicke von 2 µm besitzt eine Spannung von 4,0 × 107 dyn/cm2. Die gemessene Span­ nung ist immer die Druckspannung.
Bezugnehmend auf Fig. 2 weist der Fluorkohlenstoff/Siliziumoxid-Ver­ bundfilm eine Dielektrizitätskonstante im Bereich von 2,0 bis 4,0 auf bei verschiedenen Zusammensetzungsverhältnissen von HMDSO gemäß der Mischung aus Quellengasen aus HMDSO und C6F6. d. h. verschiedenen Werten von HMDSO/(HMDSO+C6F6).
Bezugnehmend auf Fig. 3 zeigt das Infrarotspektrum des Fluorkohlen­ stoff/Siliziumoxid-Verbundfilms zwei charakteristische Absorptionsban­ den; eine korrespondiert zu SiO2 bei einer Wellenzahl von ungefähr 1.070 cm-1, und die andere korrespondiert zu einem a-PPFE bei einer Wellenzahl von ungefähr 740 cm-1. Die Größe der Absorptionsbanden ändert sich in Abhängigkeit des Verhältnisses der Quellengase, wobei die zwei Absorp­ tionsbanden einen Eigenschaftsindex des Fluorkohlenstoff/Siliziumoxid- Verbundfilms repräsentieren.
Der erfindungsgemäße Fluorkohlenstoff/Siliziumoxid-Verbundfilm kann als intermetallischer Isolationsfilm sowie als anderer Isolationsfilm, wie er als Beschichtungsmaterial eingesetzt wird, das eine thermische Stabilität bei einer hohen Temperatur, geringem Widerstand und eine niedrige Die­ lektrizitätskonstante erfordert, verwendet werden.

Claims (9)

1. Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskon­ stante, umfassend den Schritt:
Zuführen eines ersten, Fluor und Kohlenstoff enthaltenden Quellengases und eines zweiten, Siliziumdioxid enthaltenden Quellengases zu einem Doppelfre­ quenzreaktor für hochdichtes Plasma, und dadurch Bilden eines Fluorkohlen­ stoff/Siliziumoxid-Verbundfilms auf einem in dem Reaktor angeordneten Sub­ strat.
2. Verfahren nach Anspruch 1, wobei das erste Quellengas Perfluorbenzol (C6F6) beinhaltet.
3. Verfahren nach Anspruch 1 und/oder 2, wobei das zweite Quellengas Hexame­ thyldisiloxan (HMDSO) beinhaltet.
4. Verfahren nach mindestens einem der vorangehenden Ansprüche, wobei die Strömungsrate des ersten Quellengases 12,6 SCCM und die Strömungsrate des zweiten Quellengases 1,4 SCCM beträgt.
5. Verfahren nach mindestens einem der vorangehenden Ansprüche, wobei das Verhältnis des zweiten Quellengases zu der Summe aus dem ersten und zweiten Quellengas 0,01 bis 0,99 beträgt.
6. Verfahren nach mindestens einem der vorangehenden Ansprüche, wobei die Dielektrizitätskonstante des Fluorkohlenstoff/Siliziumoxid-Verbundfilms im Bereich von 2,0 bis 4,0 liegt.
7. Verfahren nach mindestens einem der vorangehenden Ansprüche, wobei die Temperatur des Substrats in dem Reaktor im Bereich von 60 bis 350°C liegt und die Vorspannung des Substrats während der Bildung des Fluorkohlenstoff/Sili­ ziumoxid-Verbundfilms im Bereich von -50 bis -400 V liegt.
8. Verfahren nach mindestens einem der vorangehenden Ansprüche, wobei das Substrat aus einem Material gebildet ist, gewählt aus Silizium, Metallen, Kerami­ ken, Glas, Polymeren und Kaliumchlorid.
9. Verfahren nach mindestens einem der vorangehenden Ansprüche, wobei der Druck in dem Reaktor im Bereich von 6,66 bis 26,66 Pa (50 bis 200 × 10-3 Torr) liegt.
DE19744837A 1996-10-11 1997-10-10 Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskonstante Expired - Fee Related DE19744837C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019960045259A KR100205318B1 (ko) 1996-10-11 1996-10-11 자유전율의 절연막 제조방법

Publications (2)

Publication Number Publication Date
DE19744837A1 true DE19744837A1 (de) 1998-04-16
DE19744837C2 DE19744837C2 (de) 2000-06-21

Family

ID=19477072

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19744837A Expired - Fee Related DE19744837C2 (de) 1996-10-11 1997-10-10 Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskonstante

Country Status (4)

Country Link
US (1) US6043167A (de)
JP (1) JP2942820B2 (de)
KR (1) KR100205318B1 (de)
DE (1) DE19744837C2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19961103A1 (de) * 1999-12-17 2001-07-05 Infineon Technologies Ag Dielektrische Füllung von elektrischen Verdrahtungsebenen
DE102005035445B4 (de) * 2005-07-28 2007-09-27 Qimonda Ag Nichtflüchtige, resistive Speicherzelle auf der Basis von Metalloxid-Nanopartikeln sowie Verfahren zu deren Herstellung und entsprechende Speicherzellenanordnung

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3173426B2 (ja) 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6174797B1 (en) * 1999-11-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Silicon oxide dielectric material with excess silicon as diffusion barrier layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6365528B1 (en) * 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6559052B2 (en) 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
DE102007004844B4 (de) * 2007-01-31 2011-05-05 Infineon Technologies Ag Verfahren zur Herstellung eines Bauteils und Bauteil
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9598771B2 (en) 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
KR102139211B1 (ko) * 2013-03-04 2020-07-29 어플라이드 머티어리얼스, 인코포레이티드 Oled 박막 인캡슐레이션을 위한 불소-함유 플라즈마 중합 hmdso
CN104282250B (zh) * 2014-10-24 2016-08-31 深圳市华星光电技术有限公司 Tft 中mis 结构设计的控制方法及系统
KR20240037612A (ko) * 2022-09-15 2024-03-22 충남대학교산학협력단 고유전 비정질 불소화 탄소 박막을 이용한 커패시터, 그 제조방법 및 이를 이용한 반도체

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5428102A (en) * 1987-07-15 1995-06-27 The United States Of America As Represented By The United States National Aeronautics And Space Administration Low dielectric polyimides
EP0393271A1 (de) * 1987-08-08 1990-10-24 The Standard Oil Company Dünnschichtüberzüge aus Fluorpolymer und Verfahren zu ihrer Herstellung durch Plasmapolymerisation
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH086181B2 (ja) * 1992-11-30 1996-01-24 日本電気株式会社 化学気相成長法および化学気相成長装置
US5462784A (en) * 1994-11-02 1995-10-31 International Business Machines Corporation Fluorinated diamond-like carbon protective coating for magnetic recording media devices
US5629246A (en) * 1995-09-27 1997-05-13 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19961103A1 (de) * 1999-12-17 2001-07-05 Infineon Technologies Ag Dielektrische Füllung von elektrischen Verdrahtungsebenen
DE19961103C2 (de) * 1999-12-17 2002-03-14 Infineon Technologies Ag Dielektrische Füllung von elektrischen Verdrahtungsebenen und Verfahren zur Herstellung einer elektrischen Verdrahtung
US6380076B2 (en) 1999-12-17 2002-04-30 Infineon Technologies Ag Dielectric filling of electrical wiring planes
DE102005035445B4 (de) * 2005-07-28 2007-09-27 Qimonda Ag Nichtflüchtige, resistive Speicherzelle auf der Basis von Metalloxid-Nanopartikeln sowie Verfahren zu deren Herstellung und entsprechende Speicherzellenanordnung

Also Published As

Publication number Publication date
KR100205318B1 (ko) 1999-07-01
JPH10125674A (ja) 1998-05-15
US6043167A (en) 2000-03-28
KR19980026719A (ko) 1998-07-15
JP2942820B2 (ja) 1999-08-30
DE19744837C2 (de) 2000-06-21

Similar Documents

Publication Publication Date Title
DE19744837C2 (de) Verfahren zur Bildung eines Isolationsfilms mit niedriger Dielektrizitätskonstante
Wang et al. Structural properties of fluorinated amorphous carbon films
US5215787A (en) Method of forming silicon oxide film containing fluorine
DE69728683T2 (de) Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten
Fourches et al. Plasma deposition of hydrogenated amorphous carbon: growth rates, properties and structures
McKenzie et al. Properties and structure of amorphous hydrogenated carbon films
DE2736514C2 (de) Verfahren und Vorrichtung zum Beschichten von Oberflächen mit Kohlenstoff
Blaauw Stress in chemical‐vapor‐deposited SiO2 and plasma‐SiN x films on GaAs and Si
Jiang et al. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films
US5674599A (en) Deposited multi-layer device
DE3615627C2 (de) Verfahren zum Beschichten optischer Bauelemente und optische Bauelemente
EP0381109A2 (de) Feuchtesperre für organische Dielektrika
Pfuch et al. Characterization of SiO2 thin films prepared by plasma-activated chemical vapour deposition
Winder et al. Growth and characterization of fluorocarbon thin films grown from trifluoromethane (CHF3) using pulsed‐plasma enhanced CVD
EP0381110B1 (de) Schutzschicht für elektroaktive Passivierschichten
Poll et al. Optical properties of plasma polymer films
Han et al. Deposition of Fluorinated Amorphous Carbon Thin Films as a Low‐Dielectric‐Constant Material
DE4417235A1 (de) Plasmapolymer-Schichtenfolge als Hartstoffschicht mit definiert einstellbarem Adhäsionsverhalten
EP0794569A2 (de) Amorpher Kohlenstofffilm, sein Herstellungsverfahren und Halbleiterschaltung, die diesen Film verwendet
US20100003833A1 (en) Method of forming fluorine-containing dielectric film
Benmassaoud et al. Influence of the power and pressure on the growth rate and refractive index of aC: H thin films deposited by rf plasma-enhanced chemical vapour deposition
Tsai et al. Amorphous silicon and amorphous silicon nitride films prepared by a plasma-enhanced chemical vapor deposition process as optical coating materials
DE102007031416A1 (de) Substrat aus einem polymeren Werkstoff und mit einer wasser- und sauerstoff- undurchlässigen Barrierebeschichtung sowie dazugehöriges Herstellungsverfahren
Louh et al. Effects of acetylene on property of plasma amorphous carbon films
US6905981B1 (en) Low-k dielectric materials and processes

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20140501