DE3485201D1 - Gleichzeitige plazierung und verbindung von vlsi-chips. - Google Patents

Gleichzeitige plazierung und verbindung von vlsi-chips.

Info

Publication number
DE3485201D1
DE3485201D1 DE8484107439T DE3485201T DE3485201D1 DE 3485201 D1 DE3485201 D1 DE 3485201D1 DE 8484107439 T DE8484107439 T DE 8484107439T DE 3485201 T DE3485201 T DE 3485201T DE 3485201 D1 DE3485201 D1 DE 3485201D1
Authority
DE
Germany
Prior art keywords
connection
vlsi chips
simultaneous placement
simultaneous
placement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE8484107439T
Other languages
English (en)
Inventor
Michael Burstein
Se June Hong
Richard Neil Pelavin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of DE3485201D1 publication Critical patent/DE3485201D1/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
DE8484107439T 1983-08-12 1984-06-28 Gleichzeitige plazierung und verbindung von vlsi-chips. Expired - Fee Related DE3485201D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/522,900 US4593363A (en) 1983-08-12 1983-08-12 Simultaneous placement and wiring for VLSI chips

Publications (1)

Publication Number Publication Date
DE3485201D1 true DE3485201D1 (de) 1991-11-28

Family

ID=24082842

Family Applications (1)

Application Number Title Priority Date Filing Date
DE8484107439T Expired - Fee Related DE3485201D1 (de) 1983-08-12 1984-06-28 Gleichzeitige plazierung und verbindung von vlsi-chips.

Country Status (4)

Country Link
US (1) US4593363A (de)
EP (1) EP0133466B1 (de)
JP (1) JPS6047444A (de)
DE (1) DE3485201D1 (de)

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4686629A (en) * 1984-05-10 1987-08-11 Rca Corporation Logic cell placement method in computer-aided-customization of universal arrays and resulting integrated circuit
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
US4742471A (en) * 1985-10-31 1988-05-03 International Business Machines Corporation Method for improving wirability of master-image DCVS chips
US4754408A (en) * 1985-11-21 1988-06-28 International Business Machines Corporation Progressive insertion placement of elements on an integrated circuit
JPH0763074B2 (ja) * 1986-02-25 1995-07-05 株式会社東芝 半導体論理集積回路の論理セル配置方法
JPH0666393B2 (ja) * 1986-05-23 1994-08-24 日本電気株式会社 レイアウト設計における配置改良方法
US4777606A (en) * 1986-06-05 1988-10-11 Northern Telecom Limited Method for deriving an interconnection route between elements in an interconnection medium
US4858143A (en) * 1986-09-25 1989-08-15 Bell-Northern Research, Ltd. Work ordering routine for use in a method of routing
JPH0793358B2 (ja) * 1986-11-10 1995-10-09 日本電気株式会社 ブロック配置処理方式
DE3650323T2 (de) * 1986-12-17 1996-01-25 Ibm VLSI-Chip und Verfahren zur Herstellung.
JPS63278249A (ja) * 1986-12-26 1988-11-15 Toshiba Corp 半導体集積回路装置の配線方法
US4827427A (en) * 1987-03-05 1989-05-02 Hyduke Stanley M Instantaneous incremental compiler for producing logic circuit designs
US5257201A (en) * 1987-03-20 1993-10-26 International Business Machines Corporation Method to efficiently reduce the number of connections in a circuit
US4965739A (en) * 1987-03-26 1990-10-23 Vlsi Technology, Inc. Machine process for routing interconnections from one module to another module and for positioning said two modules after said modules are interconnected
US4908772A (en) * 1987-03-30 1990-03-13 Bell Telephone Laboratories Integrated circuits with component placement by rectilinear partitioning
JP2877303B2 (ja) * 1987-03-31 1999-03-31 株式会社東芝 集積回路の自動設計装置
US4815003A (en) * 1987-06-19 1989-03-21 General Electric Company Structured design method for high density standard cell and macrocell layout of VLSI chips
US5153843A (en) * 1988-04-01 1992-10-06 Loral Corporation Layout of large multistage interconnection networks technical field
US5031111C1 (en) * 1988-08-08 2001-03-27 Trw Inc Automated circuit design method
US5047959A (en) * 1988-09-13 1991-09-10 Square D Company Flexible data display
US5452231A (en) * 1988-10-05 1995-09-19 Quickturn Design Systems, Inc. Hierarchically connected reconfigurable logic assembly
DE58907307D1 (de) * 1988-11-02 1994-04-28 Siemens Ag Verfahren zur plazierung von modulen auf einem träger.
US5329470A (en) * 1988-12-02 1994-07-12 Quickturn Systems, Inc. Reconfigurable hardware emulation system
US5109353A (en) * 1988-12-02 1992-04-28 Quickturn Systems, Incorporated Apparatus for emulation of electronic hardware system
US5187784A (en) * 1989-01-13 1993-02-16 Vlsi Technology, Inc. Integrated circuit placement method using netlist and predetermined ordering constraints to produce a human readable integrated circuit schematic diagram
JP2595705B2 (ja) * 1989-01-31 1997-04-02 松下電器産業株式会社 ピン配置最適化方法とピン座標表現方法
US5224057A (en) * 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5369593A (en) * 1989-05-31 1994-11-29 Synopsys Inc. System for and method of connecting a hardware modeling element to a hardware modeling system
US5353243A (en) * 1989-05-31 1994-10-04 Synopsys Inc. Hardware modeling system and method of use
JP2863550B2 (ja) * 1989-06-08 1999-03-03 株式会社日立製作所 配置最適化方法及び配置最適化装置と回路設計装置
US5218551A (en) * 1990-04-30 1993-06-08 International Business Machines Corporation Timing driven placement
US5187671A (en) * 1990-08-24 1993-02-16 Microelectronics And Computer Technology Corporation Automated interconnect routing system
JPH04130968A (ja) * 1990-09-21 1992-05-01 Toshiba Corp ニューラルネットワークを用いた配線方式
US5303161A (en) * 1990-12-10 1994-04-12 Hughes Aircraft Company Technology independent integrated circuit mask artwork generator
US5202840A (en) * 1990-12-19 1993-04-13 Vlsi Technology, Inc. Method for partitioning of connected circuit components before placement in one or more integrated circuits
US5225991A (en) * 1991-04-11 1993-07-06 International Business Machines Corporation Optimized automated macro embedding for standard cell blocks
JP3220250B2 (ja) * 1992-01-09 2001-10-22 株式会社東芝 セル自動配置方法
JP2759573B2 (ja) * 1992-01-23 1998-05-28 株式会社日立製作所 回路基板の配線パターン決定方法
US5398195A (en) * 1992-02-21 1995-03-14 International Business Machines Corporation Method and system for providing a non-rectangular floor plan
WO1993024895A2 (en) * 1992-06-04 1993-12-09 Xilinx, Inc. Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
US5618744A (en) * 1992-09-22 1997-04-08 Fujitsu Ltd. Manufacturing method and apparatus of a semiconductor integrated circuit device
JPH06196563A (ja) * 1992-09-29 1994-07-15 Internatl Business Mach Corp <Ibm> Vlsiの配線設計に対するコンピュータ実施可能な過密領域配線方法
US5295081A (en) * 1992-10-30 1994-03-15 International Business Machines Corporation Concurrent interactive wire editing
US5648913A (en) * 1993-03-29 1997-07-15 Xilinx, Inc. Frequency driven layout system and method for field programmable gate arrays
US5648912A (en) * 1993-04-12 1997-07-15 International Business Machines Corporation Interconnection resource assignment method for differential current switch nets
US5566078A (en) * 1993-05-26 1996-10-15 Lsi Logic Corporation Integrated circuit cell placement using optimization-driven clustering
US5469366A (en) * 1993-09-20 1995-11-21 Lsi Logic Corporation Method and apparatus for determining the performance of nets of an integrated circuit design on a semiconductor design automation system
JP2922404B2 (ja) * 1993-11-15 1999-07-26 富士通株式会社 集積回路の配置決定方法
AU1562195A (en) * 1994-01-25 1995-08-08 Advantage Logic, Inc. Apparatus and method for partitioning resources for interconnections
US5680583A (en) * 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
JP3192057B2 (ja) * 1994-03-18 2001-07-23 富士通株式会社 配線プログラム生成方法及びその装置
US5914887A (en) * 1994-04-19 1999-06-22 Lsi Logic Corporation Congestion based cost factor computing apparatus for integrated circuit physical design automation system
US5638288A (en) * 1994-08-24 1997-06-10 Lsi Logic Corporation Separable cells having wiring channels for routing signals between surrounding cells
US5587923A (en) * 1994-09-07 1996-12-24 Lsi Logic Corporation Method for estimating routability and congestion in a cell placement for integrated circuit chip
US5619419A (en) * 1994-09-13 1997-04-08 Lsi Logic Corporation Method of cell placement for an itegrated circuit chip comprising integrated placement and cell overlap removal
US5701255A (en) * 1994-09-14 1997-12-23 Matsushita Electric Industrial Co., Ltd. Cell generation method and cell generation system
US6058252A (en) * 1995-01-19 2000-05-02 Synopsys, Inc. System and method for generating effective layout constraints for a circuit design or the like
US5631842A (en) * 1995-03-07 1997-05-20 International Business Machines Corporation Parallel approach to chip wiring
US5856927A (en) * 1995-05-01 1999-01-05 Vlsi Technology, Inc. Method for automatically routing circuits of very large scale integration (VLSI)
US5754824A (en) * 1995-05-10 1998-05-19 International Business Machines Corporation Logic synthesis for logic array modules
US5764532A (en) * 1995-07-05 1998-06-09 International Business Machines Corporation Automated method and system for designing an optimized integrated circuit
US5659717A (en) * 1995-07-31 1997-08-19 Altera Corporation Methods for partitioning circuits in order to allocate elements among multiple circuit groups
US5933349A (en) * 1995-12-29 1999-08-03 Compaq Computer Corporation Component placement
US5787009A (en) * 1996-02-20 1998-07-28 Altera Corporation Methods for allocating circuit design portions among physical circuit portions
US6353918B1 (en) 1996-03-15 2002-03-05 The Arizona Board Of Regents On Behalf Of The University Of Arizona Interconnection routing system
US5844811A (en) * 1996-06-28 1998-12-01 Lsi Logic Corporation Advanced modular cell placement system with universal affinity driven discrete placement optimization
US5870312A (en) * 1996-06-28 1999-02-09 Lsi Logic Corporation Advanced modular cell placement system with dispersion-driven levelizing system
US5812740A (en) * 1996-06-28 1998-09-22 Lsi Logic Corporation Advanced modular cell placement system with neighborhood system driven optimization
US5892688A (en) * 1996-06-28 1999-04-06 Lsi Logic Corporation Advanced modular cell placement system with iterative one dimensional preplacement optimization
US6067409A (en) * 1996-06-28 2000-05-23 Lsi Logic Corporation Advanced modular cell placement system
US5870311A (en) * 1996-06-28 1999-02-09 Lsi Logic Corporation Advanced modular cell placement system with fast procedure for finding a levelizing cut point
US5963455A (en) * 1996-06-28 1999-10-05 Lsi Logic Corporation Advanced modular cell placement system with functional sieve optimization technique
US5867398A (en) * 1996-06-28 1999-02-02 Lsi Logic Corporation Advanced modular cell placement system with density driven capacity penalty system
US5831863A (en) * 1996-06-28 1998-11-03 Lsi Logic Corporation Advanced modular cell placement system with wire length driven affinity system
US5808899A (en) * 1996-06-28 1998-09-15 Lsi Logic Corporation Advanced modular cell placement system with cell placement crystallization
US5872718A (en) * 1996-06-28 1999-02-16 Lsi Logic Corporation Advanced modular cell placement system
US6030110A (en) * 1996-06-28 2000-02-29 Lsi Logic Corporation Advanced modular cell placement system with median control and increase in resolution
US6085032A (en) * 1996-06-28 2000-07-04 Lsi Logic Corporation Advanced modular cell placement system with sinusoidal optimization
US5835381A (en) * 1996-06-28 1998-11-10 Lsi Logic Corporation Advanced modular cell placement system with minimizing maximal cut driven affinity system
US6026223A (en) * 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
US5914888A (en) * 1996-06-28 1999-06-22 Lsi Logic Corporation Advanced modular cell placement system with coarse overflow remover
US5847965A (en) * 1996-08-02 1998-12-08 Avant| Corporation Method for automatic iterative area placement of module cells in an integrated circuit layout
US5841967A (en) * 1996-10-17 1998-11-24 Quickturn Design Systems, Inc. Method and apparatus for design verification using emulation and simulation
US6026230A (en) * 1997-05-02 2000-02-15 Axis Systems, Inc. Memory simulation system and method
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6389379B1 (en) 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6421251B1 (en) 1997-05-02 2002-07-16 Axis Systems Inc Array board interconnect system and method
US6134516A (en) * 1997-05-02 2000-10-17 Axis Systems, Inc. Simulation server system and method
JPH10313058A (ja) * 1997-05-13 1998-11-24 Toshiba Corp 半導体集積回路設計装置、半導体集積回路設計方法、半導体集積回路設計プログラムを記録したコンピュータ読み取り可能な記録媒体、及び、半導体集積回路製造方法
US5960191A (en) 1997-05-30 1999-09-28 Quickturn Design Systems, Inc. Emulation system with time-multiplexed interconnect
US5970240A (en) * 1997-06-25 1999-10-19 Quickturn Design Systems, Inc. Method and apparatus for configurable memory emulation
US6367051B1 (en) 1998-06-12 2002-04-02 Monterey Design Systems, Inc. System and method for concurrent buffer insertion and placement of logic gates
US7080336B2 (en) * 2000-12-06 2006-07-18 Cadence Design Systems, Inc. Method and apparatus for computing placement costs
US6516455B1 (en) * 2000-12-06 2003-02-04 Cadence Design Systems, Inc. Partitioning placement method using diagonal cutlines
US6957410B2 (en) * 2000-12-07 2005-10-18 Cadence Design Systems, Inc. Method and apparatus for adaptively selecting the wiring model for a design region
US7055120B2 (en) * 2000-12-06 2006-05-30 Cadence Design Systems, Inc. Method and apparatus for placing circuit modules
US6826737B2 (en) * 2000-12-06 2004-11-30 Cadence Design Systems, Inc. Recursive partitioning placement method and apparatus
CN1529864B (zh) * 2000-12-06 2010-05-05 凯登斯设计系统有限公司 在布局中考虑到斜布线的方法和装置
US7024650B2 (en) * 2000-12-06 2006-04-04 Cadence Design Systems, Inc. Method and apparatus for considering diagonal wiring in placement
US7003754B2 (en) * 2000-12-07 2006-02-21 Cadence Design Systems, Inc. Routing method and apparatus that use of diagonal routes
US7073150B2 (en) * 2000-12-07 2006-07-04 Cadence Design Systems, Inc. Hierarchical routing method and apparatus that use diagonal routes
AU2002257367A1 (en) * 2000-12-14 2002-08-06 Yu-Liang Wu System and method for alternative wiring using pre-analyzed patterns
US6883154B2 (en) * 2001-01-19 2005-04-19 Cadence Design Systems, Inc. LP method and apparatus for identifying route propagations
US6915501B2 (en) 2001-01-19 2005-07-05 Cadence Design Systems, Inc. LP method and apparatus for identifying routes
US6836753B1 (en) 2001-06-13 2004-12-28 Cadence Design Systems, Inc. Cone slack allocator for computing time budgets
US6931616B2 (en) * 2001-08-23 2005-08-16 Cadence Design Systems, Inc. Routing method and apparatus
US7155697B2 (en) * 2001-08-23 2006-12-26 Cadence Design Systems, Inc. Routing method and apparatus
US6795958B2 (en) * 2001-08-23 2004-09-21 Cadence Design Systems, Inc. Method and apparatus for generating routes for groups of related node configurations
US7143382B2 (en) 2001-08-23 2006-11-28 Cadence Design Systems, Inc. Method and apparatus for storing routes
US7058913B1 (en) * 2001-09-06 2006-06-06 Cadence Design Systems, Inc. Analytical placement method and apparatus
US7171635B2 (en) * 2002-11-18 2007-01-30 Cadence Design Systems, Inc. Method and apparatus for routing
US7624367B2 (en) 2002-11-18 2009-11-24 Cadence Design Systems, Inc. Method and system for routing
US7003752B2 (en) * 2002-11-18 2006-02-21 Cadence Design Systems, Inc. Method and apparatus for routing
US6996789B2 (en) * 2002-11-18 2006-02-07 Cadence Design Systems, Inc. Method and apparatus for performing an exponential path search
US6988257B2 (en) * 2002-11-18 2006-01-17 Cadence Design Systems, Inc. Method and apparatus for routing
US7047513B2 (en) * 2002-11-18 2006-05-16 Cadence Design Systems, Inc. Method and apparatus for searching for a three-dimensional global path
US7080342B2 (en) * 2002-11-18 2006-07-18 Cadence Design Systems, Inc Method and apparatus for computing capacity of a region for non-Manhattan routing
US7010771B2 (en) * 2002-11-18 2006-03-07 Cadence Design Systems, Inc. Method and apparatus for searching for a global path
US7480885B2 (en) * 2002-11-18 2009-01-20 Cadence Design Systems, Inc. Method and apparatus for routing with independent goals on different layers
US7089519B1 (en) 2002-12-31 2006-08-08 Cadence Design System, Inc. Method and system for performing placement on non Manhattan semiconductor integrated circuits
US7506295B1 (en) 2002-12-31 2009-03-17 Cadence Design Systems, Inc. Non manhattan floor plan architecture for integrated circuits
US7013445B1 (en) 2002-12-31 2006-03-14 Cadence Design Systems, Inc. Post processor for optimizing manhattan integrated circuits placements into non manhattan placements
JP4652242B2 (ja) * 2006-01-20 2011-03-16 株式会社日立製作所 半導体集積回路のセル配置方法
US20080155486A1 (en) * 2006-12-20 2008-06-26 International Business Machines Corporation Systems and methods for reducing wiring vias during synthesis of electronic designs
US8020120B2 (en) 2007-10-01 2011-09-13 International Business Machines Corporation Layout quality gauge for integrated circuit design
US8677302B2 (en) * 2009-09-02 2014-03-18 Synopsys, Inc. Multi-threaded detailed routing
US8370786B1 (en) * 2010-05-28 2013-02-05 Golden Gate Technology, Inc. Methods and software for placement improvement based on global routing
US9208277B1 (en) * 2011-08-19 2015-12-08 Cadence Design Systems, Inc. Automated adjustment of wire connections in computer-assisted design of circuits
CN113255284B (zh) * 2021-05-30 2023-07-18 上海立芯软件科技有限公司 全局布线中快速局部拆线重布方法
CN113255283B (zh) * 2021-05-30 2023-08-11 上海立芯软件科技有限公司 快速拆除闭合环路和冗余节点的全局布线方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US944001A (en) * 1907-05-29 1909-12-21 Wallace Oscar Sheafor Chemical-closet.
US940013A (en) * 1908-08-17 1909-11-16 David J Havenstrite Apparatus for harvesting and cutting plate-ice.
US940020A (en) * 1909-04-14 1909-11-16 Thomas G Plant Lip-turning machine.
US938005A (en) * 1909-09-16 1909-10-26 Borindum Extraction Company Apparatus for pulverizing, desulfurizing, or oxidizing metallic and other ores.
US3617714A (en) * 1969-04-15 1971-11-02 Bell Telephone Labor Inc Method of minimizing the interconnection cost of linked objects
US3681782A (en) * 1970-12-02 1972-08-01 Honeywell Inf Systems Machine process for positioning interconnected components to minimize interconnecting line length
US3705409A (en) * 1970-12-09 1972-12-05 Ibm Tableau network design system
UST940020I4 (en) 1974-04-17 1975-11-04 Automatic circuit generation process and apparatus
UST944001I4 (de) 1974-06-13 1976-03-02
UST938005I4 (en) 1974-08-28 1975-09-02 Cd cd cd
UST940013I4 (en) 1974-09-17 1975-11-04 Network design process using multiple performance functions
US4027246A (en) * 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
US4377849A (en) * 1980-12-29 1983-03-22 International Business Machines Corporation Macro assembler process for automated circuit design
US4484292A (en) * 1981-06-12 1984-11-20 International Business Machines Corporation High speed machine for the physical design of very large scale integrated circuits
US4500963A (en) * 1982-11-29 1985-02-19 The United States Of America As Represented By The Secretary Of The Army Automatic layout program for hybrid microcircuits (HYPAR)

Also Published As

Publication number Publication date
EP0133466A3 (en) 1987-03-04
JPS6047444A (ja) 1985-03-14
US4593363A (en) 1986-06-03
EP0133466B1 (de) 1991-10-23
JPH0473622B2 (de) 1992-11-24
EP0133466A2 (de) 1985-02-27

Similar Documents

Publication Publication Date Title
DE3485201D1 (de) Gleichzeitige plazierung und verbindung von vlsi-chips.
DE3481281D1 (de) Beeinflussung von partikeln.
DK220784D0 (da) Blege-og rengoeringsmiddel
DK343884D0 (da) Blande- og emulgeringsapparat
DE3483069D1 (de) Ko-raffination von aramidfibrillen und flocken.
DE3481307D1 (de) Aglykone und pseudo-aglykone von aad-216-antibiotika.
DE3381714D1 (de) Abtast- und halteschaltungen.
DE3480763D1 (de) Bicyclische benzenoid-aminoalkylen-aether- und thioaether.
NL185414C (nl) Schakelband.
IT1198843B (it) Pannello-parete e suoi componenti
NO860649L (no) Bordbrikke.
MA20013A1 (fr) Agencement perfectionne et economiseur
DE3882074D1 (de) Verpackung von integrierten halbleiterschaltungen.
TR22704A (tr) Olefin polimerlestirme katalizoerue bilesenleri ve polimerlestirme usulue
IT8324466A0 (it) Composizioni n-cianoammidiche eloro addotti.
DE3481534D1 (de) Verteilung und dosieren von kraftstoff.
BR8402947A (pt) Maquina misturadora e amassadora
DK248784A (da) Blandemaskine
DK519284D0 (da) Quinazolinderivater
DE3475192D1 (de) Pyrrolizidinones and indolizidones
IT1206705B (it) Preparazioni. 1-fenossi-3-idrossiindolil-alchilammino-3-propanoli e loro
KR840005323U (ko) 팔레트(PaLette)겸용 물통
DD263655A3 (de) Loetgemisch
DK409084D0 (da) Blandingsventil
SE8301486L (sv) Blandare

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee