DE3587964D1 - Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas. - Google Patents

Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas.

Info

Publication number
DE3587964D1
DE3587964D1 DE3587964T DE3587964T DE3587964D1 DE 3587964 D1 DE3587964 D1 DE 3587964D1 DE 3587964 T DE3587964 T DE 3587964T DE 3587964 T DE3587964 T DE 3587964T DE 3587964 D1 DE3587964 D1 DE 3587964D1
Authority
DE
Germany
Prior art keywords
magnetron
vapor deposition
chemical vapor
enhanced plasma
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE3587964T
Other languages
English (en)
Other versions
DE3587964T2 (de
Inventor
Robert Foster
David Nin-Kou Wang
Sasson Somekh
Dan Maydan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE3587964D1 publication Critical patent/DE3587964D1/de
Publication of DE3587964T2 publication Critical patent/DE3587964T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
DE3587964T 1984-10-25 1985-10-24 Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas. Expired - Fee Related DE3587964T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/664,657 US4668365A (en) 1984-10-25 1984-10-25 Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition

Publications (2)

Publication Number Publication Date
DE3587964D1 true DE3587964D1 (de) 1995-02-09
DE3587964T2 DE3587964T2 (de) 1995-05-11

Family

ID=24666897

Family Applications (1)

Application Number Title Priority Date Filing Date
DE3587964T Expired - Fee Related DE3587964T2 (de) 1984-10-25 1985-10-24 Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas.

Country Status (4)

Country Link
US (1) US4668365A (de)
EP (2) EP0179665B1 (de)
JP (2) JPH0696768B2 (de)
DE (1) DE3587964T2 (de)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5780313A (en) 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
US4797527A (en) * 1985-02-06 1989-01-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Electrode for electric discharge machining and method for producing the same
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5512102A (en) * 1985-10-14 1996-04-30 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US5300951A (en) * 1985-11-28 1994-04-05 Kabushiki Kaisha Toshiba Member coated with ceramic material and method of manufacturing the same
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
US4974543A (en) * 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4873605A (en) * 1986-03-03 1989-10-10 Innovex, Inc. Magnetic treatment of ferromagnetic materials
US4786361A (en) * 1986-03-05 1988-11-22 Kabushiki Kaisha Toshiba Dry etching process
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US5044314A (en) * 1986-10-15 1991-09-03 Advantage Production Technology, Inc. Semiconductor wafer processing apparatus
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
ATE177877T1 (de) * 1986-12-19 1999-04-15 Applied Materials Inc Bromine-ätzverfahren für silizium
US5277939A (en) * 1987-02-10 1994-01-11 Semiconductor Energy Laboratory Co., Ltd. ECR CVD method for forming BN films
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
US4912065A (en) * 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
DE3719616A1 (de) * 1987-06-12 1988-12-29 Leybold Ag Verfahren und vorrichtung zur beschichtung eines substrats
ZA884511B (en) * 1987-07-15 1989-03-29 Boc Group Inc Method of plasma enhanced silicon oxide deposition
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
KR910007384B1 (ko) * 1987-09-16 1991-09-25 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 초전도 산화물 형성방법 및 장치
US4991542A (en) * 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
JP2512783B2 (ja) * 1988-04-20 1996-07-03 株式会社日立製作所 プラズマエッチング方法及び装置
FR2631346B1 (fr) * 1988-05-11 1994-05-20 Air Liquide Revetement protecteur multicouche pour substrat, procede de protection de substrat par depot par plasma d'un tel revetement, revetements obtenus et leurs applications
JPH0223613A (ja) * 1988-07-12 1990-01-25 Tokyo Ohka Kogyo Co Ltd プラズマ反応装置
WO1990004045A1 (en) * 1988-10-14 1990-04-19 Advantage Production Technology Inc. Semiconductor wafer processing method and apparatus
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
DE3902628A1 (de) * 1989-01-30 1990-08-02 Hauni Elektronik Gmbh Duennschichtmaterial fuer sensoren oder aktuatoren und verfahren zu dessen herstellung
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
US4971655A (en) * 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
DE4010672A1 (de) * 1990-04-03 1991-10-10 Leybold Ag Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
CA2039109A1 (en) * 1990-04-23 1991-10-24 David B. Chang Selective emissivity coatings for interior temperature reduction of an enclosure
FR2661688B1 (fr) * 1990-05-02 1992-07-17 Air Liquide Revetement multicouche pour substrat polycarbonate et procede d'elaboration d'un tel revetement.
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5274270A (en) * 1990-12-17 1993-12-28 Nchip, Inc. Multichip module having SiO2 insulating layer
JP3139031B2 (ja) * 1991-02-21 2001-02-26 日本板硝子株式会社 熱線遮蔽ガラス
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
DE4118973C2 (de) * 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5204138A (en) * 1991-12-24 1993-04-20 International Business Machines Corporation Plasma enhanced CVD process for fluorinated silicon nitride films
JP2791525B2 (ja) * 1992-04-16 1998-08-27 三菱電機株式会社 反射防止膜の選定方法およびその方法により選定された反射防止膜
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
EP0602595B1 (de) * 1992-12-15 1997-07-23 Applied Materials, Inc. Verdampfung von flüssigen Reaktionspartnern für CVD
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH06326026A (ja) 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
EP0661732B1 (de) 1993-12-28 2004-06-09 Applied Materials, Inc. Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
EP0723944A1 (de) * 1995-01-26 1996-07-31 Optical Coating Laboratory, Inc. Verschleissfeste Fenster
JPH08203884A (ja) * 1995-01-31 1996-08-09 Mitsubishi Electric Corp オキシナイトライド膜およびその形成方法ならびにそのオキシナイトライド膜を用いた素子分離酸化膜の形成方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6144544A (en) * 1996-10-01 2000-11-07 Milov; Vladimir N. Apparatus and method for material treatment using a magnetic field
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
JP4947834B2 (ja) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6579421B1 (en) 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
TW455912B (en) * 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
US6251776B1 (en) * 1999-04-02 2001-06-26 Advanced Micro Devices, Inc. Plasma treatment to reduce stress corrosion induced voiding of patterned metal layers
JP4393677B2 (ja) 1999-09-14 2010-01-06 株式会社堀場エステック 液体材料気化方法および装置並びに制御バルブ
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
KR20040028985A (ko) * 2001-09-14 2004-04-03 동경 엘렉트론 주식회사 플라즈마 반응기 코일자석시스템
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
WO2004022238A2 (en) * 2002-09-09 2004-03-18 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
KR101177127B1 (ko) * 2002-11-29 2012-08-24 오씨 외를리콘 발처스 악티엔게젤샤프트 기판의 제조 방법 및 이를 위한 장치
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US8470141B1 (en) * 2005-04-29 2013-06-25 Angstrom Sciences, Inc. High power cathode
US7967911B2 (en) 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
WO2007139140A1 (ja) 2006-05-31 2007-12-06 Tokyo Electron Limited プラズマcvd方法、窒化珪素膜の形成方法および半導体装置の製造方法
JP2008071982A (ja) * 2006-09-15 2008-03-27 Hitachi Industrial Equipment Systems Co Ltd 変圧器
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US8366997B2 (en) 2009-02-10 2013-02-05 Shoto Technologies Llc Modular laboratory automation system
EP3222749A1 (de) 2009-05-13 2017-09-27 SiO2 Medical Products, Inc. Entgasungsverfahren zur prüfung einer beschichteten oberfläche
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
KR20130057460A (ko) * 2010-08-31 2013-05-31 시마쯔 코포레이션 비정질 질화 규소막 및 그 제조 방법
JP5594773B2 (ja) * 2010-09-30 2014-09-24 国立大学法人九州大学 選択成膜方法、成膜装置、及び構造体
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8697198B2 (en) * 2011-03-31 2014-04-15 Veeco Ald Inc. Magnetic field assisted deposition
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
EP2776603B1 (de) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. Passivierungs-, ph-schutz- oder schmierbeschichtung für arzneimittelverpackung, beschichtungsverfahren und vorrichtung
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
CA2890066C (en) 2012-11-01 2021-11-09 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (de) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Verfahren und vorrichtung zur erkennung von schnellen sperrbeschichtungsintegritätseigenschaften
EP2738790A1 (de) * 2012-11-28 2014-06-04 Abengoa Solar New Technologies, S.A. Verfahren zum Herstellen einer Einzelbarriere und/oder dielektrischen Schicht oder Multischicht auf einem Substrat und Vorrichtung zur Umsetzung davon
US9764093B2 (en) * 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
CN105705676B (zh) * 2012-11-30 2018-09-07 Sio2医药产品公司 控制在医用注射器、药筒等上的pecvd沉积的均匀性
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
EP3122917B1 (de) 2014-03-28 2020-05-06 SiO2 Medical Products, Inc. Antistatische beschichtungen für kunststoffbehälter
US10151025B2 (en) * 2014-07-31 2018-12-11 Seagate Technology Llc Helmholtz coil assisted PECVD carbon source
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
WO2017031354A2 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10006123B2 (en) * 2016-05-10 2018-06-26 The Boeing Company Species controlled chemical vapor deposition
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI686106B (zh) * 2019-01-25 2020-02-21 國立清華大學 場發射手持式常壓電漿產生裝置
CN113496869A (zh) * 2020-04-03 2021-10-12 重庆超硅半导体有限公司 一种外延基底用硅晶片之背面膜层及制造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3558348A (en) * 1968-04-18 1971-01-26 Bell Telephone Labor Inc Dielectric films for semiconductor devices
US4155825A (en) * 1977-05-02 1979-05-22 Fournier Paul R Integrated sputtering apparatus and method
GB2009249B (en) * 1977-11-24 1982-06-30 Lysaght Australia Ltd Coating mass controll using magnetic field
US4265729A (en) * 1978-09-27 1981-05-05 Vac-Tec Systems, Inc. Magnetically enhanced sputtering device
DE2941559C2 (de) * 1979-10-13 1983-03-03 Messerschmitt-Bölkow-Blohm GmbH, 8000 München Verfahren zum Abscheiden von Silizium auf einem Substrat
US4361472A (en) * 1980-09-15 1982-11-30 Vac-Tec Systems, Inc. Sputtering method and apparatus utilizing improved ion source
JPS5756036A (en) * 1980-09-20 1982-04-03 Mitsubishi Electric Corp Plasma chemical vapor phase reactor
US4487162A (en) * 1980-11-25 1984-12-11 Cann Gordon L Magnetoplasmadynamic apparatus for the separation and deposition of materials
JPS57161057A (en) * 1981-03-30 1982-10-04 Mitsubishi Electric Corp Chemical vapor phase growth device using plasma
DE3280026D1 (en) * 1981-05-29 1989-12-21 Kanegafuchi Chemical Ind Process for preparing amorphous silicon semiconductor
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
IN160089B (de) * 1982-07-14 1987-06-27 Standard Oil Co Ohio
JPS5944831A (ja) * 1982-09-07 1984-03-13 Toshiba Corp 薄膜堆積方法
US4500409A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
JPS60200956A (ja) * 1984-03-23 1985-10-11 Anelva Corp 放電反応装置
JPS619577U (ja) * 1984-06-23 1986-01-21 ダイキン工業株式会社 スクロ−ル形流体機械

Also Published As

Publication number Publication date
EP0612861A1 (de) 1994-08-31
DE3587964T2 (de) 1995-05-11
JPS61179872A (ja) 1986-08-12
EP0179665A3 (en) 1989-02-08
JPH0696768B2 (ja) 1994-11-30
EP0179665A2 (de) 1986-04-30
US4668365A (en) 1987-05-26
JPH07166360A (ja) 1995-06-27
EP0179665B1 (de) 1994-12-28

Similar Documents

Publication Publication Date Title
DE3587964T2 (de) Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas.
DE69019250T2 (de) Verfahren und Vorrichtung zur chemischen Dampfphasenabscheidung.
DE68917870D1 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung.
DE69324849D1 (de) Verfahren und Vorrichtung zur Plasma-unterstützten chemischen Dampfphasen-Abscheidung
DE69017744T2 (de) Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
DE69325983D1 (de) Verfahren und Vorrichtung zur chemischen Dampfabscheidung
DE69510138D1 (de) Verfahren und Vorrichtung zur chemischen Gasphasenabscheidung
DE3678686D1 (de) Verfahren und vorrichtung zum beschichten von substraten mittels einer plasmaentladung.
DE3854541T2 (de) Verfahren und Vorrichtung zur Behandlung eines Materials durch Plasma.
DE58901113D1 (de) Verfahren und einrichtung zur pcvd-innenbeschichtung metallischer rohre mittels eines mikrowellenplasmas.
DE69633770D1 (de) Verfahren und Vorrichtung zur chemischen Gasphasenabscheidung dünner Schichten
DE69504762D1 (de) Vorrichtung zur chemischen Gasphasenabscheidung
DE3884763D1 (de) Anlage zur metallorganischen chemischen Abscheidung aus der Gasphase sowie Verfahren zu deren Anwendung.
DE68907891T2 (de) Vorrichtung und verfahren zur kühlung eines plasmabogens.
DE3786840D1 (de) Vorrichtung und verfahren zur oberflaechenbehandlung mit plasma.
DE3862699D1 (de) Vorrichtung zur behandlung eines prozessgases.
DE3667895D1 (de) Einrichtung zur erzeugung eines im wesentlichen homogenen plasmas.
DE69028662T2 (de) Verfahren und Vorrichtung zum Laseraufdampfen
DE3585204D1 (de) Verfahren und vorrichtung zur entdeckung eines objekts.
DE2920766A1 (de) Loesung und verfahren zur stromlosen kupferabscheidung unter verwendung eines hypophosphit-reduktionsmittels
DE3577997D1 (de) Verfahren und vorrichtung zum ueberziehen durch vakuumbeschichtung.
DE3670750D1 (de) Vorrichtung zum anregen eines plasmas in einer gassaeule durch mikrowellen zur realisierung eines ionenlasers.
DE3686549D1 (de) Vorrichtung und verfahren zur herstellung duenner schichten durch plasma.
DE69126833D1 (de) Verfahren zur Gasanalyse mittels eines Plasmas
DE69223062T2 (de) Verfahren zur überwachung eines atmosphärendruckglimmentladungsplasma

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee