DE3751732D1 - Seitenwandisolation für eine Grube mittels Oxidation von Polysilizium - Google Patents

Seitenwandisolation für eine Grube mittels Oxidation von Polysilizium

Info

Publication number
DE3751732D1
DE3751732D1 DE3751732T DE3751732T DE3751732D1 DE 3751732 D1 DE3751732 D1 DE 3751732D1 DE 3751732 T DE3751732 T DE 3751732T DE 3751732 T DE3751732 T DE 3751732T DE 3751732 D1 DE3751732 D1 DE 3751732D1
Authority
DE
Germany
Prior art keywords
pit
sidewall insulation
polysilicon oxidation
polysilicon
oxidation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE3751732T
Other languages
English (en)
Other versions
DE3751732T2 (de
Inventor
Inge Grumm Fulton
James Steve Makris
Victor Ray Nastasi
Anthony Francis Scaduto
Anne Charlene Shartel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of DE3751732D1 publication Critical patent/DE3751732D1/de
Publication of DE3751732T2 publication Critical patent/DE3751732T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
DE3751732T 1986-05-12 1987-03-24 Seitenwandisolation für eine Grube mittels Oxidation von Polysilizium Expired - Fee Related DE3751732T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/861,886 US4666556A (en) 1986-05-12 1986-05-12 Trench sidewall isolation by polysilicon oxidation

Publications (2)

Publication Number Publication Date
DE3751732D1 true DE3751732D1 (de) 1996-04-18
DE3751732T2 DE3751732T2 (de) 1996-09-26

Family

ID=25337030

Family Applications (1)

Application Number Title Priority Date Filing Date
DE3751732T Expired - Fee Related DE3751732T2 (de) 1986-05-12 1987-03-24 Seitenwandisolation für eine Grube mittels Oxidation von Polysilizium

Country Status (7)

Country Link
US (1) US4666556A (de)
EP (1) EP0245622B1 (de)
JP (1) JPS62269335A (de)
BR (1) BR8702320A (de)
CA (1) CA1286572C (de)
DE (1) DE3751732T2 (de)
ES (1) ES2084575T3 (de)

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4772569A (en) * 1986-10-30 1988-09-20 Mitsubishi Denki Kabushiki Kaisha Method for forming oxide isolation films on french sidewalls
GB2200794A (en) * 1986-11-19 1988-08-10 Plessey Co Plc Semiconductor device manufacture
US4980311A (en) * 1987-05-05 1990-12-25 Seiko Epson Corporation Method of fabricating a semiconductor device
JPS63314844A (ja) * 1987-06-18 1988-12-22 Toshiba Corp 半導体装置の製造方法
US4982266A (en) * 1987-12-23 1991-01-01 Texas Instruments Incorporated Integrated circuit with metal interconnecting layers above and below active circuitry
US5354710A (en) * 1988-01-14 1994-10-11 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices using an adsorption enhancement layer
KR940003218B1 (ko) * 1988-03-24 1994-04-16 세이꼬 엡슨 가부시끼가이샤 반도체 장치 및 그 제조방법
JP2666384B2 (ja) * 1988-06-30 1997-10-22 ソニー株式会社 半導体装置の製造方法
US5008208A (en) * 1988-12-07 1991-04-16 Honeywell Inc. Method of making planarized, self-aligned bipolar integrated circuits
US5105253A (en) * 1988-12-28 1992-04-14 Synergy Semiconductor Corporation Structure for a substrate tap in a bipolar structure
US5143859A (en) * 1989-01-18 1992-09-01 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a static induction type switching device
US5264381A (en) * 1989-01-18 1993-11-23 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a static induction type switching device
US5053345A (en) * 1989-02-06 1991-10-01 Harris Corporation Method of edge doping SOI islands
US4900692A (en) * 1989-04-24 1990-02-13 Motorola, Inc. Method of forming an oxide liner and active area mask for selective epitaxial growth in an isolation trench
US5208471A (en) * 1989-06-12 1993-05-04 Hitachi, Ltd. Semiconductor device and manufacturing method therefor
KR920004366B1 (ko) * 1989-09-08 1992-06-04 현대전자산업 주식회사 반도체 장치의 자기 정렬 콘택 제조방법
US5106777A (en) * 1989-09-27 1992-04-21 Texas Instruments Incorporated Trench isolation process with reduced topography
JP2870054B2 (ja) * 1989-10-25 1999-03-10 ソニー株式会社 半導体装置の製造方法
JP2597022B2 (ja) * 1990-02-23 1997-04-02 シャープ株式会社 素子分離領域の形成方法
US5296392A (en) * 1990-03-06 1994-03-22 Digital Equipment Corporation Method of forming trench isolated regions with sidewall doping
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric
US5065217A (en) * 1990-06-27 1991-11-12 Texas Instruments Incorporated Process for simultaneously fabricating isolation structures for bipolar and CMOS circuits
JP2589209B2 (ja) * 1990-09-27 1997-03-12 株式会社東芝 半導体装置の素子間分離領域の形成方法
KR920020676A (ko) * 1991-04-09 1992-11-21 김광호 반도체 장치의 소자분리 방법
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
JPH0799771B2 (ja) * 1992-06-26 1995-10-25 インターナショナル・ビジネス・マシーンズ・コーポレイション 皮膜中の応力を制御する方法
KR960005552B1 (ko) * 1993-03-31 1996-04-26 현대전자산업주식회사 반도체 소자의 분리막 형성 방법
EP0631305B1 (de) * 1993-06-23 1998-04-15 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Isolationsgrabens in einem Substrat für Smart-Power-Technologien
DE59409300D1 (de) * 1993-06-23 2000-05-31 Siemens Ag Verfahren zur Herstellung von einem Isolationsgraben in einem Substrat für Smart-Power-Technologien
US5358891A (en) * 1993-06-29 1994-10-25 Intel Corporation Trench isolation with planar topography and method of fabrication
EP0635884A1 (de) * 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5416041A (en) * 1993-09-27 1995-05-16 Siemens Aktiengesellschaft Method for producing an insulating trench in an SOI substrate
US5498566A (en) * 1993-11-15 1996-03-12 Lg Semicon Co., Ltd. Isolation region structure of semiconductor device and method for fabricating the same
JPH07193121A (ja) * 1993-12-27 1995-07-28 Toshiba Corp 半導体装置の製造方法
US5536675A (en) * 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5472903A (en) * 1994-05-24 1995-12-05 United Microelectronics Corp. Isolation technology for sub-micron devices
US5385866A (en) * 1994-06-22 1995-01-31 International Business Machines Corporation Polish planarizing using oxidized boron nitride as a polish stop
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
JP2861856B2 (ja) * 1995-03-30 1999-02-24 日本電気株式会社 半導体装置の製造方法
DE69533773D1 (de) 1995-03-31 2004-12-23 Cons Ric Microelettronica Verfahren zur Herstellung von Isolationsgraben
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5605862A (en) * 1995-04-05 1997-02-25 International Business Machines Corporation Process for making low-leakage contacts
JP3438446B2 (ja) * 1995-05-15 2003-08-18 ソニー株式会社 半導体装置の製造方法
US5661073A (en) * 1995-08-11 1997-08-26 Micron Technology, Inc. Method for forming field oxide having uniform thickness
US5899726A (en) * 1995-12-08 1999-05-04 Advanced Micro Devices, Inc. Method of forming oxide isolation in a semiconductor device
US5933748A (en) * 1996-01-22 1999-08-03 United Microelectronics Corp. Shallow trench isolation process
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US5618751A (en) * 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US6045625A (en) * 1996-12-06 2000-04-04 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
US5926717A (en) * 1996-12-10 1999-07-20 Advanced Micro Devices, Inc. Method of making an integrated circuit with oxidizable trench liner
US5763316A (en) * 1997-02-19 1998-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate isolation process to minimize junction leakage
US5869384A (en) * 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
JP3904676B2 (ja) * 1997-04-11 2007-04-11 株式会社ルネサステクノロジ トレンチ型素子分離構造の製造方法およびトレンチ型素子分離構造
US6013937A (en) * 1997-09-26 2000-01-11 Siemens Aktiengesellshaft Buffer layer for improving control of layer thickness
TW501230B (en) * 1997-10-04 2002-09-01 United Microelectronics Corp Manufacture method shallow trench isolation
US6309947B1 (en) * 1997-10-06 2001-10-30 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with improved isolation region to active region topography
KR100248888B1 (ko) * 1998-01-07 2000-03-15 윤종용 트랜치 격리의 형성 방법
KR100280106B1 (ko) 1998-04-16 2001-03-02 윤종용 트렌치 격리 형성 방법
US5989977A (en) * 1998-04-20 1999-11-23 Texas Instruments - Acer Incorporated Shallow trench isolation process
US6251734B1 (en) * 1998-07-01 2001-06-26 Motorola, Inc. Method for fabricating trench isolation and trench substrate contact
JP2000031264A (ja) 1998-07-08 2000-01-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6518145B1 (en) * 1998-08-06 2003-02-11 International Business Machines Corporation Methods to control the threshold voltage of a deep trench corner device
US6781212B1 (en) * 1998-08-31 2004-08-24 Micron Technology, Inc Selectively doped trench device isolation
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6204198B1 (en) * 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6204146B1 (en) * 1998-12-10 2001-03-20 United Microelectronics Corp. Method of fabricating shallow trench isolation
US7098506B2 (en) * 2000-06-28 2006-08-29 Renesas Technology Corp. Semiconductor device and method for fabricating the same
JP2000196075A (ja) * 1998-12-25 2000-07-14 Hitachi Ltd 半導体装置及びその製造方法
GB9915589D0 (en) 1999-07-02 1999-09-01 Smithkline Beecham Plc Novel compounds
US6265302B1 (en) * 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6420757B1 (en) 1999-09-14 2002-07-16 Vram Technologies, Llc Semiconductor diodes having low forward conduction voltage drop, low reverse current leakage, and high avalanche energy capability
KR100559042B1 (ko) * 1999-10-07 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 쉘로우 트렌치 소자분리막 형성 방법
JP2001110782A (ja) * 1999-10-12 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
US6433370B1 (en) * 2000-02-10 2002-08-13 Vram Technologies, Llc Method and apparatus for cylindrical semiconductor diodes
US6506657B1 (en) * 2000-04-19 2003-01-14 National Semiconductor Corporation Process for forming damascene-type isolation structure for BJT device formed in trench
KR100499625B1 (ko) * 2000-06-30 2005-07-07 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성방법
KR100338803B1 (ko) * 2000-08-12 2002-05-31 이형도 반도체 레이저 다이오드의 제조방법
US6580150B1 (en) 2000-11-13 2003-06-17 Vram Technologies, Llc Vertical junction field effect semiconductor diodes
US6602759B2 (en) 2000-12-07 2003-08-05 International Business Machines Corporation Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
US7172914B1 (en) * 2001-01-02 2007-02-06 Cypress Semiconductor Corporation Method of making uniform oxide layer
JP4660964B2 (ja) * 2001-05-11 2011-03-30 株式会社デンソー 絶縁分離型半導体装置の製造方法
US6537921B2 (en) 2001-05-23 2003-03-25 Vram Technologies, Llc Vertical metal oxide silicon field effect semiconductor diodes
JP2003273206A (ja) * 2002-03-18 2003-09-26 Fujitsu Ltd 半導体装置とその製造方法
JP2004047624A (ja) * 2002-07-10 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
DE10233208A1 (de) * 2002-07-22 2004-03-04 Infineon Technologies Ag Halbleiterbauelement mit Grabenisolierung sowie zugehöriges Herstellungsverfahren
DE10234165B4 (de) * 2002-07-26 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Füllen eines Grabens, der in einem Substrat gebildet ist, mit einem isolierenden Material
DE10234699A1 (de) * 2002-07-30 2004-02-12 Advanced Micro Devices, Inc., Sunnyvale Ein Verfahren zum Bereitstellen eines dicken thermischen Oxides bei der Grabenisolation
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US7238588B2 (en) 2003-01-14 2007-07-03 Advanced Micro Devices, Inc. Silicon buffered shallow trench isolation
US7648886B2 (en) 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US6962857B1 (en) 2003-02-05 2005-11-08 Advanced Micro Devices, Inc. Shallow trench isolation process using oxide deposition and anneal
JP2004266185A (ja) * 2003-03-04 2004-09-24 Renesas Technology Corp 半導体装置およびその製造方法
FR2852144B1 (fr) * 2003-03-05 2005-06-10 Commissariat Energie Atomique Procede de delimitation d'un element conducteur dispose sur une couche isolante, dispositif et transistor obtenus par ce procede
US6958275B2 (en) * 2003-03-11 2005-10-25 Integrated Discrete Devices, Llc MOSFET power transistors and methods
TWI230432B (en) * 2003-05-05 2005-04-01 Nanya Technology Corp Method for improving sneakage at shallow trench isolation and STI structure thereof
US7652326B2 (en) * 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US7754550B2 (en) * 2003-07-10 2010-07-13 International Rectifier Corporation Process for forming thick oxides on Si or SiC for semiconductor devices
DE102004032910B4 (de) * 2003-07-10 2012-01-26 International Rectifier Corp. Verfahren zur Bildung dicker Oxide auf Si oder SiC für Halbleiterbauteile
US6921709B1 (en) 2003-07-15 2005-07-26 Advanced Micro Devices, Inc. Front side seal to prevent germanium outgassing
US7462549B2 (en) 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
CN100466197C (zh) * 2004-03-16 2009-03-04 石川岛播磨重工业株式会社 半导体装置的制造方法
DE102004028679A1 (de) * 2004-06-14 2006-01-05 Infineon Technologies Ag Isolationsgrabenanordnung
US20100047987A1 (en) * 2005-04-28 2010-02-25 Nxp B.V. Method of fabricating a bipolar transistor
US7569478B2 (en) * 2005-08-25 2009-08-04 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US20070170542A1 (en) * 2006-01-26 2007-07-26 Micron Technology, Inc. Method of filling a high aspect ratio trench isolation region and resulting structure
US7385275B2 (en) * 2006-02-15 2008-06-10 International Business Machines Corporation Shallow trench isolation method for shielding trapped charge in a semiconductor device
WO2007108401A1 (ja) * 2006-03-20 2007-09-27 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US20070224775A1 (en) * 2006-03-27 2007-09-27 Nick Lindert Trench isolation structure having an expanded portion thereof
US7355224B2 (en) * 2006-06-16 2008-04-08 Fairchild Semiconductor Corporation High voltage LDMOS
KR100818711B1 (ko) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100845102B1 (ko) * 2006-12-20 2008-07-09 동부일렉트로닉스 주식회사 반도체 소자의 소자분리막 형성방법
JP2008166526A (ja) * 2006-12-28 2008-07-17 Spansion Llc 半導体装置の製造方法
KR100818892B1 (ko) * 2007-03-19 2008-04-03 동부일렉트로닉스 주식회사 바이폴라 트랜지스터 및 그 제조 방법
US7833893B2 (en) * 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
US20090081862A1 (en) * 2007-09-24 2009-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap structure design for advanced integrated circuit technology
US8138036B2 (en) * 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
US8299566B2 (en) * 2008-08-08 2012-10-30 International Business Machines Corporation Through wafer vias and method of making same
US8035198B2 (en) * 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
US8384224B2 (en) 2008-08-08 2013-02-26 International Business Machines Corporation Through wafer vias and method of making same
US8404583B2 (en) * 2010-03-12 2013-03-26 Applied Materials, Inc. Conformality of oxide layers along sidewalls of deep vias
US8334190B2 (en) * 2010-05-07 2012-12-18 Texas Instruments Incorporated Single step CMP for polishing three or more layer film stacks
US8432000B2 (en) 2010-06-18 2013-04-30 Fairchild Semiconductor Corporation Trench MOS barrier schottky rectifier with a planar surface using CMP techniques
KR101683071B1 (ko) 2010-09-08 2016-12-06 삼성전자 주식회사 반도체 소자 및 그 제조방법
US8921183B2 (en) * 2010-12-08 2014-12-30 Nanya Technology Corporation Method for fabricating trench isolation structure
US9121237B2 (en) 2011-07-28 2015-09-01 Baker Hughes Incorporated Methods of coating wellbore tools and components having such coatings
JP5970761B2 (ja) * 2011-09-12 2016-08-17 トヨタ自動車株式会社 半導体装置の製造方法
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US20150140819A1 (en) * 2013-11-19 2015-05-21 United Microelectronics Corp. Semiconductor process
US20150145041A1 (en) * 2013-11-22 2015-05-28 International Business Machines Corporation Substrate local interconnect integration with finfets
US20150162277A1 (en) 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
US9214429B2 (en) 2013-12-05 2015-12-15 Stmicroelectronics, Inc. Trench interconnect having reduced fringe capacitance
US20150270159A1 (en) * 2014-03-20 2015-09-24 Globalfoundries Inc. Fabrication of semiconductor structures using oxidized polycrystalline silicon as conformal stop layers
US9385187B2 (en) 2014-04-25 2016-07-05 Texas Instruments Incorporated High breakdown N-type buried layer
US9401410B2 (en) 2014-11-26 2016-07-26 Texas Instruments Incorporated Poly sandwich for deep trench fill
JP2018113421A (ja) * 2017-01-13 2018-07-19 トヨタ自動車株式会社 半導体装置の製造方法
US10522549B2 (en) * 2018-02-17 2019-12-31 Varian Semiconductor Equipment Associates, Inc. Uniform gate dielectric for DRAM device
US10410910B1 (en) * 2018-08-20 2019-09-10 Nanya Technology Corporation Method for preparing semiconductor structures
US11756794B2 (en) * 2019-11-01 2023-09-12 Texas Instruments Incorporated IC with deep trench polysilicon oxidation
US11869802B2 (en) * 2020-07-29 2024-01-09 Changxin Memory Technologies, Inc. Method of forming semiconductor isolation structure and semiconductor isolation structure
CN114388505A (zh) * 2020-10-22 2022-04-22 长鑫存储技术有限公司 埋入式字线结构及其制备方法、动态随机存储器
CN113517193B (zh) * 2021-04-06 2022-03-11 江苏新顺微电子股份有限公司 一种提高沟槽mos结构肖特基二极管性能的工艺方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3966577A (en) * 1973-08-27 1976-06-29 Trw Inc. Dielectrically isolated semiconductor devices
US4104086A (en) * 1977-08-15 1978-08-01 International Business Machines Corporation Method for forming isolated regions of silicon utilizing reactive ion etching
US4139442A (en) * 1977-09-13 1979-02-13 International Business Machines Corporation Reactive ion etching method for producing deep dielectric isolation in silicon
US4256514A (en) * 1978-11-03 1981-03-17 International Business Machines Corporation Method for forming a narrow dimensioned region on a body
US4238278A (en) * 1979-06-14 1980-12-09 International Business Machines Corporation Polycrystalline silicon oxidation method for making shallow and deep isolation trenches
US4222792A (en) * 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
US4356211A (en) * 1980-12-19 1982-10-26 International Business Machines Corporation Forming air-dielectric isolation regions in a monocrystalline silicon substrate by differential oxidation of polysilicon
JPS5938732B2 (ja) * 1981-03-20 1984-09-19 株式会社東芝 半導体装置の製造方法
JPS58175843A (ja) * 1982-04-08 1983-10-15 Matsushita Electric Ind Co Ltd 半導体集積回路の製造方法
US4544576A (en) * 1981-07-27 1985-10-01 International Business Machines Corporation Deep dielectric isolation by fused glass
JPS5844735A (ja) * 1981-09-11 1983-03-15 Fujitsu Ltd 半導体装置の製造方法
JPS58153349A (ja) * 1982-03-08 1983-09-12 Nec Corp 半導体装置の製造方法
JPS5961045A (ja) * 1982-09-29 1984-04-07 Fujitsu Ltd 半導体装置の製造方法
JPS6039846A (ja) * 1983-08-15 1985-03-01 Oki Electric Ind Co Ltd 半導体集積回路装置の製造方法
JPS6083346A (ja) * 1983-10-14 1985-05-11 Hitachi Ltd 半導体集積回路装置
US4571819A (en) * 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4621414A (en) * 1985-03-04 1986-11-11 Advanced Micro Devices, Inc. Method of making an isolation slot for integrated circuit structure
US4626317A (en) * 1985-04-03 1986-12-02 Advanced Micro Devices, Inc. Method for planarizing an isolation slot in an integrated circuit structure
US4789560A (en) * 1986-01-08 1988-12-06 Advanced Micro Devices, Inc. Diffusion stop method for forming silicon oxide during the fabrication of IC devices

Also Published As

Publication number Publication date
JPS62269335A (ja) 1987-11-21
US4666556A (en) 1987-05-19
EP0245622B1 (de) 1996-03-13
DE3751732T2 (de) 1996-09-26
ES2084575T3 (es) 1996-05-16
EP0245622A2 (de) 1987-11-19
BR8702320A (pt) 1988-02-17
CA1286572C (en) 1991-07-23
EP0245622A3 (en) 1990-03-28

Similar Documents

Publication Publication Date Title
DE3751732T2 (de) Seitenwandisolation für eine Grube mittels Oxidation von Polysilizium
ATA173986A (de) Antrieb für rolltreppen oder rollsteige
IT1066175B (it) Composizione a capattere non untuoso per il trattamento della pelle
DE3782236D1 (de) Organophile polyphenolsaeure-anlagerungsverbindungen.
DE3887277T2 (de) Werkträger für eine rolltreppe.
DE1148699T1 (de) Betriebsverfahren für eine Datenstation
ES294056Y (es) Gato elevador de coches
IT1088061B (it) Procedimento per preparare diesteri di acidi bicarbossilici
DE3855863T2 (de) Hydroxamsäurederivate
BR7808118A (pt) Processo para a oxidacao parcial de uma carga hidrocarbonifero,ou suas misturas
IT1049562B (it) Processo per la preparazione della sostanza edulcorante 6 metil 3.4 diidro 1.2.3 ossatiazin 4 on 2.2 diossido
ATA197185A (de) Hubgliedertor
IT1060718B (it) Procedimento per la campionatura di catalizzatori
DK70987A (da) Loeftemekanisme til vippelad
KR860005746A (ko) 엘레베이터의 운전장치
FI870271A (fi) Sjaelvsmoerjande friktionsminskande sammansaettning.
DE3673253D1 (de) Teilwicklungsschaltung zum anfahren von drehstrommotoren.
KR880701701A (ko) 산 촉매화법
ES294533Y (es) Gato para coches
DK423786D0 (da) Fremgangsmaade til fremstilling af 6-aminopencillansyre-1,1-dioxid ved oxidation af 6-aminopencillansyre
ES278998Y (es) Aparato agitador para dados de pronosticos quinielisticos.-
KR860009520U (ko) 이동이 자유로운 조립식 농구대
KR860014779U (ko) 엘리베이터의 균형추장치
KR860014888U (ko) 승강 주차기의 승강장치
KR880004245U (ko) 이동이 자유로운 조립식 농구대

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee