DE4241045C1 - Verfahren zum anisotropen Ätzen von Silicium - Google Patents

Verfahren zum anisotropen Ätzen von Silicium

Info

Publication number
DE4241045C1
DE4241045C1 DE4241045A DE4241045A DE4241045C1 DE 4241045 C1 DE4241045 C1 DE 4241045C1 DE 4241045 A DE4241045 A DE 4241045A DE 4241045 A DE4241045 A DE 4241045A DE 4241045 C1 DE4241045 C1 DE 4241045C1
Authority
DE
Germany
Prior art keywords
etching
steps
silicon
during
polymerization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE4241045A
Other languages
English (en)
Inventor
Franz Dr Laermer
Andrea Schilp
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Priority to DE4241045A priority Critical patent/DE4241045C1/de
Priority to EP94900729A priority patent/EP0625285B1/de
Priority to JP6513639A priority patent/JPH07503815A/ja
Priority to US08/284,490 priority patent/US5501893A/en
Priority to PCT/DE1993/001129 priority patent/WO1994014187A1/de
Application granted granted Critical
Publication of DE4241045C1 publication Critical patent/DE4241045C1/de
Priority to JP2007001632A priority patent/JP4090492B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface

Description

Die Erfindung betrifft ein Verfahren zum aniso­ tropen Ätzen von Silicium nach dem Oberbegriff des Anspruchs 1.
Es ist bekannt, in Siliciumsubstrate, die vorzugs­ weise in der Halbleitertechnik angewendet werden, definierte Strukturen, beispielsweise Gräben, Käm­ me, Zungen, Biegebalken oder ähnliches mit geringer bis mittlerer Selektivität anisotrop einzuätzen.
Die einzelnen einzuätzenden Strukturen werden üb­ licherweise durch auf das Siliciumsubstrat auf­ gebrachte Ätzmasken über sogenannte Maskier­ schichten, beispielsweise einer Photolackschicht, definiert.
Bei der anisotropen Ätztechnik ist es notwendig, zu einer lateral exakt definierten Ausnehmung im Silicium zu kommen. Diese in die Tiefe gehenden Ausnehmungen müssen möglichst genau senkrechte Seitenabschlüsse besitzen. Dabei dürfen die Ränder der Maskierschichten, die diejenigen Silicium­ substratbereiche abdecken, die nicht geätzt werden sollen, nicht unterätzt werden, um die laterale Genauigkeit der Strukturübertragung von der Maske ins Silicium so hoch wie möglich zu halten. Daraus ergibt sich die Notwendigkeit, die Ätzung also nur auf dem Strukturgrund, nicht aber an den bereits erzeugten Seitenwänden der Strukturen fortschreiten zu lassen.
Hierzu ist bereits vorgeschlagen worden, das Ätzen von Profilen in Siliciumsubstraten mit einem Plasmaätzverfahren durchzuführen. Dazu werden in einem Reaktor mit Hilfe einer elektrischen Ent­ ladung in einem Reaktivgasgemisch chemisch reaktive Spezies und elektrisch geladene Teilchen (Ionen) erzeugt. Die so generierten, positiv geladenen Kationen werden durch eine elektrische Vorspannung, die am Siliciumsubstrat anliegt, zum Substrat hin beschleunigt und fallen annähernd senkrecht auf die Substratoberfläche und fördern auf dem Ätzgrund die chemische Umsetzung der reaktiven Plasmaspezies mit dem Silicium.
Durch den nahezu senkrechten Einfall der Kationen soll die Ätzung an den Seitenwänden der Strukturen entsprechend langsam - bzw. im Optimalfall über­ haupt nicht - voranschreiten.
Es ist bekannt, für diese Plasmaätzverfahren unge­ fährliche und prozeßstabile Reaktivgase auf Fluor­ chemiebasis einzusetzen. Dabei ist jedoch sehr nachteilig, daß diese auf Fluorchemiebasis wirkenden Reaktivgase zwar eine sehr hohe Ätzgeschwindigkeit und eine hohe Selektivität ermöglichen, aber ein ausgeprägtes isotropes Ätzverhalten zeigen.
Die im Plasma generierten Fluorradikale weisen dabei gegenüber dem Silicium eine so hohe spontane Reaktionsgeschwindigkeit auf, daß auch die Strukturkanten (Seitenflächen) schnell angeätzt werden und es so­ mit zu der unerwünschten Unterätzung der Masken­ kanten kommt.
Weiterhin ist bereits vorgeschlagen worden, die Seitenwände während der Ätzung mit gleichzeitig im Plasma befindlichen Polymerbildnern zu bedecken und durch diesen Polymerfilm zu schützen. Da sich dieser Polymerfilm auch auf dem Ätzgrund bilden würde, soll ein beständiger Ioneneinfall diesen von Polyiner freihalten und die Ätzung dort ermöglichen. Hierbei ist jedoch nachteilig, daß die dem Plasma zugesetzten Polymerbildner, die sich zum Teil aus dem Fluorträger selbst durch Abspaltung von Fluor­ radikalen bilden oder die aus bewußt zugesetzten ungesättigten Verbindungen entstehen oder aus ero­ diertem organischen Maskenmaterial (z. B. Photolack) stammen, gegenüber den Fluorradikalen als Rekom­ binationspartner auftreten. Durch diese, ein chemisches Gleichgewicht anstrebende Rückreaktion wird ein erheblicher Teil des für die Ätzung benötigten Fluors neutralisiert, während gleich­ zeitig auch ein entsprechender Anteil der für die Seitenwandpassivierung benötigten Polymerbildner verlorengeht. Hierdurch wird insgesamt die mit diesem Verfahren erzielbare Ätzgeschwindigkeit merklich ge­ senkt.
Diese Abhängigkeit der ätzenden Fluorradikale zu den ungesättigten Polyinerbildnern im Plasma läßt die Ätzgeschwindigkeiten und die Ätzprofile von der freien zu ätzenden Siliciumsubstratfläche abhängig werden. Weiterhin ist noch nachteilig, daß die im Plasma anwesenden, die Polymerbildner ergebenden, ungesät­ tigten Spezies bevorzugt bestimmte Maskenma­ terialien ätzen und so die Selektivität, also das Verhältnis von Siliciumätzgeschwindigkeit zur Maskenätzgeschwindigkeit, verschlechtern. Darüber hinaus erfolgt ein un­ gleichmäßiger Seitenwandschutz, so werden die Sei­ tenwände unmittelbar am Maskenrand bevorzugt mit Polymer beschichtet und somit die Seitenwand in diesem Bereich besser geschützt als in fortschrei­ tender Ätztiefe der Strukturen.
Damit nimmt in größeren Tiefen die Polymer­ bedeckung der Seitenwände rasch ab und es erfolgt dort eine Unterätzung mit der Folge, daß flaschen­ artige Ätzprofile entstehen.
Anstelle des Einsatzes von Reaktivgasen auf Fluor­ basis ist bereits vorgeschlagen worden, Reaktivgase auf Basis weniger reaktionsfreudiger Halogene, insbesondere Chlor und Brom, bzw. Reaktivgase, die im Plasma Chlor bzw. Brom freisetzen, einzusetzen.
Diese Reaktivgase bieten zwar den Vorteil, da deren im Plasma gebildete Radikale eine wesentlich geringere spontane Umsetzung mit Silicium zeigen und erst mit gleichzeitiger Ionenunterstützung zu einer Ätzung führen, daß sie, da die Ionen nahezu senkrecht auf das Siliciumsubstrat auftreffen, im wesentlichen nur auf dem Strukturgrund und nicht an den Seitenwänden der Struktur ätzen. Es besteht jedoch der Nachteil, daß diese Reaktivgase außerordentlich empfindlich gegenüber Feuchtigkeit reagieren.
Damit sind nicht nur aufwendige Einschleusvorrichtungen für die Siliciumsubstrate in dem Reaktor notwendig, sondern auch die Leckrate der gesamten Ätzanlage muß extrem niedrig gehalten werden. Schon das geringste Auftreten von Reaktorfeuchtigkeit führt zu einer Mikrorauhigkeit auf dem Siliciumätzgrund infolge lokaler Siliciumoxydation und damit zum völligen Erliegen der Ätzung.
Aus der DE 42 04 848 A1 ist ein Verfahren zur Nachätzbehandlung einer Halbleitervorrichtung bekannt, bei dem nach dem Ätzen in einem Ätzbereich eine reaktive chemische Verbindung auf der Halbleitervorrichtung ausgebildet wird. Vor dem Entfernen der geätzten Halbleitervorrichtung aus dem Ätzbereich wird hierbei ein Passivierungsmittel in den Ätzbereich eingeführt, welches Silicium-Tetrafluorid enthält. Die schwacher reaktiven Halogene Chlor und/oder Brom bilden, wenn sie zum Ätzen von Silicium oder metallischen Leiterbahnen benutzt werden, an den Waferoberflächen gut haftende Adsorbate oder Verbindungen (zum Beispiel SiClx, AlClx), die nach dem Ausschleusen der Wafer aus den Prozeßanlagen mit der umgebenden Luftfeuchtigkeit reagieren. Bei dieser Umsetzung mit Wasser entstehen aggressive Verbindungen, zum Beispiel HCl, die zu einer Korrosion führen können. Durch die Einwirkung von Fluor auf den Wafer vor dem Ausschleusen aus den Prozeßanlagen werden die Adsorbate abgebaut, das heißt zu gut flüchtigen Verbindungen umgewandelt, bevor der Wafer in Kontakt mit der Atmosphäre kommt.
Die US -PS 4 784 720 und die US-PS 4 855 017 beschreiben Verfahren des reaktiven Ionenätzprozesses zum anisotropen Siliciumätzen mit einer Brom-/Chlorchemie. In den beschriebenen Verfahren wird ein hoher Prozeßdruck von 100 bis 750 mTorr benutzt, was zur Folge hat, daß ein relativ hoher Anteil der generierten Ionen nicht senkrecht auf die Substratoberfläche einwirkt, sondern durch Stöße mit neutralen Teilchen in der Gasphase abgelenkt auf die seitlichen Ätzflächen auftrifft, so daß auch dort ein ionenunterstütztes Ätzen auftritt. Die hierdurch erforderlich werdende Seitenwandpassivierung, welche durch eine selektive Oberflächenumwandlung oder eine aktive Schichtabscheidung erreicht wird, ist grundsätzlich bekannt.
Die DE-PS 37 06 127 beschreibt ein diskontinuierliches Ätzverfahren zur Ausbildung einer Vertiefung mit einem großen Verhältnis von Tiefe zu Breite. Diese Ausbildung erhält man durch reaktive Ionenätzstufen von kurzer Dauer durch abwechselndes Beenden und Wiederaufnehmen der elektrischen Entladung. Es wird hierbei jeweils nach einer gewissen Ätzzeit eine Ätzpause eingelegt, während der sich die Reaktionsprodukte aus dem Ätzbereich verflüchtigen können. Die Wechselwirkung von Ätzprodukten, die sich sonst in schmalen Ätzgräben anreichern, mit den eigentlichen Ätzspezies wird dadurch vermindert und eine geringere Abhängigkeit der Ätzgeschwindigkeit von der Strukturbreite erreicht.
Der Erfindung liegt die Aufgabe zugrunde, ein Verfahren der gattungsgemäßen Art zu schaffen, mit dem auf Fluorchemiebasis eine hohe anisotropische Ätzung von Siliciumsubstraten bei gleichzeitig hoher Selektivität erreicht werden kann.
Erfindungsgemäß wird die Aufgabe durch die kennzeichnenden Merkmale des Anspruchs 1 gelöst.
Infolge der Durchführung der anisotropen Ätzung in separaten, jeweils alternierend aufeinanderfolgenden Ätz- und Polymerisationsschritten werden vorteilhafterweise die gleichzeitige Anwesenheit von Ätzspezies und Polymerbildnern im Plasma vollkommen vermieden. So können mit sehr hohen Ätzgeschwindigkeiten tiefe Strukturen mit senkrechten Kanten in Siliciumsubstraten realisiert werden.
Weitere vorteilhafte Ausgestaltungen der Erfindung ergeben sich aus den in den Unteransprüchen aufgeführten Merkmalen.
Durch das erfindungsgemäße Verfahren muß beim Ätz­ schritt keinerlei Rücksicht auf ein bestimmtes Verhältnis von gesättigten zu ungesättigten Spezies, also von Fluorradikalen zu Polymer­ bildnern, genommen werden, so daß der eigentliche Ätzschritt an sich hinsichtlich Ätzgeschwindigkeit und Selek­ tivität optimiert werden kann, ohne daß die Aniso­ tropie des Gesamtprozesses darunter leidet.
In vorteilhafter Ausgestaltung der Erfindung werden die Siliciumsubstrate während der Ätzschritte und wahlweise auch während der Polymerisationsschritte mit Ionenenergie bombardiert. Durch diese gleich­ zeitige Bombardierung mit Ionenenergie wird vor­ teilhaft erreicht, daß auf dem Ätzgrund sich kein Polymer bilden kann, so daß während des Ätz­ schrittes eine höhere Ätzgeschwindigkeit erreicht werden kann, da eine vorhergehende notwendige Zersetzung der Polymerschicht auf dem Ätzgrund nicht mehr notwen­ dig ist.
Es hat sich gezeigt, daß ein sehr gutes anisotropes Ergebnis mit außerordentlich niedriger Ionen­ energie erreicht werden kann. Infolge der nur geringen benötigten Ionenenergie ist eine ausge­ zeichnete Maskenselektivität erreichbar.
Da die durch das erfindungsgemäße Verfahren mög­ lichen hohen Ätzgeschwindigkeiten zu einer stark exothermen chemischen Umsetzung von Fluorradikalen mit Silicium führen, kann es zu einer beträchtlichen Erwärmung des Siliciumsubstrats kommen.
Vorteilhafterweise wird das Siliciumsubstrat während des Ätzvorgangs, vorzugsweise durch einen Heliumgasstrom, gekühlt. Durch die gleichzeitige Kühlung des Siliciumsubstrats während des Ätz­ vorgangs können die Vorteile des erfindungsgemäßen Verfahrens, nämlich eine sehr hohe Ätzgeschwindigkeit bei gleichzeitig hoher Selektivität, voll ausgenutzt werden.
Die Erfindung wird nachfolgend anhand einer Zeichnung, die schematisch den Aufbau einer für das Verfahren einsetzbaren Ätzvorrichtung zeigt, näher erläutert.
Die Figur zeigt eine Ätzkammer 10, in der eine Substratelektrode 12 angeordnet ist, die mit einer Hochfrequenzspeisung 14 verbunden ist.
In die Ätzkammer 10 ragt weiterhin ein Surfatron 16 hinein. Im Wirkbereich des Surfatrons 16 ist auf der Substratelektrode 12 ein Siliciumsubstrat 18 angeordnet. Das Surfatron 16 ist mit einem Reso­ nator 20 zur Mikrowellenplasmaanregung gekoppelt. Die Anlage weist weiterhin einen Hohlleiter 22 zum Heranführen eines Reaktivgases auf.
Das erfindungsgemäße Verfahren zum anisotropen Ätzen von Siliciumsubstrat läuft nunmehr auf folgende Weise ab.
Der Übersichtlichkeit halber wird bei der nun fol­ genden Verfahrensbeschreibung auf einzelne Bezug­ nahmen zu der Ätzkammer 10, in der die Verfahrens­ schritte ablaufen, verzichtet.
Die Ätzkammer 10 ist auch nur beispielhaft ausgewählt worden, und die Erfindung bezieht sich im einzelnen nicht auf den konkreten Aufbau der Ätzkammer 10. Das erfindungsgemäße Verfahren kann selbstverständlich auch mit einer analogen, die einzelnen Verfahrensschritte vollziehenden Vorrich­ tung durchgeführt werden.
Ein entsprechend vorbereitetes Siliciumsubstrat, das heißt ein mit einer Ätzmaske, beispielsweise aus Photolack, beschichtetes Siliciumsubstrat, wobei die Ätzmaske die Bereiche des Silicium­ substrats freiläßt, die anisotrop eingeätzt werden sollen, wird einem ersten Ätzschritt ausgesetzt.
Dazu wird ein Gemisch von beispielsweise Schwefel­ hexafluorid SF6 und Argon Ar eingesetzt, das einen Gasfluß zwischen 0 und 100 Norm-cm3 und einen Prozeßdruck zwischen 10 und 100 µbar aufweist. Die Plasmaerzeugung erfolgt hierbei vorzugsweise mit einer Mikrowelleneinstrahlung bei Leistungen zwischen 300 und 1200 W (2,45 GHz).
Gleichzeitig wird an die Substratelektrode eine Substratvorspannung zur Ionenbeschleunigung angelegt. Die Substratvorspannung liegt vorzugs­ weise zwischen 5 und 30 V und kann mit einer Hochfrequenzeinspeisung (13,56 MHz) bei Leistungen zwischen 2 und 10 W erreicht werden.
Während des Ätzschrittes werden in dem Reaktor - hier Surfatron - mit Hilfe einer elektrischen Entladung in dem Gemisch aus Schwefelhexafluorid und Argon chemisch reaktive Spezies und elektrisch geladene Teilchen (Ionen) erzeugt.
Die so generierten, positiv geladenen Kationen werden durch die an der Substratelektrode angelegte elektrische Vorspannung zum Siliciumsubstrat hin beschleunigt und fallen annähernd senkrecht auf die durch die Ätzmaske freigelassene Substratoberfläche ein und fördern die chemische Umsetzung der reaktiven Plasmaspezies mit dem Silicium.
Der Ätzschritt kann z. B. so lange durchgeführt werden, bis eine Ätztiefe von ca. 2-3 µm Tiefe erreicht ist.
Im Anschluß wird ein erster Polymerisationsschritt mit einem Gemisch aus beispielsweise Trifluormethan CHF3 und Argon Ar durchgeführt. Das Gemisch besitzt dabei einen Gasfluß von vorzugsweise 0 bis 100 Norm-cm3 und einen Prozeßdruck zwischen 10 und 100 µbar. Über den Resonator wird bei einer Leistung zwischen vorzugsweise 300 und 1200 W eine Mikrowellen­ einspeisung und damit ein Plasma erzeugt.
Während des Polymerisationsschrittes werden die im vorhergehenden Ätzschritt freigelegten Flächen, also der Ätzgrund und die Seitenflächen, sehr gleichmäßig mit einem Polymer bedeckt. Diese Polymerschicht auf den Ätzkanten bzw. Ätzflächen bildet einen sehr wirkungsvollen vorläufigen Ätz­ stopp.
Das jeweils im Polymerisationsschritt auf die Ätzkante aufgebrachte Polymer wird während des nunmehr darauffolgenden zweiten Ätzschrittes teil­ weise wieder abgetragen. Die beim Weiterätzen frei­ gelegte Kante erfährt bereits während des Ätz­ schrittes durch vom darüberliegenden Kantenbereich teilweise abgetragenen Polymer lokal einen wirk­ samen Schutz vor einem weiteren Ätzangriff.
Die bekannte Tendenz freigesetzter Monomere, sich bereits unmittelbar benachbart wieder niederzu­ schlagen, hat beim erfindungsgemäßen Verfahren die positive Konsequenz, einen zusätzlichen lokalen Kantenschutz beim Weiterätzen zu bewirken. Hieraus ergibt sich, daß die Anisotropie der einzelnen Ätzschritte, die ja getrennt von den Polymeri­ sationsschritten im Plasma erfolgen, durch diesen Effektsignifikant erhöht wird.
Die auf dem Ätzgrund während des Polymerisations­ schrittes aufgebrachte Polymerschicht wird während des darauffolgenden Ätzschrittes rasch durch­ brochen, da das Polymer mit der Ionenunterstützung sehr schnell abgetragen wird und die chemische Umsetzung der reaktiven Plasmaspezies mit dem Silicium am Ätzgrund voranschreiten kann.
Die Seitenwände der einzuätzenden Strukturen blei­ ben während des Ätzschrittes durch das während des Polymerisatonsschrittes aufgebrachte Polymer ge­ schützt.
Die Ätzschritte und die Polymerisationsschritte werden so oft alternierend wiederholt, bis die vor­ herbestimmte Ätztiefe der Strukturen im Silicium­ substrat erreicht ist. Die Dauer der einzelnen Ätzschritte liegen bei dem mikrowellenunterstützten Verfahren, das eine Ätzgeschwindigkeit zwischen 2 und 20 µm/min ermöglicht, so, daß pro Ätzschritt z. B. 2 bis 3 µm Tiefe weitergeätzt wird.
Der nachfolgende Polymerisationsschritt wird etwa solange gewählt, daß während der Polymerisations­ zeit eine ca. 50 nm starke teflonartige Polymer­ schicht an den Seitenwänden bzw. auf dem Ätzgrund abgeschieden ist. Dafür wird z. B. eine Zeit von einer Minute benötigt.
In vorteilhafter Ausgestaltung des Polymerisations­ schrittes wird gleichzeitig mit der Polymer­ aufbringung eine Ioneneinwirkung auf das Silicium­ substrat durchgeführt. Dazu wird die Substrat­ elektrode mit einer Hochfrequenzleistung von beispielweise 3 bis 5 W, die eine Substrat­ vorspannung von ca. 5 V ergibt, beaufschlagt. Da ohne die Ioneneinwirkung die während des Polymeri­ sationsschrittes abgeschiedenen Polymerschichten während der Ätzschritte nur sehr langsam geätzt - nur wenige Nanometer pro Minute - werden, bietet die gleichzeitige Ioneneinwirkung während des Ätzschrittes den Vorteil, daß die Polyiner-Ätzgeschwindigkeit drastisch auf über 100 nm/min gesteigert werden kann. Dies wird selbst dann erreicht, wenn das Siliciumsubstrat auch nur mit einer geringen Ionenenergie, z. B. 5 eV, bombardiert wird.
Wird das Siliciumsubstrat bereits während der Polymerisationsschritte mit geringer Ionenenergie bombardiert, kann auf dem Ätzgrund überhaupt kein Polymer gebildet werden. Die polymerisationsfähigen Monomere reichern sich daher bevorzugt an den Seitenwänden an und entfalten dort einen besonders wirksamen Schutz vor dem darauffolgenden Ätz­ schritt, wogegen der Ätzgrund frei bleibt von jeg­ licher Bedeckung.
Beim darauffolgenden Ätzschritt kann also am Ätz­ grund ohne Verzug, das heißt ohne vorheriges Ab­ tragen eines Polymerfilms, weitergeätzt werden.
Mit beiden Alternativen, also Ioneneinwirkung nur während der Ätzphase bzw. Ioneneinwirkung während der Ätzphase und der Polymerisationsphase, können Strukturen mit sehr hoher Anisotropie, das heißt mit praktisch genau senkrechten Kantenprofilen, erreicht werden.
Es ist ein besonderer Vorzug, daß ein anisotropes Ergebnis mit außerordentlich niedrigen Ionen­ energien erreicht werden kann. Soll während des Polymerisationsschrittes auf dem Ätzgrund kein Polymer deponiert werden, genügen bereits Ionen­ energien von nur ca. 5 eV. Bei den Ätzschritten empfiehlt sich ein Ionenbombardement bei Energien zwischen 5 und 30 eV, um den Strukturgrund völlig freizuhalten von Depositionen aus dem Plasma, so daß sich erst keine Ätzgrundrauhigkeit einstellen kann.
Werden nur während der Ätzschritte Ionen zum Siliciumsubstrat beschleunigt, so genügen diese auch, um das Ätzgrundpolymer, das sich während der Polymerisationsschritte absetzt, innerhalb von einigen Sekunden zu durchbrechen. Bei dieser Betriebsart wird der Microloading-Effekt in der Ätzgeschwindigkeit noch weiter reduziert.
Die Siliciumätzung an sich erfordert dank der hohen spontanen Umsetzungsgeschwindigkeit von Fluorradikalen mit Silicium keinerlei Ionenunterstützung.
Ein weiterer wesentlicher Vorteil ergibt sich daraus, daß infolge der nur geringen benötigten Ionenenergien eine ausgezeichnete Masken­ selektivität erreicht wird. Ionenenergien in der angegebenen Größenordnung genügen nicht, um die Ätzung der Maskenmaterialien, z. B. Photolack und Siliciumoxid SiO2 zu induzieren, da die Akti­ vierungsenergie für das Aufbrechen chemischer Bindungen im hochgradig vernetzten Maskenpolymer erheblich höher liegt. Ohne ein vorheriges Auf­ brechen dieser Bindungen ist es den Ätzspezies jedoch nicht möglich, mit dem Maskenmaterial zu flüchtigen Verbindungen zu reagieren, die an­ schließend desorbiert werden können.
Da mit dem beschriebenen Verfahren hohe Ätzgeschwindigkeit erreicht werden können, kommt es durch die stark exotherme chemische Umsetzung von Fluorradikalen mit Silicium zu einer Erwärmung des Silicium­ substrats. Bei entsprechend hohen Temperaturen verlieren die während des Polymerisationsschrittes deponierten Polymere bzw. auch die Masken­ materialien, z. B. Photolack, ihre Beständigkeit gegenüber den Ätzspezies. Daher ist es notwendig, für eine hinreichende Kühlung der Siliciumsubstrate zu sorgen. Dies wird mit an sich bekannten Verfahren, z. B. die Kühlung der Silicium­ substratrückseite durch einen Heliumgasstrom oder das Aufkleben der Siliciumsubstrate auf gekühlte Siliciumelektroden, erreicht.
Anstelle der beschriebenen Gemische von Schwefel­ hexafluorid und Argon für die Ätzschritte bzw. von Trifluormethan und Argon für die Polymerisations­ schritte können genauso gut für die Ätzschritte andere gebräuchliche, Fluor liefernde Ätzgase, beispielweise Stickstofftrifluorid NF3, Tetrafluor­ methan CF4 oder ähnliches und für die Poly­ merisationsschritte Gemische auf Basis von per­ fluorierten Aromaten mit geeigneten Randgruppen, beispielsweise perfluorierte styrolartige Monomere oder etherartige Fluorverbindungen eingesetzt wer­ den.
Bei allen eingesetzten Medien kommt es lediglich darauf an, hohe Dichten von reaktiven Spezies und Ionen bei gleichzeitig geringer, aber exakt kontrollierbarer Energie zu erreichen, mit der die generierten Ionen die Substrate erreichen.
Die Ionenenergie muß mit Rücksicht auf eine hohe Maskenselektivität so klein wie möglich gehalten werden. Hohe Ionenenergien würden zudem zu stören­ den Rückwirkungen von zerstäubten oder abgetragenen und unkontrolliert redeponiertem Material führen. Die Energie der auf das Siliciumsubstrat ein­ wirkenden Ionen muß jedoch ausreichen, um den Strukturgrund von Depositionen freizuhalten, damit ein glatter Ätzgrund erreicht werden kann.

Claims (19)

1. Verfahren zum anisotropen Ätzen von vorzugsweise mit einer Ätzmaske definierten Strukturen, insbesondere lateral exakt definierten Ausnehmungen in Silicium, mittels eines Plasmas, dadurch gekennzeichnet, daß der anisotrope Ätzvorgang in separaten, jeweils alternierend aufeinanerfolgenden Ätz- und Polymerisationsschritten getrennt durchgeführt wird, welche unabhängig voneinander gesteuert werden, und daß während des Polymerisationsschrittes auf die durch die Ätzmaske definierte laterale Begrenzung der Strukturen ein Polymer aufgebracht wird, das während des nachfolgenden Ätzschrittes teilweise wieder abgetragen wird.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Ätzschritte ohne Polymerbildner im Plasma durchgeführt werden.
3. Verfahren nach Anspruch 1 und 2, dadurch gekennzeichnet, daß die Ätzschritte über eine Zeitspanne, die die Ätztiefe bestimmt, durchgeführt werden.
4. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Polymerisationsschritte über eine die Dicke der Polymerabscheidungen bestimmende Zeitspanne durchgeführt werden.
5. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Siliciumsubstrate während der Ätzschritte mit einer Ionenenergie bombardert werden.
6. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Siliciumsubstrate wahlweise während der Polymerisationsschritte mit einer Ionenenergie bombardiert werden.
7. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß man die Ionenenergie während der Ätzschritte zwischen 1 und 50, vorzugsweise zwischen 5 und 30 eV wählt.
8. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß man die Ionenenergie während der Polymerisationsschritte zwischen 1 und 10, vorzugsweise 4 bis 6, insbesondere 5 eV wählt.
9. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß für die Ätzschritte Fluor liefernde Ätzgase eingesetzt werden.
10. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß für die Ätzschritte ein Gemisch von Schwefelhexafluorid SF6 und Argon Ar eingesetzt wird.
11. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß für die Polymeristionsschritte Fluorkohlenwasserstoffe mit vorzugsweise niedrigem Fluor-zu-Kohlenstoff-Verhältnis eingesetzt werden.
12. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß für die Polymerisationsschritte ein Gemisch von Trifluormethan CHF3 und Argon Ar eingesetzt wird.
13. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß man für die in den Ätzschritten und Polymerisationsschritten eingesetzten Medien Gasflüsse von 0 bis 100 Norm-cm3 und Prozeßdrücke von 10 bis 100 µbar wählt.
14. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Plasmaerzeugung mit Mikrowelleneinstrahlung bei Leistungen zwischen 100 und 1500 W vorzugsweise 300 bis 1200 W erfolgt.
15. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Siliciumsubstrate während der Ätzschritte und/oder Polymerisationsschritte gekühlt werden.
16. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Siliciumsubstrate rückseitig mit einem Heliumgasstrom beaufschlagt werden.
17. Verfahren nach einem der vorhergehenden Ansprüche, die Siliciumsubstrate über ein Wärmekontaktmaterial auf eine gekühlte Substratelektrode aufgebracht werden.
18. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Ätzschritte und Polymerisationsschritte mit einer hohen Plasmadichte der reaktiven Spezies und Ionen durchgeführt werden.
19. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Plasmadichte und die Ionenenergie unabhängig voneinander geregelt werden.
DE4241045A 1992-12-05 1992-12-05 Verfahren zum anisotropen Ätzen von Silicium Expired - Lifetime DE4241045C1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE4241045A DE4241045C1 (de) 1992-12-05 1992-12-05 Verfahren zum anisotropen Ätzen von Silicium
EP94900729A EP0625285B1 (de) 1992-12-05 1993-11-27 Verfahren zum anisotropen ätzen von silicium
JP6513639A JPH07503815A (ja) 1992-12-05 1993-11-27 ケイ素の異方性エッチング法
US08/284,490 US5501893A (en) 1992-12-05 1993-11-27 Method of anisotropically etching silicon
PCT/DE1993/001129 WO1994014187A1 (de) 1992-12-05 1993-11-27 Verfahren zum anisotropen ätzen von silicium
JP2007001632A JP4090492B2 (ja) 1992-12-05 2007-01-09 ケイ素の異方性エッチング法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE4241045A DE4241045C1 (de) 1992-12-05 1992-12-05 Verfahren zum anisotropen Ätzen von Silicium

Publications (1)

Publication Number Publication Date
DE4241045C1 true DE4241045C1 (de) 1994-05-26

Family

ID=6474524

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4241045A Expired - Lifetime DE4241045C1 (de) 1992-12-05 1992-12-05 Verfahren zum anisotropen Ätzen von Silicium

Country Status (5)

Country Link
US (1) US5501893A (de)
EP (1) EP0625285B1 (de)
JP (2) JPH07503815A (de)
DE (1) DE4241045C1 (de)
WO (1) WO1994014187A1 (de)

Cited By (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241453A1 (de) * 1992-12-09 1994-06-16 Daimler Benz Ag Verfahren zum Plasmaätzen von Gräben in Silizium
DE4442023A1 (de) * 1994-11-25 1996-05-30 Bosch Gmbh Robert Siliziumkörper mit einem Durchbruch mit frei definierbarer Austrittsöffnung und Verfahren zu seiner Herstellung
DE4442033A1 (de) * 1994-11-25 1996-05-30 Bosch Gmbh Robert Drehratensensor
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682A1 (de) * 1997-02-20 1998-08-27 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silicium
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
WO1999049506A1 (en) * 1998-03-20 1999-09-30 Surface Technology Systems Limited Method and apparatus for manufacturing a micromechanical device
DE19826382A1 (de) * 1998-06-12 1999-12-16 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
WO2000005749A2 (en) * 1998-07-23 2000-02-03 Surface Technology Systems Limited Method and apparatus for anisotropic etching
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
DE19847455A1 (de) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen
WO2000062328A1 (en) * 1999-04-14 2000-10-19 Surface Technology Systems Limited Method and apparatus for stabilising a plasma
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
WO2000067306A1 (de) * 1999-04-30 2000-11-09 Robert Bosch Gmbh Verfahren zum anisotropen plasmaätzen von halbleitern
DE19933841A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE19904307C2 (de) * 1999-01-28 2001-09-20 Bosch Gmbh Robert Verfahren zur Herstellung von dreidimensionalen Strukturen mittels eines Ätzprozesses
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
DE10051831A1 (de) * 1999-07-20 2002-05-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE10053780A1 (de) * 2000-10-30 2002-05-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Siliziumoxid-Schicht
DE10064448A1 (de) * 2000-12-22 2002-07-04 Osram Opto Semiconductors Gmbh Verfahren zum Aufrauhen eines Halbleiterchips für die Optoelektronik
DE10156407A1 (de) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
WO2004006382A1 (de) 2002-07-02 2004-01-15 Robert Bosch Gmbh Elektrisches bauelement, insbesondere mikroelektronisches oder mikrroelektromechanisches hochfrequenzbauelement.
DE10259176A1 (de) * 2002-12-18 2004-02-05 Robert Bosch Gmbh Strukturkörper mit einem porösen Bereich und Verfahren zu dessen Herstellung
DE10234589A1 (de) * 2002-07-30 2004-02-12 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
WO2004014785A2 (de) * 2002-08-05 2004-02-19 Universität Kassel Verfahren und herstellung wenigstens einer kleinen öffnung in einer schicht auf einem substrat und damit hergestellte bauelemente
WO2004036627A2 (de) * 2002-10-14 2004-04-29 Robert Bosch Gmbh Plasmaanlage und verfahren zum anisotropen einätzen von strukturen in ein substrat
US6756288B1 (en) 1999-07-01 2004-06-29 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method of subdividing a wafer
DE19841964B4 (de) * 1998-09-14 2004-08-05 Robert Bosch Gmbh Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
WO2004093162A2 (de) * 2003-04-15 2004-10-28 Technische Universität Dresden Siliziumsubstrat mit positiven ätzprofilen mit definiertem böschungswinkel und verfahren zur herstellung
US6817255B2 (en) 2001-09-12 2004-11-16 The Board Of Trustees Of The University Of Illinois Apparatus and method for testing of microscale to nanoscale thin films
US6974709B2 (en) 2002-04-03 2005-12-13 Robert Bosch Gmbh Method and device for providing a semiconductor etching end point and for detecting the end point
DE10136022B4 (de) * 2001-07-24 2006-01-12 Robert Bosch Gmbh Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
DE10301873B4 (de) * 2003-01-17 2006-04-20 Fachhochschule Jena Kapillare Verdampferstruktur und Kühlsystem
DE102005004365A1 (de) * 2005-01-31 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung
EP1763075A1 (de) * 2005-09-13 2007-03-14 Irvine Sensors Corporation Methode für die präzise Vereinzelung von integrierten Schaltungen unter Verwendung von differentiellen Ätzraten
WO2007070004A2 (en) 2005-12-14 2007-06-21 Silex Microsystems Ab Methods for making micro needles and applications thereof
DE102006001321B3 (de) * 2006-01-09 2007-07-26 Protron Mikrotechnik Gmbh Mikromechanischer Hochfrequenz-Schalter für koplanare Wellenleiter
US7261825B2 (en) 2002-08-02 2007-08-28 Robert Bosch Gmbh Method for the production of a micromechanical device, particularly a micromechanical oscillating mirror device
US7262071B2 (en) 2005-02-03 2007-08-28 Robert Bosch Gmbh Micromechanical component and suitable method for its manufacture
US7288785B2 (en) 2002-04-17 2007-10-30 Sophion Bioscience A/S Substrate and method for measuring the electro-physiological properties of cell membranes
US7312553B2 (en) 2001-10-20 2007-12-25 Robert Bosch Gmbh Micromechanical component and method for producing same
DE19503623B4 (de) * 1995-02-03 2008-01-10 Robert Bosch Gmbh Drehratensensor
US7335576B2 (en) 2004-10-08 2008-02-26 Irvine Sensors Corp. Method for precision integrated circuit die singulation using differential etch rates
WO2008036837A2 (en) 2006-09-20 2008-03-27 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
EP1620351B1 (de) * 2003-05-07 2008-06-04 Perkinelmer Optoelectronics GmbH & Co. Kg Strahlungssensor, wafer, sensormodul und verfahren zur herstellung eines strahlungssensors
EP1932804A2 (de) 2006-12-11 2008-06-18 Mimotec S.A. Silizium-Metall-Mischteile und damit verbundene Herstellungsverfahren
US7398588B2 (en) 2002-09-06 2008-07-15 Robert Bosch Gmbh SOI component comprising margins for separation
DE102007002832A1 (de) 2007-01-19 2008-07-24 Robert Bosch Gmbh Verfahren zur Herstellung einer Vorrichtung umfassend eine Anordnung von Mikronadeln auf einem Träger und nach diesem Verfahren herstellbare Vorrichtung
DE102007035633A1 (de) 2007-07-28 2009-02-19 Protron Mikrotechnik Gmbh Verfahren zur Herstellung mikromechanischer Strukturen sowie mikromechanische Struktur
DE102007052661A1 (de) 2007-11-05 2009-05-07 Robert Bosch Gmbh Verfahren zur Herstellung von mikromechanischen Strukturen mit reliefartigem Seitenwandverlauf oder einstellbarem Neigungswinkel
EP2078694A2 (de) 2008-01-08 2009-07-15 Robert Bosch Gmbh Schutzsystem und Verfahren zur Vereinzelung von MEMS-Strukturen
DE10065013B4 (de) * 2000-12-23 2009-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines mikromechanischen Bauelements
DE102008040522A1 (de) 2008-07-18 2010-01-21 Robert Bosch Gmbh Verfahren zur Herstellung einer mikromechanischen Struktur und mikromechanische Struktur
DE102008040758A1 (de) 2008-07-28 2010-02-04 Robert Bosch Gmbh Mikromechanische Strukturen und Verfahren zur Herstellung von mikromechanischen Strukturen
US7752916B2 (en) 2007-08-31 2010-07-13 The Board Of Trustees Of The University Of Illinois Apparatus and method for material testing of microscale and nanoscale samples
US7758155B2 (en) 2007-05-15 2010-07-20 Eastman Kodak Company Monolithic printhead with multiple rows of inkjet orifices
US7785486B2 (en) 2001-09-14 2010-08-31 Robert Bosch Gmbh Method of etching structures into an etching body using a plasma
US7811941B1 (en) 1999-07-20 2010-10-12 Robert Bosch Gmbh Device and method for etching a substrate using an inductively coupled plasma
DE10005804B4 (de) * 1999-02-12 2010-11-11 DENSO CORPORATION, Kariya-shi Verfahren zur Herstellung einer Halbleitervorrichtung, sowie durch dieses Verfahren hergestellter Halbleitersensor für eine physikalische Grösse
US7872333B2 (en) 2002-08-17 2011-01-18 Robert Bosch Gmbh Layer system comprising a silicon layer and a passivation layer, method for production a passivation layer on a silicon layer and the use of said system and method
US7872349B2 (en) 2003-07-08 2011-01-18 Infineon Technologies Ag Integrated coolant circuit arrangement, operating method and production method
DE102009028037A1 (de) 2009-07-27 2011-02-03 Robert Bosch Gmbh Bauelement mit einer elektrischen Durchkontaktierung, Verfahren zur Herstellung eines Bauelementes und Bauelementsystem
DE102009028256A1 (de) 2009-08-05 2011-02-10 Robert Bosch Gmbh Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
US7919346B2 (en) 2005-12-15 2011-04-05 Robert Bosch Gmbh Micromechanical component and manufacturing method
DE102009045385A1 (de) 2009-10-06 2011-04-07 Robert Bosch Gmbh Verfahren zum Verschließen eines Grabens eines mikromechanischen Bauelements
WO2011051301A1 (en) 2009-10-26 2011-05-05 Mapper Lithography Ip B.V. Charged particle multi-beamlet lithography system, modulation device, and method of manufacturing thereof
DE102009046461A1 (de) 2009-11-06 2011-05-12 Robert Bosch Gmbh Verfahren zur Herstellung verkappter mikroelektromechanischer Bauelemente
US7972875B2 (en) 2007-01-17 2011-07-05 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
DE102010000864A1 (de) 2010-01-13 2011-07-14 Robert Bosch GmbH, 70469 Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
US7982296B2 (en) 2004-06-04 2011-07-19 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
DE102010001021A1 (de) 2010-01-19 2011-07-21 Robert Bosch GmbH, 70469 Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
EP2348503A1 (de) 2010-01-19 2011-07-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung Ultraschallsensor zum Erfassen und/ oder Abtasten von Objekten und entsprechendes Herstellungsverfahren
DE102010001504A1 (de) 2010-02-02 2011-08-04 Robert Bosch GmbH, 70469 Eine Filtereinrichtung und ein Verfahren zur Herstellung einer Filtereinrichtung
US8039847B2 (en) 2004-06-04 2011-10-18 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
WO2012020132A1 (de) 2010-08-12 2012-02-16 Österreichische Akademie Der Wissenschaften (Öaw) Verfahren zur herstellung einer mems-vorrichtung mit hohem aspektverhältnis, sowie wandler und kondensator
US8182707B2 (en) 2004-07-29 2012-05-22 Robert Bosch Gmbh Method for etching a layer on a substrate
DE102010061795A1 (de) 2010-11-23 2012-05-24 Robert Bosch Gmbh Verfahren zum Erzeugen einer mikromechanischen Membranstruktur und MEMS-Bauelement
US8198621B2 (en) 2004-06-04 2012-06-12 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8351053B2 (en) 2010-06-25 2013-01-08 The Board Of Trustees Of The University Of Illinois Apparatus and method for in situ testing of microscale and nanoscale samples
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
DE102012200236B3 (de) * 2012-01-10 2013-02-21 Robert Bosch Gmbh Verfahren zur Strukturierung von Siliziumcarbid und SiC-Graben-MOSFET
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8425789B2 (en) 2007-06-09 2013-04-23 Rolith, Inc. Method and apparatus for anisotropic etching
DE10336328B4 (de) * 2003-08-07 2013-04-25 Robert Bosch Gmbh Vorrichtung zur Bearbeitung eines Siliziumssubstrats
US8447148B1 (en) 2010-03-29 2013-05-21 Sandia Corporation Latching micro optical switch
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
WO2013128181A1 (en) 2012-02-29 2013-09-06 Oxford Instruments Nanotechnology Tools Limited Methods and apparatus for depositing and/or etching material on a substrate
US8552299B2 (en) 2008-03-05 2013-10-08 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US8585179B2 (en) 2008-03-28 2013-11-19 Eastman Kodak Company Fluid flow in microfluidic devices
WO2014090228A1 (de) 2012-12-13 2014-06-19 Otto-Von-Guericke-Universität Magdeburg Stecker-buchse-prüfvorrichtung
EP1801554B1 (de) * 2001-09-10 2014-07-23 Excelitas Technologies Singapore Pte Ltd. Sensor zum berührungslosen Messen einer Temperatur
US8865489B2 (en) 2009-05-12 2014-10-21 The Board Of Trustees Of The University Of Illinois Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
DE102013223490A1 (de) 2013-11-18 2015-05-21 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
US9159635B2 (en) 2011-05-27 2015-10-13 Mc10, Inc. Flexible electronic structure
DE102004043357B4 (de) * 2004-09-08 2015-10-22 Robert Bosch Gmbh Verfahren zur Herstellung eines mikromechanischen Sensorelements
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
DE102006002106B4 (de) * 2006-01-17 2016-03-03 Robert Bosch Gmbh Mikromechanischer Sensor mit perforationsoptimierter Membran sowie ein geeignetes Hestellungsverfahren
US9289132B2 (en) 2008-10-07 2016-03-22 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US9554484B2 (en) 2012-03-30 2017-01-24 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US9620473B1 (en) 2013-01-18 2017-04-11 University Of Notre Dame Du Lac Quilt packaging system with interdigitated interconnecting nodules for inter-chip alignment
US9675790B2 (en) 2013-06-13 2017-06-13 Microdermics Inc. Metallic microneedles
US9691873B2 (en) 2011-12-01 2017-06-27 The Board Of Trustees Of The University Of Illinois Transient devices designed to undergo programmable transformations
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
DE102004042729B4 (de) * 2004-09-03 2018-02-01 Robert Bosch Gmbh Bio-Chip mit einem Elektrodenarray auf einem Substrat
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US9986924B2 (en) 2010-03-17 2018-06-05 The Board Of Trustees Of The University Of Illinois Implantable biomedical devices on bioresorbable substrates
DE10333995B4 (de) 2003-07-25 2018-10-25 Robert Bosch Gmbh Verfahren zum Ätzen eines Halbleitermaterials
DE102017210705A1 (de) 2017-06-26 2018-12-27 Robert Bosch Gmbh Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
DE102017213351A1 (de) 2017-08-02 2019-02-07 Robert Bosch Gmbh Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung mit zumindest einer chemischen oder elektrochemischen Detektiereinrichtung
US10410989B2 (en) 2003-09-04 2019-09-10 University Of Notre Dame Du Lac Inter-chip alignment
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
EP3432346A4 (de) * 2016-03-17 2019-10-16 Zeon Corporation Plasmaätzverfahren
DE102018210482A1 (de) 2018-06-27 2020-01-02 Robert Bosch Gmbh Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
US10918298B2 (en) 2009-12-16 2021-02-16 The Board Of Trustees Of The University Of Illinois High-speed, high-resolution electrophysiology in-vivo using conformal electronics
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
WO2021239528A1 (de) * 2020-05-28 2021-12-02 Robert Bosch Gmbh Verfahren und steuergerät zum herstellen eines trägerelements zum aufnehmen einer probenflüssigkeit, trägerelement, trägermodul und verfahren zum verwenden eines trägerelements
CN113800466A (zh) * 2021-09-23 2021-12-17 华东光电集成器件研究所 一种mems悬浮结构的深硅刻蚀方法
DE102020211313A1 (de) 2020-09-09 2022-03-10 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung einer mikroelektromechanischen Struktur und mikroelektromechanische Struktur

Families Citing this family (518)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3367113B2 (ja) 1992-04-27 2003-01-14 株式会社デンソー 加速度センサ
US5461916A (en) * 1992-08-21 1995-10-31 Nippondenso Co., Ltd. Mechanical force sensing semiconductor device
US5734105A (en) 1992-10-13 1998-03-31 Nippondenso Co., Ltd. Dynamic quantity sensor
EP0729175A1 (de) * 1995-02-24 1996-08-28 International Business Machines Corporation Verfahren zur Erzeugung von tiefen und vertikalen Strukturen in Silizium-Substraten
US5932940A (en) * 1996-07-16 1999-08-03 Massachusetts Institute Of Technology Microturbomachinery
EP0822582B1 (de) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Verfahren zur Ätzung von Substraten
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE69942486D1 (de) 1998-01-15 2010-07-22 Cornell Res Foundation Inc Grabenisolation für mikromechanische bauelemente
US6391005B1 (en) 1998-03-30 2002-05-21 Agilent Technologies, Inc. Apparatus and method for penetration with shaft having a sensor for sensing penetration depth
US6133615A (en) * 1998-04-13 2000-10-17 Wisconsin Alumni Research Foundation Photodiode arrays having minimized cross-talk between diodes
US6328482B1 (en) 1998-06-08 2001-12-11 Benjamin Bin Jian Multilayer optical fiber coupler
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6981804B2 (en) 1998-06-08 2006-01-03 Arrayed Fiberoptics Corporation Vertically integrated optical devices coupled to optical fibers
US6105427A (en) * 1998-07-31 2000-08-22 Litton Systems, Inc. Micro-mechanical semiconductor accelerometer
CN1312474C (zh) * 1998-09-17 2007-04-25 阿德文生物科学公司 集成的化学分析系统
DE19843984B4 (de) * 1998-09-25 2013-10-24 Robert Bosch Gmbh Verfahren zur Herstellung von Strahlungssensoren
US6399516B1 (en) 1998-10-30 2002-06-04 Massachusetts Institute Of Technology Plasma etch techniques for fabricating silicon structures from a substrate
GB9827065D0 (en) * 1998-12-10 1999-02-03 Orbis Technologies Ltd A plasma etching control device
EP1055250B1 (de) * 1998-12-11 2010-02-17 Surface Technology Systems Plc Plasmabehandlungsgerät
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
US6633031B1 (en) * 1999-03-02 2003-10-14 Advion Biosciences, Inc. Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
US6096656A (en) * 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
DE19930188A1 (de) 1999-06-30 2001-01-04 Infineon Technologies Ag Verfahren zur Herstellung von Gräben für Speicherkondensatoren von DRAM-Halbleiterspeichern
US6617098B1 (en) 1999-07-13 2003-09-09 Input/Output, Inc. Merged-mask micro-machining process
GB9917305D0 (en) * 1999-07-23 1999-09-22 Surface Tech Sys Ltd Method and apparatus for anisotropic etching
US6749763B1 (en) * 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6171378B1 (en) 1999-08-05 2001-01-09 Sandia Corporation Chemical preconcentrator
US6458615B1 (en) 1999-09-30 2002-10-01 Carnegie Mellon University Method of fabricating micromachined structures and devices formed therefrom
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP4294816B2 (ja) * 1999-11-11 2009-07-15 スピードファム株式会社 シリコンウエハの表面処理方法,無臭シリコンウエハ製造方法,シリコンウエハの酸化膜形成方法,酸化シリコンウエハ製造方法,酸素活性種雰囲気形成装置,及び平坦化処理システム
WO2001050499A1 (en) 1999-12-30 2001-07-12 Advion Biosciences, Inc. Multiple electrospray device, systems and methods
US6596988B2 (en) * 2000-01-18 2003-07-22 Advion Biosciences, Inc. Separation media, multiple electrospray nozzle system and method
WO2001053194A1 (en) 2000-01-19 2001-07-26 Mitsubishi Denki Kabushiki Kaisha Microdevice and its production method
US20020071169A1 (en) 2000-02-01 2002-06-13 Bowers John Edward Micro-electro-mechanical-system (MEMS) mirror device
US6753638B2 (en) 2000-02-03 2004-06-22 Calient Networks, Inc. Electrostatic actuator for micromechanical systems
US6392144B1 (en) 2000-03-01 2002-05-21 Sandia Corporation Micromechanical die attachment surcharge
US6375627B1 (en) 2000-03-02 2002-04-23 Agilent Technologies, Inc. Physiological fluid extraction with rapid analysis
WO2001071336A1 (en) * 2000-03-20 2001-09-27 The Charles Stark Draper Laboratory, Inc. Flexural plate wave sensor and array
US6733681B1 (en) 2000-03-31 2004-05-11 Seagate Technology Llc Laterally supported handle wafer for through-wafer reactive-ion etch micromachining
US6545385B2 (en) 2000-04-11 2003-04-08 Sandia Corporation Microelectromechanical apparatus for elevating and tilting a platform
US6709886B2 (en) 2000-04-25 2004-03-23 Umachines, Inc. Method of fabricating micromachined devices
US6639713B2 (en) 2000-04-25 2003-10-28 Umachines, Inc. Silicon micromachined optical device
US6628041B2 (en) 2000-05-16 2003-09-30 Calient Networks, Inc. Micro-electro-mechanical-system (MEMS) mirror device having large angle out of plane motion using shaped combed finger actuators and method for fabricating the same
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6432577B1 (en) 2000-06-29 2002-08-13 Sandia Corporation Apparatus and method for fabricating a microbattery
US6521513B1 (en) 2000-07-05 2003-02-18 Eastman Kodak Company Silicon wafer configuration and method for forming same
US6841339B2 (en) * 2000-08-09 2005-01-11 Sandia National Laboratories Silicon micro-mold and method for fabrication
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6593244B1 (en) 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6825967B1 (en) 2000-09-29 2004-11-30 Calient Networks, Inc. Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same
WO2002031600A1 (en) * 2000-10-10 2002-04-18 Mems Optical, Inc. Deep grayscale etching of silicon
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US6537437B1 (en) 2000-11-13 2003-03-25 Sandia Corporation Surface-micromachined microfluidic devices
US8641644B2 (en) 2000-11-21 2014-02-04 Sanofi-Aventis Deutschland Gmbh Blood testing apparatus having a rotatable cartridge with multiple lancing elements and testing means
US6350015B1 (en) 2000-11-24 2002-02-26 Xerox Corporation Magnetic drive systems and methods for a micromachined fluid ejector
US6419335B1 (en) 2000-11-24 2002-07-16 Xerox Corporation Electronic drive systems and methods
US6416169B1 (en) 2000-11-24 2002-07-09 Xerox Corporation Micromachined fluid ejector systems and methods having improved response characteristics
US6409311B1 (en) 2000-11-24 2002-06-25 Xerox Corporation Bi-directional fluid ejection systems and methods
US6367915B1 (en) 2000-11-28 2002-04-09 Xerox Corporation Micromachined fluid ejector systems and methods
US6472332B1 (en) 2000-11-28 2002-10-29 Xerox Corporation Surface micromachined structure fabrication methods for a fluid ejection device
CA2429998C (en) * 2000-11-29 2012-01-17 Oculex Pharmaceuticals, Inc. Intraocular implants for preventing transplant rejection in the eye
US6767614B1 (en) 2000-12-19 2004-07-27 Wolfgang M. J. Hofmann Multiple-level actuators and clamping devices
US7381630B2 (en) * 2001-01-02 2008-06-03 The Charles Stark Draper Laboratory, Inc. Method for integrating MEMS device and interposer
US6946314B2 (en) 2001-01-02 2005-09-20 The Charles Stark Draper Laboratory, Inc. Method for microfabricating structures using silicon-on-insulator material
GB0101985D0 (en) * 2001-01-25 2001-03-14 Marconi Comm Ltd Optical component
US6645757B1 (en) 2001-02-08 2003-11-11 Sandia Corporation Apparatus and method for transforming living cells
US6406130B1 (en) 2001-02-20 2002-06-18 Xerox Corporation Fluid ejection systems and methods with secondary dielectric fluid
US6712983B2 (en) * 2001-04-12 2004-03-30 Memsic, Inc. Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same
US6592835B1 (en) 2001-04-16 2003-07-15 Sandia Corporation Silica substrate or portion formed from oxidation of monocrystalline silicon
US7167499B2 (en) * 2001-04-18 2007-01-23 Tcz Pte. Ltd. Very high energy, high stability gas discharge laser surface treatment system
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US8337419B2 (en) 2002-04-19 2012-12-25 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US7025774B2 (en) 2001-06-12 2006-04-11 Pelikan Technologies, Inc. Tissue penetration device
US9427532B2 (en) 2001-06-12 2016-08-30 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US9226699B2 (en) 2002-04-19 2016-01-05 Sanofi-Aventis Deutschland Gmbh Body fluid sampling module with a continuous compression tissue interface surface
DE60234598D1 (de) 2001-06-12 2010-01-14 Pelikan Technologies Inc Selbstoptimierende lanzettenvorrichtung mit adaptationsmittel für zeitliche schwankungen von hauteigenschaften
ATE485766T1 (de) 2001-06-12 2010-11-15 Pelikan Technologies Inc Elektrisches betätigungselement für eine lanzette
US9795747B2 (en) 2010-06-02 2017-10-24 Sanofi-Aventis Deutschland Gmbh Methods and apparatus for lancet actuation
US7981056B2 (en) 2002-04-19 2011-07-19 Pelikan Technologies, Inc. Methods and apparatus for lancet actuation
US7749174B2 (en) 2001-06-12 2010-07-06 Pelikan Technologies, Inc. Method and apparatus for lancet launching device intergrated onto a blood-sampling cartridge
EP1396727A4 (de) 2001-06-13 2009-06-17 Mitsubishi Electric Corp Siliziumbauelement
US6791258B2 (en) * 2001-06-21 2004-09-14 3M Innovative Properties Company Organic light emitting full color display panel
US7831151B2 (en) * 2001-06-29 2010-11-09 John Trezza Redundant optical device array
US6805432B1 (en) * 2001-07-31 2004-10-19 Hewlett-Packard Development Company, L.P. Fluid ejecting device with fluid feed slot
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6544863B1 (en) 2001-08-21 2003-04-08 Calient Networks, Inc. Method of fabricating semiconductor wafers having multiple height subsurface layers
WO2003023479A1 (en) * 2001-09-07 2003-03-20 Board Of Regents, The University Of Texas System Multimodal miniature microscope
WO2003025982A1 (en) * 2001-09-17 2003-03-27 Advion Biosciences, Inc. Uniform patterning for deep reactive ion etching
US6750076B2 (en) * 2001-09-17 2004-06-15 Advion Biosciences, Inc. Fabrication of a microchip-based electrospray device
US6902701B1 (en) 2001-10-09 2005-06-07 Sandia Corporation Apparatus for sensing volatile organic chemicals in fluids
US7060522B2 (en) * 2001-11-07 2006-06-13 Xerox Corporation Membrane structures for micro-devices, micro-devices including same and methods for making same
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US6599436B1 (en) 2001-12-06 2003-07-29 Sandia Corporation Formation of interconnections to microfluidic devices
US7357486B2 (en) * 2001-12-20 2008-04-15 Hewlett-Packard Development Company, L.P. Method of laser machining a fluid slot
US6818564B1 (en) * 2001-12-20 2004-11-16 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US6527835B1 (en) * 2001-12-21 2003-03-04 Sandia Corporation Chemical preconcentrator with integral thermal flow sensor
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
GB2388468B (en) * 2002-02-08 2005-05-04 Microsaic Systems Ltd Microengineered electrical connectors
US20030155328A1 (en) * 2002-02-15 2003-08-21 Huth Mark C. Laser micromachining and methods and systems of same
US7254885B2 (en) * 2002-02-27 2007-08-14 Seagate Technology, Llc Wafer-level fabrication method for top or side slider bond pads
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US7976476B2 (en) 2002-04-19 2011-07-12 Pelikan Technologies, Inc. Device and method for variable speed lancet
US7547287B2 (en) 2002-04-19 2009-06-16 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7674232B2 (en) 2002-04-19 2010-03-09 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8221334B2 (en) 2002-04-19 2012-07-17 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US9248267B2 (en) 2002-04-19 2016-02-02 Sanofi-Aventis Deustchland Gmbh Tissue penetration device
US8579831B2 (en) 2002-04-19 2013-11-12 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US7297122B2 (en) 2002-04-19 2007-11-20 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8702624B2 (en) 2006-09-29 2014-04-22 Sanofi-Aventis Deutschland Gmbh Analyte measurement device with a single shot actuator
US8267870B2 (en) 2002-04-19 2012-09-18 Sanofi-Aventis Deutschland Gmbh Method and apparatus for body fluid sampling with hybrid actuation
US8360992B2 (en) 2002-04-19 2013-01-29 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US7175642B2 (en) 2002-04-19 2007-02-13 Pelikan Technologies, Inc. Methods and apparatus for lancet actuation
US9795334B2 (en) 2002-04-19 2017-10-24 Sanofi-Aventis Deutschland Gmbh Method and apparatus for penetrating tissue
US7331931B2 (en) 2002-04-19 2008-02-19 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7232451B2 (en) 2002-04-19 2007-06-19 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US9314194B2 (en) 2002-04-19 2016-04-19 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US7229458B2 (en) 2002-04-19 2007-06-12 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7892183B2 (en) 2002-04-19 2011-02-22 Pelikan Technologies, Inc. Method and apparatus for body fluid sampling and analyte sensing
US7892185B2 (en) 2002-04-19 2011-02-22 Pelikan Technologies, Inc. Method and apparatus for body fluid sampling and analyte sensing
US7901362B2 (en) 2002-04-19 2011-03-08 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US8784335B2 (en) 2002-04-19 2014-07-22 Sanofi-Aventis Deutschland Gmbh Body fluid sampling device with a capacitive sensor
US7491178B2 (en) 2002-04-19 2009-02-17 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7909778B2 (en) 2002-04-19 2011-03-22 Pelikan Technologies, Inc. Method and apparatus for penetrating tissue
US7226461B2 (en) 2002-04-19 2007-06-05 Pelikan Technologies, Inc. Method and apparatus for a multi-use body fluid sampling device with sterility barrier release
US6554403B1 (en) 2002-04-30 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate for fluid ejection device
US6981759B2 (en) * 2002-04-30 2006-01-03 Hewlett-Packard Development Company, Lp. Substrate and method forming substrate for fluid ejection device
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6667215B2 (en) * 2002-05-02 2003-12-23 3M Innovative Properties Method of making transistors
US7728339B1 (en) 2002-05-03 2010-06-01 Calient Networks, Inc. Boundary isolation for microelectromechanical devices
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US6667823B2 (en) 2002-05-22 2003-12-23 Lucent Technologies Inc. Monolithic in-plane shutter switch
JP2003344445A (ja) * 2002-05-24 2003-12-03 Mitsubishi Electric Corp 慣性力センサ
US7052117B2 (en) * 2002-07-03 2006-05-30 Dimatix, Inc. Printhead having a thin pre-fired piezoelectric layer
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US7098454B2 (en) * 2002-08-05 2006-08-29 California Institute Of Technology Method of sample preparation for atom probes and source of specimens
DE10237249B4 (de) * 2002-08-14 2014-12-18 Excelitas Technologies Singapore Pte Ltd Verfahren zum selektiven Abtragen von Material aus der Oberfläche eines Substrats
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
EP1536555B1 (de) * 2002-09-04 2010-08-04 Mitsubishi Denki Kabushiki Kaisha Einrichtung mit siliziumsubstrat und verfahren zu ihrer herstellung
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US6859300B2 (en) * 2002-09-30 2005-02-22 Lucent Technologies Inc. Monolithic two-axis MEMS device for optical switches
US6924581B2 (en) * 2002-09-30 2005-08-02 Lucent Technologies Inc. Split spring providing multiple electrical leads for MEMS devices
US6886924B2 (en) * 2002-09-30 2005-05-03 Spectra, Inc. Droplet ejection device
US6850354B2 (en) 2002-09-30 2005-02-01 Lucent Technologies Inc. Monolithic MEMS device for optical switches
US6902867B2 (en) * 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
GB2396053B (en) * 2002-10-23 2006-03-29 Bosch Gmbh Robert Device and process for anisotropic plasma etching of a substrate,in particular a silicon body
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US6869818B2 (en) * 2002-11-18 2005-03-22 Redwood Microsystems, Inc. Method for producing and testing a corrosion-resistant channel in a silicon device
US7531842B2 (en) * 2002-12-20 2009-05-12 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US8574895B2 (en) 2002-12-30 2013-11-05 Sanofi-Aventis Deutschland Gmbh Method and apparatus using optical techniques to measure analyte levels
WO2005055303A1 (ja) * 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
US7005637B2 (en) * 2003-01-31 2006-02-28 Intevac, Inc. Backside thinning of image array devices
US7042060B2 (en) * 2003-01-31 2006-05-09 Intevac, Inc. Backside thinning of image array devices
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101069099A (zh) * 2003-02-24 2007-11-07 佛罗里达大学 微机械加工的集成单片三轴加速度计
US7026184B2 (en) * 2003-02-26 2006-04-11 Carnegie Mellon University Method of fabricating microstructures and devices made therefrom
US8323955B1 (en) 2003-03-05 2012-12-04 Sandia Corporation Micromachined patch-clamp apparatus
US6993219B2 (en) * 2003-03-13 2006-01-31 Lucent Technologies Inc. Waveguide/MEMS switch
US6876484B2 (en) * 2003-03-24 2005-04-05 Lucent Technologies Inc. Deformable segmented MEMS mirror
JP3972846B2 (ja) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
JP4065213B2 (ja) 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
US7081650B2 (en) * 2003-03-31 2006-07-25 Intel Corporation Interposer with signal and power supply through vias
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7127301B1 (en) 2003-04-28 2006-10-24 Sandia Corporation Flexible retinal electrode array
DE602004017983D1 (de) * 2003-05-09 2009-01-08 Unaxis Usa Inc Endpunkt-Erkennung in einem zeitlich gemultiplexten Verfahren unter Verwendung eines Hüllkurvenalgorithmus
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7754999B2 (en) 2003-05-13 2010-07-13 Hewlett-Packard Development Company, L.P. Laser micromachining and methods of same
US6969822B2 (en) * 2003-05-13 2005-11-29 Hewlett-Packard Development Company, L.P. Laser micromachining systems
EP1628567B1 (de) 2003-05-30 2010-08-04 Pelikan Technologies Inc. Verfahren und vorrichtung zur injektion von flüssigkeit
US6998758B2 (en) * 2003-06-05 2006-02-14 Lucent Technologies Inc. Deformable MEMS mirror with membrane actuated by application of torque
DK1633235T3 (da) 2003-06-06 2014-08-18 Sanofi Aventis Deutschland Apparat til udtagelse af legemsvæskeprøver og detektering af analyt
US20040245216A1 (en) * 2003-06-06 2004-12-09 Chien-Shing Pai Devices and method of their manufacture
WO2006001797A1 (en) 2004-06-14 2006-01-05 Pelikan Technologies, Inc. Low pain penetrating
US6781744B1 (en) 2003-06-11 2004-08-24 Lucent Technologies Inc. Amplification of MEMS motion
US6886916B1 (en) 2003-06-18 2005-05-03 Sandia Corporation Piston-driven fluid-ejection apparatus
US7192531B1 (en) 2003-06-24 2007-03-20 Lam Research Corporation In-situ plug fill
US6910758B2 (en) * 2003-07-15 2005-06-28 Hewlett-Packard Development Company, L.P. Substrate and method of forming substrate for fluid ejection device
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
US7051656B1 (en) 2003-08-14 2006-05-30 Sandia Corporation Microelectromechanical safing and arming apparatus
US7148436B1 (en) 2003-08-14 2006-12-12 Sandia Corporation Microelectromechanical acceleration-sensing apparatus
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7081623B2 (en) * 2003-09-05 2006-07-25 Lucent Technologies Inc. Wafer-based ion traps
US6872947B1 (en) * 2003-09-22 2005-03-29 Lucent Technologies Inc. MEMS-based spectrophotometric system
US8282576B2 (en) 2003-09-29 2012-10-09 Sanofi-Aventis Deutschland Gmbh Method and apparatus for an improved sample capture device
JP4550062B2 (ja) 2003-10-10 2010-09-22 フジフィルム ディマティックス, インコーポレイテッド 薄膜を有するプリントヘッド
US7003193B2 (en) 2003-10-10 2006-02-21 Japan Aviation Electronics Industry Limited Miniature movable device
EP1680014A4 (de) 2003-10-14 2009-01-21 Pelikan Technologies Inc Verfahren und gerät für eine variable anwenderschnittstelle
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US6980339B2 (en) * 2003-12-19 2005-12-27 Lucent Technologies Inc. Deformable MEMS mirror
US7822454B1 (en) 2005-01-03 2010-10-26 Pelikan Technologies, Inc. Fluid sampling device with improved analyte detecting member configuration
EP1706026B1 (de) 2003-12-31 2017-03-01 Sanofi-Aventis Deutschland GmbH Verfahren und vorrichtung zur verbesserung der fluidströmung und der probennahme
GB2409927B (en) * 2004-01-09 2006-09-27 Microsaic Systems Ltd Micro-engineered electron multipliers
US6995895B2 (en) * 2004-02-05 2006-02-07 Lucent Technologies Inc. MEMS actuator for piston and tilt motion
US6967326B2 (en) * 2004-02-27 2005-11-22 Lucent Technologies Inc. Mass spectrometers on wafer-substrates
US7099063B2 (en) * 2004-03-09 2006-08-29 Lucent Technologies Inc. MEMS device for an adaptive optics mirror
US7281778B2 (en) 2004-03-15 2007-10-16 Fujifilm Dimatix, Inc. High frequency droplet ejection device and method
US8491076B2 (en) 2004-03-15 2013-07-23 Fujifilm Dimatix, Inc. Fluid droplet ejection devices and methods
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7207102B1 (en) 2004-04-01 2007-04-24 Sandia Corporation Method for forming permanent magnets with different polarities for use in microelectromechanical devices
US7142075B1 (en) 2004-04-01 2006-11-28 Sandia Corporation Microelectromechanical power generator and vibration sensor
US8828203B2 (en) 2004-05-20 2014-09-09 Sanofi-Aventis Deutschland Gmbh Printable hydrogels for biosensors
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
EP1765194A4 (de) 2004-06-03 2010-09-29 Pelikan Technologies Inc Verfahren und gerät für eine flüssigkeitsentnahmenvorrichtung
US9775553B2 (en) 2004-06-03 2017-10-03 Sanofi-Aventis Deutschland Gmbh Method and apparatus for a fluid sampling device
JP4182921B2 (ja) * 2004-06-08 2008-11-19 セイコーエプソン株式会社 ノズルプレートの製造方法
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
KR100804858B1 (ko) * 2004-07-02 2008-02-20 가부시키가이샤 아루박 에칭방법 및 장치
US7038150B1 (en) 2004-07-06 2006-05-02 Sandia Corporation Micro environmental sensing device
US7004198B1 (en) 2004-07-20 2006-02-28 Sandia Corporation Micro-fluidic interconnect
US7347532B2 (en) 2004-08-05 2008-03-25 Fujifilm Dimatix, Inc. Print head nozzle formation
US7218193B2 (en) * 2004-08-16 2007-05-15 Lucent Technologies Inc. MEMS-based inertial switch
JP4578893B2 (ja) * 2004-08-20 2010-11-10 住友精密工業株式会社 シリコン材のプラズマエッチング方法及びプラズマエッチング装置
DE102004043356A1 (de) * 2004-09-08 2006-03-09 Robert Bosch Gmbh Sensorelement mit getrenchter Kaverne
US7289009B1 (en) 2004-09-15 2007-10-30 Sandia Corporation Eddy-current-damped microelectromechanical switch
US7193492B2 (en) * 2004-09-29 2007-03-20 Lucent Technologies Inc. Monolithic MEMS device having a balanced cantilever plate
US7151883B2 (en) * 2004-10-08 2006-12-19 Hewlett-Packard Development Company, L.P. Photonic crystal device and methods
DE102004050390A1 (de) * 2004-10-15 2006-05-04 Infineon Technologies Ag Verfahren zum Vereinzeln einer Vielzahl von Chips eines Wafers und Chip-Vereinzelungs-Anordnung
US20060186874A1 (en) * 2004-12-02 2006-08-24 The Board Of Trustees Of The University Of Illinois System and method for mechanical testing of freestanding microscale to nanoscale thin films
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060178519A1 (en) * 2004-12-23 2006-08-10 Venkataraman Sundaram Process for preparing tegaserod
US8708441B2 (en) 2004-12-30 2014-04-29 Fujifilm Dimatix, Inc. Ink jet printing
US8652831B2 (en) 2004-12-30 2014-02-18 Sanofi-Aventis Deutschland Gmbh Method and apparatus for analyte measurement test time
FR2880469B1 (fr) 2005-01-03 2007-04-27 Cit Alcatel Dispositif de fabrication d'un masque par gravure par plasma d'un substrat semiconducteur
US7324323B2 (en) * 2005-01-13 2008-01-29 Lucent Technologies Inc. Photo-sensitive MEMS structure
US20060158484A1 (en) * 2005-01-14 2006-07-20 Greywall Dennis S Thermal actuator for a MEMS device
US7540469B1 (en) 2005-01-25 2009-06-02 Sandia Corporation Microelectromechanical flow control apparatus
US7180078B2 (en) * 2005-02-01 2007-02-20 Lucent Technologies Inc. Integrated planar ion traps
US7538032B2 (en) * 2005-06-23 2009-05-26 Teledyne Scientific & Imaging, Llc Low temperature method for fabricating high-aspect ratio vias and devices fabricated by said method
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7355317B2 (en) 2005-03-31 2008-04-08 Lucent Technologies Inc. Rocker-arm actuator for a segmented mirror
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
JP2006318702A (ja) * 2005-05-11 2006-11-24 Mitsubishi Electric Corp 電子放出源の製造方法
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7368305B2 (en) * 2005-06-10 2008-05-06 Wisconsin Alumni Research Foundation High aspect ratio micromechanical probe tips and methods of fabrication
CN100435272C (zh) * 2005-07-01 2008-11-19 北京大学 在感应耦合等离子体刻蚀中保护刻蚀结构的方法
JP4512533B2 (ja) 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
JP2009503882A (ja) * 2005-08-04 2009-01-29 アビザ テクノロジー リミティド 基材の処理方法
GB0516054D0 (en) * 2005-08-04 2005-09-14 Trikon Technologies Ltd A method of processing substrates
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7403322B2 (en) * 2005-09-13 2008-07-22 Lucent Technologies Inc. MEMS-based alignment of optical components
US7439093B2 (en) * 2005-09-16 2008-10-21 Dalsa Semiconductor Inc. Method of making a MEMS device containing a cavity with isotropic etch followed by anisotropic etch
US8084116B2 (en) * 2005-09-30 2011-12-27 Alcatel Lucent Surfaces physically transformable by environmental changes
US20070079866A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. System and method for making an improved thin film solar cell interconnect
US7253616B2 (en) * 2005-10-13 2007-08-07 Lucent Technologies Inc. Microelectromechanical magnetometer
WO2007087900A1 (en) * 2006-02-02 2007-08-09 The European Community, Represented By The European Commission Process for controlling surface wettability
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7998431B2 (en) * 2006-04-10 2011-08-16 Alcatel Lucent Environmentally sensitive nanostructured surfaces
EP2010450A2 (de) * 2006-04-21 2009-01-07 Bioscale, Inc. Mikrgefertigte bauelemente und verfahren zur herstellung mikrogefertigter bauelemente
DE102006028781A1 (de) 2006-06-23 2007-12-27 Robert Bosch Gmbh Verfahren zur Herstellung von porösen Mikronadeln und ihre Verwendung
US7989915B2 (en) * 2006-07-11 2011-08-02 Teledyne Licensing, Llc Vertical electrical device
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
US7764004B2 (en) 2006-08-15 2010-07-27 Alcatel-Lucent Usa Inc. Large area induced assembly of nanostructures
SG140538A1 (en) * 2006-08-22 2008-03-28 Lam Res Corp Method for plasma etching performance enhancement
US7485870B2 (en) * 2006-09-12 2009-02-03 Alcatel-Lucent Usa Inc. Pneumatic infrared detector
US7884530B2 (en) * 2006-09-14 2011-02-08 Alcatel-Lucent Usa Inc. Reversible actuation in arrays of nanostructures
US7796267B2 (en) 2006-09-28 2010-09-14 Si-Ware Systems System, method and apparatus for a micromachined interferometer using optical splitting
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
DE102006052630A1 (de) * 2006-10-19 2008-04-24 Robert Bosch Gmbh Mikromechanisches Bauelement mit monolithisch integrierter Schaltung und Verfahren zur Herstellung eines Bauelements
WO2008062600A1 (en) 2006-11-22 2008-05-29 Sumitomo Precision Products Co., Ltd. Silicon structure with opening having high aspect ratio, method for manufacturing the silicon structure, apparatus for manufacturing the silicon structure, program for manufacturing the silicon structure, and method for manufacturing etching mask for the silicon structure
US20080121042A1 (en) * 2006-11-27 2008-05-29 Bioscale, Inc. Fluid paths in etchable materials
US7999440B2 (en) * 2006-11-27 2011-08-16 Bioscale, Inc. Micro-fabricated devices having a suspended membrane or plate structure
US7861316B2 (en) * 2006-12-08 2010-12-28 Wisconsin Alumni Research Foundation Microscope probe having an ultra-tall tip
US20080146034A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. Method for recess etching
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US7988247B2 (en) 2007-01-11 2011-08-02 Fujifilm Dimatix, Inc. Ejection of drops having variable drop size from an ink jet printer
US7605054B2 (en) * 2007-04-18 2009-10-20 S.O.I.Tec Silicon On Insulator Technologies Method of forming a device wafer with recyclable support
US7980828B1 (en) 2007-04-25 2011-07-19 Sandia Corporation Microelectromechanical pump utilizing porous silicon
US7829462B2 (en) 2007-05-03 2010-11-09 Teledyne Licensing, Llc Through-wafer vias
JP2008286535A (ja) 2007-05-15 2008-11-27 Mitsutoyo Corp 真円度測定装置、真円度測定方法、及び真円度測定プログラム
US20080284835A1 (en) * 2007-05-15 2008-11-20 Panchawagh Hrishikesh V Integral, micromachined gutter for inkjet printhead
US7851759B2 (en) 2007-06-21 2010-12-14 Alcatel-Lucent Usa Inc. Infrared imaging apparatus
US7580175B2 (en) * 2007-06-21 2009-08-25 Alcatel-Lucent Usa Inc. Detector of infrared radiation having a bi-material transducer
US20090033727A1 (en) * 2007-07-31 2009-02-05 Anagnostopoulos Constantine N Lateral flow device printhead with internal gutter
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
KR101117929B1 (ko) * 2007-08-08 2012-02-29 가부시키가이샤 아루박 플라스마 처리 방법 및 플라스마 처리 장치
JP5286710B2 (ja) * 2007-08-10 2013-09-11 セイコーエプソン株式会社 微細構造の形成方法及び流体噴射ヘッドの製造方法
US7782066B2 (en) * 2007-08-30 2010-08-24 Qimonda Ag Sensor, method for sensing, measuring device, method for measuring, filter component, method for adapting a transfer behavior of a filter component, actuator system and method for controlling an actuator using a sensor
DE102007047681B4 (de) 2007-10-05 2014-07-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monolithischer dielektrischer Spiegel
CN101960276B (zh) * 2007-10-30 2013-07-03 阿自倍尔株式会社 压力传感器及其制造方法
JP2009111164A (ja) * 2007-10-30 2009-05-21 Yamatake Corp 圧力センサ及びその製造方法
JP2009109347A (ja) * 2007-10-30 2009-05-21 Yamatake Corp 圧力センサ及びその製造方法
US8614395B1 (en) 2007-11-01 2013-12-24 Sandia Corporation Solar cell with back side contacts
US9029681B1 (en) 2010-10-28 2015-05-12 Sandia Corporation Microsystem enabled photovoltaic modules and systems
US9287430B1 (en) 2007-11-01 2016-03-15 Sandia Corporation Photovoltaic solar concentrator
US8329503B1 (en) 2007-11-01 2012-12-11 Sandia Corporation Photovoltaic solar concentrator
JP2009141307A (ja) * 2007-11-15 2009-06-25 Fuji Electric Device Technology Co Ltd 半導体装置の製造方法
WO2009063408A1 (en) * 2007-11-16 2009-05-22 Nxp B.V. A biosensor device and a method of manufacturing the same
US7786584B2 (en) * 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
WO2009080615A2 (en) * 2007-12-21 2009-07-02 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
US7870814B2 (en) * 2008-01-11 2011-01-18 Jonathan Lounsbury Gun stabilizer
ITTO20080045A1 (it) 2008-01-18 2009-07-19 St Microelectronics Srl Schiera di fotodiodi operanti in modalita' geiger reciprocamente isolati e relativo procedimento di fabbricazione
ITTO20080046A1 (it) * 2008-01-18 2009-07-19 St Microelectronics Srl Schiera di fotodiodi operanti in modalita' geiger reciprocamente isolati e relativo procedimento di fabbricazione
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR20100128333A (ko) * 2008-03-21 2010-12-07 어플라이드 머티어리얼스, 인코포레이티드 기판 에칭 시스템 및 프로세스의 방법 및 장치
JP2009260284A (ja) 2008-03-25 2009-11-05 Panasonic Corp 半導体素子、および半導体素子の製造方法
US20090242512A1 (en) 2008-03-27 2009-10-01 Dalsa Semiconductor Inc. Deep reactive ion etching
JP5213496B2 (ja) 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
WO2009126900A1 (en) 2008-04-11 2009-10-15 Pelikan Technologies, Inc. Method and apparatus for analyte detecting device
US8310128B2 (en) * 2008-05-07 2012-11-13 The Board Of Trustees Of The University Of Illinois High precision silicon-on-insulator MEMS parallel kinematic stages
EP2297774A1 (de) * 2008-05-30 2011-03-23 Nxp B.V. Grabenkondensator und verfahren zu dessen herstellung
US7772123B2 (en) * 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
WO2009154173A1 (ja) 2008-06-17 2009-12-23 株式会社アルバック 多段型基板の製造方法
JP5448581B2 (ja) * 2008-06-19 2014-03-19 キヤノン株式会社 液体吐出ヘッド用基板の製造方法及び基板の加工方法
US20100001378A1 (en) * 2008-07-01 2010-01-07 Teledyne Scientific & Imaging, Llc Through-substrate vias and method of fabricating same
US8187972B2 (en) 2008-07-01 2012-05-29 Teledyne Scientific & Imaging, Llc Through-substrate vias with polymer fill and method of fabricating same
US7826065B1 (en) 2008-07-15 2010-11-02 Sandia Corporation Tuned optical cavity magnetometer
US7842923B2 (en) * 2008-07-28 2010-11-30 Alcatel-Lucent Usa Inc. Thermal actuator for an infrared sensor
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
DE102008037943B4 (de) * 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
FR2935713B1 (fr) 2008-09-08 2010-12-10 Alchimer Procede de reparation de couches barrieres a la diffusion du cuivre sur substrat solide semi-conducteur ; kit de reparation pour la mise en oeuvre de ce procede
US8088667B2 (en) 2008-11-05 2012-01-03 Teledyne Scientific & Imaging, Llc Method of fabricating vertical capacitors in through-substrate vias
US8344503B2 (en) 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
US7935571B2 (en) * 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
KR101342335B1 (ko) * 2008-12-10 2013-12-19 가부시키가이샤 아루박 씰 기구 및 처리 장치
IT1392366B1 (it) * 2008-12-17 2012-02-28 St Microelectronics Rousset Fotodiodo operante in modalita' geiger con resistore di soppressione integrato e controllabile, schiera di fotodiodi e relativo procedimento di fabbricazione
US8263497B2 (en) * 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US20100297435A1 (en) * 2009-01-28 2010-11-25 Kaul Anupama B Nanotubes and related manufacturing processes
US9375169B2 (en) 2009-01-30 2016-06-28 Sanofi-Aventis Deutschland Gmbh Cam drive for managing disposable penetrating member actions with a single motor and motor and control system
EP2224469A3 (de) 2009-02-25 2015-03-25 Imec Verfahren zum Ätzen von 3-D-Strukturen in ein Halbleitersubstrat, einschließlich der Oberflächenbehandlung
DE102009002255A1 (de) 2009-04-07 2010-10-14 Robert Bosch Gmbh Verfahren zur Herstellung eines Durchkontakts in einem strukturierten Bauteil
IT1393781B1 (it) * 2009-04-23 2012-05-08 St Microelectronics Rousset Fotodiodo operante in modalita' geiger con resistore di soppressione integrato e controllabile ad effetto jfet, schiera di fotodiodi e relativo procedimento di fabbricazione
US7859350B1 (en) 2009-04-28 2010-12-28 Sandia Corporation Microfabricated ion frequency standard
US8231795B2 (en) * 2009-05-01 2012-07-31 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Micromachined horn
US8610100B2 (en) 2009-06-30 2013-12-17 Nokia Corporation Apparatus comprising nanowires
GB2471520B (en) 2009-07-03 2013-08-21 Microsaic Systems Plc An electrospray pneumatic nebuliser ionisation source
DE112010003274T5 (de) 2009-08-12 2012-12-27 Ulvac, Inc. Verfahren zur Herstellung eines Sputtertargets sowie Sputtertarget
FR2949121A1 (fr) 2009-08-12 2011-02-18 Alchimer Electrolyte et procede d''electrodeposition de cuivre sur une couche barriere, et substrat semi-conducteur obtenu par un tel procede.
WO2011021183A2 (en) 2009-08-17 2011-02-24 Ramot At Tel-Aviv University Ltd. Aligned nanoarray and method for fabricating the same
CN101643904B (zh) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
US20110056812A1 (en) * 2009-09-08 2011-03-10 Kaul Anupama B Nano-electro-mechanical switches using three-dimensional sidewall-conductive carbon nanofibers and method for making the same
CN102031525B (zh) * 2009-09-29 2014-02-12 中微半导体设备(上海)有限公司 一种深硅通孔的刻蚀方法
US20110218756A1 (en) * 2009-10-01 2011-09-08 Mc10, Inc. Methods and apparatus for conformal sensing of force and/or acceleration at a person's head
EP2499663A2 (de) * 2009-11-09 2012-09-19 3M Innovative Properties Company Ätzverfahren für halbleiter
KR20120097382A (ko) * 2009-11-09 2012-09-03 쓰리엠 이노베이티브 프로퍼티즈 컴파니 반도체의 이방성 식각 방법
JP5203340B2 (ja) 2009-12-01 2013-06-05 東京エレクトロン株式会社 半導体装置の製造方法
TWI416624B (zh) * 2009-12-11 2013-11-21 Advanced Micro Fab Equip Inc An etching method for deep - through - hole
WO2011073886A1 (en) 2009-12-18 2011-06-23 Koninklijke Philips Electronics N.V. Substrate for a semiconductor light emitting device
US8435798B2 (en) * 2010-01-13 2013-05-07 California Institute Of Technology Applications and methods of operating a three-dimensional nano-electro-mechanical resonator and related devices
TWI490943B (zh) 2010-01-26 2015-07-01 Ulvac Inc 乾式蝕刻方法
DE102010001667A1 (de) 2010-02-08 2011-08-11 Robert Bosch GmbH, 70469 Herstellungsverfahren für eine poröse Mikronadelanordnung mit Rückseitenanschluss und entsprechende poröse Mikronadelanordnung
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20110229687A1 (en) 2010-03-19 2011-09-22 Qualcomm Incorporated Through Glass Via Manufacturing Process
IT1399690B1 (it) 2010-03-30 2013-04-26 St Microelectronics Srl Fotodiodo a valanga operante in modalita' geiger ad elevato rapporto segnale rumore e relativo procedimento di fabbricazione
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US8461023B1 (en) 2010-04-13 2013-06-11 Sandia Corporation Die singulation method
US8236611B1 (en) 2010-04-13 2012-08-07 Sandia Corporation Die singulation method and package formed thereby
US8965476B2 (en) 2010-04-16 2015-02-24 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US8698925B2 (en) 2010-04-21 2014-04-15 Intevac, Inc. Collimator bonding structure and method
TWI562195B (en) 2010-04-27 2016-12-11 Pilegrowth Tech S R L Dislocation and stress management by mask-less processes using substrate patterning and methods for device fabrication
US20130168255A1 (en) 2010-06-11 2013-07-04 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US8361884B2 (en) 2010-06-22 2013-01-29 Infineon Technologies Ag Plasma dicing and semiconductor devices formed thereof
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
US8430482B2 (en) 2010-09-29 2013-04-30 Lexmark International, Inc. Singulating ejection chips for micro-fluid applications
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9229218B2 (en) 2010-11-29 2016-01-05 President And Fellows Of Harvard College Environmentally responsive optical microstructured hybrid actuator assemblies and applications thereof
US20120211805A1 (en) 2011-02-22 2012-08-23 Bernhard Winkler Cavity structures for mems devices
JP5685762B2 (ja) 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US8705159B2 (en) 2011-05-12 2014-04-22 Calient Technologies, Inc. Microelectromechanical system with a center of mass balanced by a mirror substrate
US8982440B2 (en) 2011-05-12 2015-03-17 Calient Technologies, Inc. Microelectromechanical system with balanced center of mass
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US8975107B2 (en) 2011-06-16 2015-03-10 Infineon Techologies Ag Method of manufacturing a semiconductor device comprising a membrane over a substrate by forming a plurality of features using local oxidation regions
WO2013002885A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US8450188B1 (en) 2011-08-02 2013-05-28 Micro Processing Technology, Inc. Method of removing back metal from an etched semiconductor scribe street
US8445361B1 (en) 2011-09-28 2013-05-21 Paul C. Lindsey, Jr. Method of dividing a semiconductor wafer having semiconductor and metal layers into separate devices
US9046690B2 (en) 2011-10-20 2015-06-02 Si-Ware Systems Integrated monolithic optical bench containing 3-D curved optical elements and methods of its fabrication
US8975668B2 (en) 2011-10-28 2015-03-10 Intevac, Inc. Backside-thinned image sensor using Al2 O3 surface passivation
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
DE102011086689B4 (de) * 2011-11-21 2017-02-16 Osram Oled Gmbh Verfahren zum Herstellen eines opto-elektronischen Bauelements
US9058954B2 (en) 2012-02-20 2015-06-16 Georgia Tech Research Corporation Carbon nanotube field emission devices and methods of making same
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
DE102012206531B4 (de) 2012-04-17 2015-09-10 Infineon Technologies Ag Verfahren zur Erzeugung einer Kavität innerhalb eines Halbleitersubstrats
US8748307B2 (en) 2012-08-31 2014-06-10 Infineon Technologies Ag Use of a protection layer to protect a passivation while etching a wafer
US9553021B2 (en) 2012-09-03 2017-01-24 Infineon Technologies Ag Method for processing a wafer and method for dicing a wafer
US8951915B2 (en) 2012-09-11 2015-02-10 Infineon Technologies Ag Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements
US8981533B2 (en) 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
US9530666B2 (en) 2012-09-18 2016-12-27 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
DE102012216978A1 (de) 2012-09-21 2014-03-27 Robert Bosch Gmbh Verfahren zum Herstellen eines Detektionselements für eine Vorrichtung zur Detektion von Substanzen mittels Spektroskopie, insbesondere Raman-Spektroskopie, ein solches Detektionselement und eine solche Vorrichtung
WO2014093555A1 (en) 2012-12-11 2014-06-19 Massachusetts Institute Of Technology Reducing leakage current in semiconductor devices
CN103072939B (zh) * 2013-01-10 2016-08-03 北京金盛微纳科技有限公司 一种控温深硅刻蚀方法
US9153493B1 (en) 2013-01-16 2015-10-06 Micro Processing Technology, Inc. System for separating devices from a semiconductor wafer
US9524900B2 (en) * 2013-03-07 2016-12-20 California Institute Of Technology Silicon-on-insulator microchannels for biological sensors
CN103117203B (zh) * 2013-03-08 2016-08-10 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
US9391557B2 (en) 2013-03-15 2016-07-12 Sandia Corporation Solar tracking system
US8906803B2 (en) * 2013-03-15 2014-12-09 Sandia Corporation Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate
GB201309583D0 (en) 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
FR3008544B1 (fr) 2013-07-09 2015-08-07 Commissariat Energie Atomique Procede de gravure pour la formation d'un support a flancs rentrants destine notamment au confinement de goutte pour auto-assemblage capillaire
JP6173086B2 (ja) * 2013-07-19 2017-08-02 キヤノン株式会社 シリコン基板のエッチング方法
DK3030682T3 (da) 2013-08-05 2020-09-14 Twist Bioscience Corp De novo synthesized gene libraries
US9488777B2 (en) 2013-09-11 2016-11-08 Oracle International Corporation Back-side etching and cleaving of substrates
US8906745B1 (en) 2013-09-12 2014-12-09 Micro Processing Technology, Inc. Method using fluid pressure to remove back metal from semiconductor wafer scribe streets
US9136136B2 (en) 2013-09-19 2015-09-15 Infineon Technologies Dresden Gmbh Method and structure for creating cavities with extreme aspect ratios
CN105374675B (zh) * 2013-12-03 2018-02-09 中微半导体设备(上海)有限公司 半导体结构的形成方法
US9018079B1 (en) * 2014-01-29 2015-04-28 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean
US9347846B2 (en) 2014-03-25 2016-05-24 Kionix, Inc. Capacitance-based pressure sensor including pressure vessel(s)
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
TWI733431B (zh) 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
JP6785669B2 (ja) 2014-06-30 2020-11-18 スリーエム イノベイティブ プロパティズ カンパニー 360度プライバシーフィルム
DE102014216195A1 (de) 2014-08-14 2016-02-18 Robert Bosch Gmbh Vorrichtung zum anisotropen Ätzen eines Substrats und Verfahren zum Betreiben einer Vorrichtung zum anisotropen Ätzen eines Substrats
US9541462B2 (en) 2014-08-29 2017-01-10 Kionix, Inc. Pressure sensor including deformable pressure vessel(s)
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
EP3012857A1 (de) 2014-10-21 2016-04-27 ams AG Verfahren zur Herstellung einer Öffnung mit glatter vertikaler Seitenwand in einem Halbleitersubstrat
CN105719965A (zh) * 2014-12-04 2016-06-29 北京北方微电子基地设备工艺研究中心有限责任公司 二氧化硅基片的刻蚀方法和刻蚀设备
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10669304B2 (en) 2015-02-04 2020-06-02 Twist Bioscience Corporation Methods and devices for de novo oligonucleic acid assembly
WO2016126987A1 (en) 2015-02-04 2016-08-11 Twist Bioscience Corporation Compositions and methods for synthetic gene assembly
TWI687987B (zh) 2015-02-17 2020-03-11 愛爾蘭商滿捷特科技公司 填充蝕刻洞的製程
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
WO2016172377A1 (en) 2015-04-21 2016-10-27 Twist Bioscience Corporation Devices and methods for oligonucleic acid library synthesis
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
US9612224B2 (en) * 2015-05-29 2017-04-04 International Business Machines Corporation High density nano-array for sensing
CN107851208B (zh) 2015-06-01 2021-09-10 伊利诺伊大学评议会 具有无线供电和近场通信能力的小型化电子系统
EP3304130B1 (de) 2015-06-01 2021-10-06 The Board of Trustees of the University of Illinois Alternativer ansatz zur uv-erfassung
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
WO2017049231A1 (en) 2015-09-18 2017-03-23 Twist Bioscience Corporation Oligonucleic acid variant libraries and synthesis thereof
US11512347B2 (en) 2015-09-22 2022-11-29 Twist Bioscience Corporation Flexible substrates for nucleic acid synthesis
FR3044165B1 (fr) 2015-11-23 2018-03-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'interconnexions par recourbement d'elements conducteurs sous un dispositif microelectronique tel qu'une puce
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
CA3006867A1 (en) 2015-12-01 2017-06-08 Twist Bioscience Corporation Functionalized surfaces and preparation thereof
US20170186837A1 (en) * 2015-12-29 2017-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench capacitor with scallop profile
US10672620B2 (en) 2016-02-01 2020-06-02 King Abdullah University Of Science And Technology Hybrid mask for deep etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
JP6524419B2 (ja) * 2016-02-04 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10553358B2 (en) 2016-04-29 2020-02-04 The Regents Of The University Of California Electronic substrates and interposers made from nanoporous films
US10056297B1 (en) 2016-06-20 2018-08-21 Paul C. Lindsey, Jr. Modified plasma dicing process to improve back metal cleaving
GB201611652D0 (en) 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
EP3500672A4 (de) 2016-08-22 2020-05-20 Twist Bioscience Corporation De-novo-synthetisierte nukleinsäure-bibliotheken
US10620151B2 (en) 2016-08-30 2020-04-14 Analog Devices Global Electrochemical sensor, and a method of forming an electrochemical sensor
US11268927B2 (en) 2016-08-30 2022-03-08 Analog Devices International Unlimited Company Electrochemical sensor, and a method of forming an electrochemical sensor
US10417457B2 (en) 2016-09-21 2019-09-17 Twist Bioscience Corporation Nucleic acid based data storage
US10872950B2 (en) 2016-10-04 2020-12-22 Nanohenry Inc. Method for growing very thick thermal local silicon oxide structures and silicon oxide embedded spiral inductors
US10510828B2 (en) 2016-10-04 2019-12-17 Nano Henry, Inc. Capacitor with high aspect radio silicon cores
DE102016220248A1 (de) * 2016-10-17 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
EP3554514A4 (de) 2016-12-16 2020-08-05 Twist Bioscience Corporation Variante bibliotheken der immunologischen synapse und synthese davon
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
EP3586255A4 (de) 2017-02-22 2021-03-31 Twist Bioscience Corporation Nukleinsäurebasierte datenspeicherung
WO2018170169A1 (en) 2017-03-15 2018-09-20 Twist Bioscience Corporation Variant libraries of the immunological synapse and synthesis thereof
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11133190B2 (en) 2017-05-05 2021-09-28 Lawrence Livermore National Security, Llc Metal-based passivation-assisted plasma etching of III-v semiconductors
JP6899252B2 (ja) 2017-05-10 2021-07-07 株式会社ディスコ 加工方法
WO2018231864A1 (en) 2017-06-12 2018-12-20 Twist Bioscience Corporation Methods for seamless nucleic acid assembly
AU2018284227A1 (en) 2017-06-12 2020-01-30 Twist Bioscience Corporation Methods for seamless nucleic acid assembly
GB201709668D0 (en) 2017-06-16 2017-08-02 Spts Technologies Ltd Microneedles
US11276727B1 (en) 2017-06-19 2022-03-15 Rigetti & Co, Llc Superconducting vias for routing electrical signals through substrates and their methods of manufacture
FR3069102A1 (fr) 2017-07-13 2019-01-18 Stmicroelectronics (Tours) Sas Procede de fabrication de puces isolees lateralement
KR20200047706A (ko) 2017-09-11 2020-05-07 트위스트 바이오사이언스 코포레이션 Gpcr 결합 단백질 및 이의 합성 방법
SG11202003574TA (en) 2017-10-20 2020-05-28 Twist Bioscience Corp Heated nanowells for polynucleotide synthesis
JP6984342B2 (ja) 2017-11-22 2021-12-17 セイコーエプソン株式会社 物理量センサー、物理量センサーの製造方法、慣性計測ユニット、携帯型電子機器、電子機器、および移動体
DE102017222404A1 (de) 2017-12-11 2019-06-13 Blickfeld GmbH Zweiteiliger spiegel
JP6787304B2 (ja) 2017-12-19 2020-11-18 セイコーエプソン株式会社 物理量センサー、複合センサー、慣性計測ユニット、携帯型電子機器、電子機器、および移動体
JP7407499B2 (ja) 2017-12-26 2024-01-04 株式会社ディスコ 凹部又は貫通孔の形成方法、電極の形成方法
JP7052345B2 (ja) * 2017-12-27 2022-04-12 セイコーエプソン株式会社 物理量センサー、物理量センサーの製造方法、複合センサー、慣性計測ユニット、携帯型電子機器、電子機器、および移動体
CA3088911A1 (en) 2018-01-04 2019-07-11 Twist Bioscience Corporation Dna-based digital information storage
JP2019132690A (ja) 2018-01-31 2019-08-08 セイコーエプソン株式会社 物理量センサー、物理量センサーデバイス、複合センサーデバイス、慣性計測装置、移動体測位装置、携帯型電子機器、電子機器、移動体および物理量センサーの出力信号調整方法
US11022579B2 (en) 2018-02-05 2021-06-01 Analog Devices International Unlimited Company Retaining cap
CN111684364B (zh) 2018-02-07 2021-10-19 百达翡丽日内瓦公司 微型机械钟表部件
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
EP3769133A1 (de) 2018-03-21 2021-01-27 Ecole Polytechnique Federale De Lausanne (Epfl) Optische kupplungsvorrichtung
WO2019222706A1 (en) 2018-05-18 2019-11-21 Twist Bioscience Corporation Polynucleotides, reagents, and methods for nucleic acid hybridization
RU2691758C1 (ru) * 2018-08-17 2019-06-18 Федеральное государственное бюджетное учреждение науки Физико-технологический институт имени К.А. Валиева Российской академии наук (ФТИАН им К.А. Валиева РАН) Способ анизотропного плазменного травления кремниевых микроструктур в циклическом двухшаговом процессе окисление-травление
JP2020047875A (ja) 2018-09-21 2020-03-26 株式会社ディスコ ウェーハの加工方法
JP7192437B2 (ja) 2018-11-28 2022-12-20 セイコーエプソン株式会社 慣性センサー、電子機器および移動体
US20200194270A1 (en) 2018-12-13 2020-06-18 Asm Technology Singapore Pte Ltd Plasma chemical processing of wafer dies
DE102018132830A1 (de) 2018-12-19 2020-06-25 Blickfeld GmbH Spiegel
JP7215800B2 (ja) * 2019-02-19 2023-01-31 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法および半導体装置
WO2020176680A1 (en) 2019-02-26 2020-09-03 Twist Bioscience Corporation Variant nucleic acid libraries for antibody optimization
US11492727B2 (en) 2019-02-26 2022-11-08 Twist Bioscience Corporation Variant nucleic acid libraries for GLP1 receptor
JP2020151796A (ja) * 2019-03-19 2020-09-24 株式会社リコー 振動素子基板の製造方法及び振動素子基板
CN114729342A (zh) 2019-06-21 2022-07-08 特韦斯特生物科学公司 基于条形码的核酸序列装配
EP3764169B1 (de) 2019-07-10 2023-03-15 Patek Philippe SA Genève Verfahren zum abschnittsweisen satinieren einer uhrenkomponente aus silizium
GB201918333D0 (en) 2019-12-12 2020-01-29 Spts Technologies Ltd A semiconductor wafer dicing process
JP7382578B2 (ja) * 2019-12-27 2023-11-17 パナソニックIpマネジメント株式会社 プラズマ処理方法および素子チップの製造方法
US11513108B2 (en) 2020-01-14 2022-11-29 Mks Instruments, Inc. Method and apparatus for pulse gas delivery with concentration measurement
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
US11069509B1 (en) 2020-03-16 2021-07-20 Fei Company Method and system for backside planar view lamella preparation
US11735478B2 (en) 2020-05-05 2023-08-22 Ecole Polytechnique Federale De Lausanne (Epfl) System and method for removing scalloping and tapering effects in high aspect ratio through-silicon vias of wafers
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same
US11361971B2 (en) 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
TW202234510A (zh) 2020-10-19 2022-09-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
GB202020022D0 (en) 2020-12-17 2021-02-03 Spts Technologies Ltd Method and apparatus
EP4020024A1 (de) 2020-12-22 2022-06-29 Paul Scherrer Institut Verfahren zur herstellung einer fächerförmigen optik mit hohem aspektverhältnis
DE102021200431A1 (de) 2021-01-19 2022-07-21 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Bilden eines Trenchgrabens in einer ersten Halbleiterschicht eines Mehrschichtsystems
FR3119047A1 (fr) 2021-01-21 2022-07-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Structure de micro-insert a armature en silicium
JP7320554B2 (ja) 2021-04-27 2023-08-03 株式会社アルバック エッチング方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3613181A1 (de) * 1986-04-18 1987-10-22 Siemens Ag Verfahren zum erzeugen von graeben mit einstellbarer steilheit der grabenwaende in aus silizium bestehenden halbleitersubstraten
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
DE3706127C2 (de) * 1986-04-28 1989-01-19 University Of Tokyo, Tokio/Tokyo, Jp
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
DE3940083A1 (de) * 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
DE4202447A1 (de) * 1991-01-29 1992-07-30 Micron Technology Inc Verfahren zum aetzen von nuten in einem silizium-substrat
DE4204848A1 (de) * 1991-02-20 1992-08-27 Micron Technology Inc Verfahren zur nachaetzbehandlung einer halbleitervorrichtung

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
CA1260365A (en) * 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4797178A (en) * 1987-05-13 1989-01-10 International Business Machines Corporation Plasma etch enhancement with large mass inert gas
FR2616030A1 (fr) * 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JPH03129820A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
US5188254A (en) * 1992-04-21 1993-02-23 Evans Harold A Bag holding system for recyclables

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
DE3613181A1 (de) * 1986-04-18 1987-10-22 Siemens Ag Verfahren zum erzeugen von graeben mit einstellbarer steilheit der grabenwaende in aus silizium bestehenden halbleitersubstraten
DE3706127C2 (de) * 1986-04-28 1989-01-19 University Of Tokyo, Tokio/Tokyo, Jp
DE3940083A1 (de) * 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
DE4202447A1 (de) * 1991-01-29 1992-07-30 Micron Technology Inc Verfahren zum aetzen von nuten in einem silizium-substrat
DE4204848A1 (de) * 1991-02-20 1992-08-27 Micron Technology Inc Verfahren zur nachaetzbehandlung einer halbleitervorrichtung

Cited By (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241453A1 (de) * 1992-12-09 1994-06-16 Daimler Benz Ag Verfahren zum Plasmaätzen von Gräben in Silizium
DE4442023A1 (de) * 1994-11-25 1996-05-30 Bosch Gmbh Robert Siliziumkörper mit einem Durchbruch mit frei definierbarer Austrittsöffnung und Verfahren zu seiner Herstellung
DE4442033A1 (de) * 1994-11-25 1996-05-30 Bosch Gmbh Robert Drehratensensor
DE19503623B4 (de) * 1995-02-03 2008-01-10 Robert Bosch Gmbh Drehratensensor
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682A1 (de) * 1997-02-20 1998-08-27 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silicium
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
WO1999004425A1 (de) * 1997-07-17 1999-01-28 Robert Bosch Gmbh Verfahren zum erkennen des übergangs verschiedener materialien in halbleiterstrukturen
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
WO1999049506A1 (en) * 1998-03-20 1999-09-30 Surface Technology Systems Limited Method and apparatus for manufacturing a micromechanical device
DE19826382C2 (de) * 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE19826382A1 (de) * 1998-06-12 1999-12-16 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
WO2000005749A2 (en) * 1998-07-23 2000-02-03 Surface Technology Systems Limited Method and apparatus for anisotropic etching
WO2000005749A3 (en) * 1998-07-23 2000-07-27 Surface Tech Sys Ltd Method and apparatus for anisotropic etching
DE19841964B4 (de) * 1998-09-14 2004-08-05 Robert Bosch Gmbh Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
DE19847455A1 (de) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
DE19904307C2 (de) * 1999-01-28 2001-09-20 Bosch Gmbh Robert Verfahren zur Herstellung von dreidimensionalen Strukturen mittels eines Ätzprozesses
DE10005804B4 (de) * 1999-02-12 2010-11-11 DENSO CORPORATION, Kariya-shi Verfahren zur Herstellung einer Halbleitervorrichtung, sowie durch dieses Verfahren hergestellter Halbleitersensor für eine physikalische Grösse
WO2000062328A1 (en) * 1999-04-14 2000-10-19 Surface Technology Systems Limited Method and apparatus for stabilising a plasma
KR100738141B1 (ko) * 1999-04-14 2007-07-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 안정화 방법 및 장치
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US7166536B1 (en) 1999-04-29 2007-01-23 Robert Bosch Gmbh Methods for plasma etching of silicon
WO2000067306A1 (de) * 1999-04-30 2000-11-09 Robert Bosch Gmbh Verfahren zum anisotropen plasmaätzen von halbleitern
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
US6756288B1 (en) 1999-07-01 2004-06-29 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method of subdividing a wafer
DE10051831A1 (de) * 1999-07-20 2002-05-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US7811941B1 (en) 1999-07-20 2010-10-12 Robert Bosch Gmbh Device and method for etching a substrate using an inductively coupled plasma
DE19933841A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US7648611B2 (en) 2000-05-19 2010-01-19 Robert Bosch Gmbh Plasma etching equipment
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
DE10053780A1 (de) * 2000-10-30 2002-05-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Siliziumoxid-Schicht
DE10064448A1 (de) * 2000-12-22 2002-07-04 Osram Opto Semiconductors Gmbh Verfahren zum Aufrauhen eines Halbleiterchips für die Optoelektronik
DE10065013B4 (de) * 2000-12-23 2009-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines mikromechanischen Bauelements
DE10136022B4 (de) * 2001-07-24 2006-01-12 Robert Bosch Gmbh Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
EP1801554B1 (de) * 2001-09-10 2014-07-23 Excelitas Technologies Singapore Pte Ltd. Sensor zum berührungslosen Messen einer Temperatur
US6817255B2 (en) 2001-09-12 2004-11-16 The Board Of Trustees Of The University Of Illinois Apparatus and method for testing of microscale to nanoscale thin films
US7785486B2 (en) 2001-09-14 2010-08-31 Robert Bosch Gmbh Method of etching structures into an etching body using a plasma
US7312553B2 (en) 2001-10-20 2007-12-25 Robert Bosch Gmbh Micromechanical component and method for producing same
DE10156407A1 (de) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
US7149070B2 (en) 2001-11-16 2006-12-12 Robert Bosch Gmbh Holding device, in particular for fixing a semiconductor wafer in a plasma etching device, and method for supplying heat to or dissipating heat from a substrate
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US7285228B2 (en) 2002-03-05 2007-10-23 Robert Bosch Gmbh Device and method for anisotropic plasma etching of a substrate, a silicon body in particular
US6974709B2 (en) 2002-04-03 2005-12-13 Robert Bosch Gmbh Method and device for providing a semiconductor etching end point and for detecting the end point
US7288785B2 (en) 2002-04-17 2007-10-30 Sophion Bioscience A/S Substrate and method for measuring the electro-physiological properties of cell membranes
WO2004006382A1 (de) 2002-07-02 2004-01-15 Robert Bosch Gmbh Elektrisches bauelement, insbesondere mikroelektronisches oder mikrroelektromechanisches hochfrequenzbauelement.
US7642545B2 (en) 2002-07-30 2010-01-05 Robert Bosch Gmbh Layer and system with a silicon layer and a passivation layer, method for production of a passivation layer on a silicon layer and use thereof
DE10234589A1 (de) * 2002-07-30 2004-02-12 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
US7261825B2 (en) 2002-08-02 2007-08-28 Robert Bosch Gmbh Method for the production of a micromechanical device, particularly a micromechanical oscillating mirror device
WO2004014785A2 (de) * 2002-08-05 2004-02-19 Universität Kassel Verfahren und herstellung wenigstens einer kleinen öffnung in einer schicht auf einem substrat und damit hergestellte bauelemente
WO2004014785A3 (de) * 2002-08-05 2005-02-10 Univ Kassel Verfahren und herstellung wenigstens einer kleinen öffnung in einer schicht auf einem substrat und damit hergestellte bauelemente
US7872333B2 (en) 2002-08-17 2011-01-18 Robert Bosch Gmbh Layer system comprising a silicon layer and a passivation layer, method for production a passivation layer on a silicon layer and the use of said system and method
US7398588B2 (en) 2002-09-06 2008-07-15 Robert Bosch Gmbh SOI component comprising margins for separation
WO2004036627A2 (de) * 2002-10-14 2004-04-29 Robert Bosch Gmbh Plasmaanlage und verfahren zum anisotropen einätzen von strukturen in ein substrat
US7855150B2 (en) 2002-10-14 2010-12-21 Robert Bosch Gmbh Plasma system and method for anisotropically etching structures into a substrate
WO2004036627A3 (de) * 2002-10-14 2004-07-22 Bosch Gmbh Robert Plasmaanlage und verfahren zum anisotropen einätzen von strukturen in ein substrat
DE10259176A1 (de) * 2002-12-18 2004-02-05 Robert Bosch Gmbh Strukturkörper mit einem porösen Bereich und Verfahren zu dessen Herstellung
DE10301873B4 (de) * 2003-01-17 2006-04-20 Fachhochschule Jena Kapillare Verdampferstruktur und Kühlsystem
WO2004093162A3 (de) * 2003-04-15 2005-02-24 Univ Dresden Tech Siliziumsubstrat mit positiven ätzprofilen mit definiertem böschungswinkel und verfahren zur herstellung
WO2004093162A2 (de) * 2003-04-15 2004-10-28 Technische Universität Dresden Siliziumsubstrat mit positiven ätzprofilen mit definiertem böschungswinkel und verfahren zur herstellung
DE10318568A1 (de) * 2003-04-15 2004-11-25 Technische Universität Dresden Siliziumsubstrat mit positiven Ätzprofilen mit definiertem Böschungswinkel und Verfahren zur Herstellung
US7498266B2 (en) 2003-04-15 2009-03-03 Technische Universitát Dresden Method for structuring of silicon substrates for microsystem technological device elements and associated silicon substrate
EP1620351B1 (de) * 2003-05-07 2008-06-04 Perkinelmer Optoelectronics GmbH & Co. Kg Strahlungssensor, wafer, sensormodul und verfahren zur herstellung eines strahlungssensors
US7872349B2 (en) 2003-07-08 2011-01-18 Infineon Technologies Ag Integrated coolant circuit arrangement, operating method and production method
DE10333995B4 (de) 2003-07-25 2018-10-25 Robert Bosch Gmbh Verfahren zum Ätzen eines Halbleitermaterials
DE10336328B4 (de) * 2003-08-07 2013-04-25 Robert Bosch Gmbh Vorrichtung zur Bearbeitung eines Siliziumssubstrats
US10410989B2 (en) 2003-09-04 2019-09-10 University Of Notre Dame Du Lac Inter-chip alignment
US9450043B2 (en) 2004-06-04 2016-09-20 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US8039847B2 (en) 2004-06-04 2011-10-18 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US8664699B2 (en) 2004-06-04 2014-03-04 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US9105555B2 (en) 2004-06-04 2015-08-11 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8440546B2 (en) 2004-06-04 2013-05-14 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US9768086B2 (en) 2004-06-04 2017-09-19 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US11456258B2 (en) 2004-06-04 2022-09-27 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8198621B2 (en) 2004-06-04 2012-06-12 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8394706B2 (en) 2004-06-04 2013-03-12 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US8754396B2 (en) 2004-06-04 2014-06-17 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US11088268B2 (en) 2004-06-04 2021-08-10 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US9515025B2 (en) 2004-06-04 2016-12-06 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US10374072B2 (en) 2004-06-04 2019-08-06 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7982296B2 (en) 2004-06-04 2011-07-19 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US9761444B2 (en) 2004-06-04 2017-09-12 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US10204864B2 (en) 2004-06-04 2019-02-12 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8182707B2 (en) 2004-07-29 2012-05-22 Robert Bosch Gmbh Method for etching a layer on a substrate
DE102004042729B4 (de) * 2004-09-03 2018-02-01 Robert Bosch Gmbh Bio-Chip mit einem Elektrodenarray auf einem Substrat
DE102004043357B4 (de) * 2004-09-08 2015-10-22 Robert Bosch Gmbh Verfahren zur Herstellung eines mikromechanischen Sensorelements
USRE43877E1 (en) 2004-10-08 2012-12-25 Aprolase Development Co., Llc Method for precision integrated circuit die singulation using differential etch rates
US7335576B2 (en) 2004-10-08 2008-02-26 Irvine Sensors Corp. Method for precision integrated circuit die singulation using differential etch rates
DE102005004365A1 (de) * 2005-01-31 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung
US7262071B2 (en) 2005-02-03 2007-08-28 Robert Bosch Gmbh Micromechanical component and suitable method for its manufacture
EP1763075A1 (de) * 2005-09-13 2007-03-14 Irvine Sensors Corporation Methode für die präzise Vereinzelung von integrierten Schaltungen unter Verwendung von differentiellen Ätzraten
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
WO2007070004A2 (en) 2005-12-14 2007-06-21 Silex Microsystems Ab Methods for making micro needles and applications thereof
US7919346B2 (en) 2005-12-15 2011-04-05 Robert Bosch Gmbh Micromechanical component and manufacturing method
DE102006001321B3 (de) * 2006-01-09 2007-07-26 Protron Mikrotechnik Gmbh Mikromechanischer Hochfrequenz-Schalter für koplanare Wellenleiter
DE102006002106B4 (de) * 2006-01-17 2016-03-03 Robert Bosch Gmbh Mikromechanischer Sensor mit perforationsoptimierter Membran sowie ein geeignetes Hestellungsverfahren
US8895406B2 (en) 2006-09-20 2014-11-25 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
US9349900B2 (en) 2006-09-20 2016-05-24 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
US7932123B2 (en) 2006-09-20 2011-04-26 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
WO2008036837A2 (en) 2006-09-20 2008-03-27 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
EP1932804A2 (de) 2006-12-11 2008-06-18 Mimotec S.A. Silizium-Metall-Mischteile und damit verbundene Herstellungsverfahren
EP1932804B1 (de) 2006-12-11 2017-03-22 Mimotec S.A. Silizium-Metall-Mischteile und damit verbundene Herstellungsverfahren
US10361180B2 (en) 2007-01-17 2019-07-23 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US9117940B2 (en) 2007-01-17 2015-08-25 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US10424572B2 (en) 2007-01-17 2019-09-24 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US10504882B2 (en) 2007-01-17 2019-12-10 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US7972875B2 (en) 2007-01-17 2011-07-05 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US9601671B2 (en) 2007-01-17 2017-03-21 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US11309305B2 (en) 2007-01-17 2022-04-19 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US8722458B2 (en) 2007-01-17 2014-05-13 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
DE102007002832A1 (de) 2007-01-19 2008-07-24 Robert Bosch Gmbh Verfahren zur Herstellung einer Vorrichtung umfassend eine Anordnung von Mikronadeln auf einem Träger und nach diesem Verfahren herstellbare Vorrichtung
US7758155B2 (en) 2007-05-15 2010-07-20 Eastman Kodak Company Monolithic printhead with multiple rows of inkjet orifices
US8425789B2 (en) 2007-06-09 2013-04-23 Rolith, Inc. Method and apparatus for anisotropic etching
DE102007035633B4 (de) * 2007-07-28 2012-10-04 Protron Mikrotechnik Gmbh Verfahren zur Herstellung mikromechanischer Strukturen sowie mikromechanische Struktur
DE102007035633A1 (de) 2007-07-28 2009-02-19 Protron Mikrotechnik Gmbh Verfahren zur Herstellung mikromechanischer Strukturen sowie mikromechanische Struktur
US7752916B2 (en) 2007-08-31 2010-07-13 The Board Of Trustees Of The University Of Illinois Apparatus and method for material testing of microscale and nanoscale samples
DE102007052661A1 (de) 2007-11-05 2009-05-07 Robert Bosch Gmbh Verfahren zur Herstellung von mikromechanischen Strukturen mit reliefartigem Seitenwandverlauf oder einstellbarem Neigungswinkel
US8501516B2 (en) 2007-11-05 2013-08-06 Robert Bosch Gmbh Method for producing micromechanical patterns having a relief-like sidewall outline shape or an adjustable angle of inclination
EP2078694A2 (de) 2008-01-08 2009-07-15 Robert Bosch Gmbh Schutzsystem und Verfahren zur Vereinzelung von MEMS-Strukturen
US10064269B2 (en) 2008-03-05 2018-08-28 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US8552299B2 (en) 2008-03-05 2013-10-08 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US10292261B2 (en) 2008-03-05 2019-05-14 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US8905772B2 (en) 2008-03-05 2014-12-09 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US8585179B2 (en) 2008-03-28 2013-11-19 Eastman Kodak Company Fluid flow in microfluidic devices
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
DE102008040522A1 (de) 2008-07-18 2010-01-21 Robert Bosch Gmbh Verfahren zur Herstellung einer mikromechanischen Struktur und mikromechanische Struktur
DE102008040758B4 (de) * 2008-07-28 2017-05-18 Robert Bosch Gmbh Mikromechanische Strukturen und Verfahren zur Herstellung von mikromechanischen Strukturen
DE102008040758A1 (de) 2008-07-28 2010-02-04 Robert Bosch Gmbh Mikromechanische Strukturen und Verfahren zur Herstellung von mikromechanischen Strukturen
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US9289132B2 (en) 2008-10-07 2016-03-22 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US9012784B2 (en) 2008-10-07 2015-04-21 Mc10, Inc. Extremely stretchable electronics
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8536667B2 (en) 2008-10-07 2013-09-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8865489B2 (en) 2009-05-12 2014-10-21 The Board Of Trustees Of The University Of Illinois Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US10546841B2 (en) 2009-05-12 2020-01-28 The Board Of Trustees Of The University Of Illinois Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US9647171B2 (en) 2009-05-12 2017-05-09 The Board Of Trustees Of The University Of Illinois Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
DE102009028037A1 (de) 2009-07-27 2011-02-03 Robert Bosch Gmbh Bauelement mit einer elektrischen Durchkontaktierung, Verfahren zur Herstellung eines Bauelementes und Bauelementsystem
DE102009028256A1 (de) 2009-08-05 2011-02-10 Robert Bosch Gmbh Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
DE102009028256B4 (de) 2009-08-05 2019-01-24 Robert Bosch Gmbh Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
DE102009045385B4 (de) 2009-10-06 2019-07-04 Robert Bosch Gmbh Verfahren zum Herstellen eines Durchkontaktes und entsprechendes mikromechanisches Bauelement
DE102009045385A1 (de) 2009-10-06 2011-04-07 Robert Bosch Gmbh Verfahren zum Verschließen eines Grabens eines mikromechanischen Bauelements
WO2011051301A1 (en) 2009-10-26 2011-05-05 Mapper Lithography Ip B.V. Charged particle multi-beamlet lithography system, modulation device, and method of manufacturing thereof
WO2011051305A1 (en) 2009-10-26 2011-05-05 Mapper Lithography Ip B.V. Charged particle multi-beamlet lithography system, with modulation device
DE102009046461B4 (de) 2009-11-06 2018-06-21 Robert Bosch Gmbh Verfahren zur Herstellung verkappter mikroelektromechanischer Bauelemente
DE102009046461A1 (de) 2009-11-06 2011-05-12 Robert Bosch Gmbh Verfahren zur Herstellung verkappter mikroelektromechanischer Bauelemente
US10918298B2 (en) 2009-12-16 2021-02-16 The Board Of Trustees Of The University Of Illinois High-speed, high-resolution electrophysiology in-vivo using conformal electronics
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US11057991B2 (en) 2009-12-16 2021-07-06 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
DE102010000864B4 (de) * 2010-01-13 2017-11-02 Robert Bosch Gmbh Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
DE102010000864A1 (de) 2010-01-13 2011-07-14 Robert Bosch GmbH, 70469 Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
EP2348503A1 (de) 2010-01-19 2011-07-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung Ultraschallsensor zum Erfassen und/ oder Abtasten von Objekten und entsprechendes Herstellungsverfahren
DE102010001021A1 (de) 2010-01-19 2011-07-21 Robert Bosch GmbH, 70469 Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
DE102010001021B4 (de) 2010-01-19 2019-05-09 Robert Bosch Gmbh Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
DE102010001504B4 (de) 2010-02-02 2020-07-16 Robert Bosch Gmbh Eine Filtereinrichtung und ein Verfahren zur Herstellung einer Filtereinrichtung
DE102010001504A1 (de) 2010-02-02 2011-08-04 Robert Bosch GmbH, 70469 Eine Filtereinrichtung und ein Verfahren zur Herstellung einer Filtereinrichtung
US9986924B2 (en) 2010-03-17 2018-06-05 The Board Of Trustees Of The University Of Illinois Implantable biomedical devices on bioresorbable substrates
US8447148B1 (en) 2010-03-29 2013-05-21 Sandia Corporation Latching micro optical switch
US8351053B2 (en) 2010-06-25 2013-01-08 The Board Of Trustees Of The University Of Illinois Apparatus and method for in situ testing of microscale and nanoscale samples
US9019512B2 (en) 2010-06-25 2015-04-28 The Board Of Trustees Of The University Of Illinois Apparatus and method for in situ testing of microscale and nanoscale samples
WO2012020132A1 (de) 2010-08-12 2012-02-16 Österreichische Akademie Der Wissenschaften (Öaw) Verfahren zur herstellung einer mems-vorrichtung mit hohem aspektverhältnis, sowie wandler und kondensator
DE102010061795A1 (de) 2010-11-23 2012-05-24 Robert Bosch Gmbh Verfahren zum Erzeugen einer mikromechanischen Membranstruktur und MEMS-Bauelement
US8691611B2 (en) 2010-11-23 2014-04-08 Robert Bosch Gmbh Method for creating a micromechanical membrane structure and MEMS component
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
US9159635B2 (en) 2011-05-27 2015-10-13 Mc10, Inc. Flexible electronic structure
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US10349860B2 (en) 2011-06-03 2019-07-16 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US10396173B2 (en) 2011-12-01 2019-08-27 The Board Of Trustees Of The University Of Illinois Transient devices designed to undergo programmable transformations
US9691873B2 (en) 2011-12-01 2017-06-27 The Board Of Trustees Of The University Of Illinois Transient devices designed to undergo programmable transformations
DE102012200236B3 (de) * 2012-01-10 2013-02-21 Robert Bosch Gmbh Verfahren zur Strukturierung von Siliziumcarbid und SiC-Graben-MOSFET
WO2013128181A1 (en) 2012-02-29 2013-09-06 Oxford Instruments Nanotechnology Tools Limited Methods and apparatus for depositing and/or etching material on a substrate
US9412566B2 (en) 2012-02-29 2016-08-09 Oxford Instruments Nanotechnology Tools Limited Methods and apparatus for depositing and/or etching material on a substrate
EP3229265A1 (de) 2012-02-29 2017-10-11 Oxford Instruments Nanotechnology Tools Limited Verfahren und vorrichtung zur abscheidung und/oder ätzung von material auf einem substrat
US9554484B2 (en) 2012-03-30 2017-01-24 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US10052066B2 (en) 2012-03-30 2018-08-21 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US10357201B2 (en) 2012-03-30 2019-07-23 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
WO2014090228A1 (de) 2012-12-13 2014-06-19 Otto-Von-Guericke-Universität Magdeburg Stecker-buchse-prüfvorrichtung
US9620473B1 (en) 2013-01-18 2017-04-11 University Of Notre Dame Du Lac Quilt packaging system with interdigitated interconnecting nodules for inter-chip alignment
US9675790B2 (en) 2013-06-13 2017-06-13 Microdermics Inc. Metallic microneedles
US10207094B2 (en) 2013-06-13 2019-02-19 Microdermics Inc. Metallic microneedles
US10589078B2 (en) 2013-06-13 2020-03-17 Microdermics Inc. Metallic microneedles
DE102013223490A1 (de) 2013-11-18 2015-05-21 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
DE102013223490B4 (de) 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
US9233843B2 (en) 2013-11-18 2016-01-12 Robert Bosch Gmbh Method for manufacturing a structured surface
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10629447B2 (en) 2016-03-17 2020-04-21 Zeon Corporation Plasma etching method
EP3432346A4 (de) * 2016-03-17 2019-10-16 Zeon Corporation Plasmaätzverfahren
DE102017210705A1 (de) 2017-06-26 2018-12-27 Robert Bosch Gmbh Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung
DE102017213351A1 (de) 2017-08-02 2019-02-07 Robert Bosch Gmbh Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung mit zumindest einer chemischen oder elektrochemischen Detektiereinrichtung
DE102018210482A1 (de) 2018-06-27 2020-01-02 Robert Bosch Gmbh Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
DE102018210482B4 (de) 2018-06-27 2022-07-07 Robert Bosch Gmbh Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
WO2021239528A1 (de) * 2020-05-28 2021-12-02 Robert Bosch Gmbh Verfahren und steuergerät zum herstellen eines trägerelements zum aufnehmen einer probenflüssigkeit, trägerelement, trägermodul und verfahren zum verwenden eines trägerelements
DE102020211313A1 (de) 2020-09-09 2022-03-10 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung einer mikroelektromechanischen Struktur und mikroelektromechanische Struktur
DE102020211313B4 (de) 2020-09-09 2022-06-30 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung einer mikroelektromechanischen Struktur und mikroelektromechanische Struktur
CN113800466A (zh) * 2021-09-23 2021-12-17 华东光电集成器件研究所 一种mems悬浮结构的深硅刻蚀方法
CN113800466B (zh) * 2021-09-23 2023-08-29 华东光电集成器件研究所 一种mems悬浮结构的深硅刻蚀方法

Also Published As

Publication number Publication date
EP0625285A1 (de) 1994-11-23
WO1994014187A1 (de) 1994-06-23
JP4090492B2 (ja) 2008-05-28
US5501893A (en) 1996-03-26
JPH07503815A (ja) 1995-04-20
JP2007129260A (ja) 2007-05-24
EP0625285B1 (de) 2000-03-22

Similar Documents

Publication Publication Date Title
DE4241045C1 (de) Verfahren zum anisotropen Ätzen von Silicium
EP0865664B1 (de) Verfahren zum anisotropen plasmaätzen verschiedener substrate
DE19706682C2 (de) Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19736370C2 (de) Verfahren zum anisotropen Ätzen von Silizium
EP0015403B1 (de) Verfahren zum reaktiven Ionenätzen von Silicium
US5201993A (en) Anisotropic etch method
DE4317623C2 (de) Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE2800180A1 (de) Duennschicht-aetzverfahren durch plasmazersetzung eines gases
DE4104762A1 (de) Verfahren und vorrichtung zur bearbeitung einer oberflaeche
DE2617483C2 (de) Verfahren zum Abtragen von Silicium und Aluminium durch Ionenätzung unter Feldeinwirkung
EP1062180A1 (de) Verfahren zur bearbeitung von silizium mittels ätzprozessen
DE4107329C2 (de)
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
DE102004043233B4 (de) Verfahren zum Herstellen eines beweglichen Abschnitts einer Halbleitervorrichtung
EP1095400A1 (de) Verfahren zum plasmaätzen von silizium
US5271799A (en) Anisotropic etch method
DE69737237T2 (de) Verfahren zur trockenätzung
EP1614145A2 (de) Siliziumsubstrat mit positiven tzprofilen mit definiertem b schungswinkel und verfahren zur herstellung
EP1095403B1 (de) Verfahren zur beseitigung von defekten von siliziumkörpern durch selektive ätzung
DE2224468A1 (de) Verfahren zum aetzen von vorzugsweise glas- bzw. siliciumdioxydschichten
DE19945140B4 (de) Verfahren zur Herstellung einer Maskenschicht mit Öffnungen verkleinerter Breite
DE3015296A1 (de) Verfahren zum aetzen von werkstueckoberflaechen mittels eines durch eine elektrische gasentladung aktivierten gases
DE4132564C2 (de) Verfahren zum Plasmaätzen mit mikrowellenenergiegespeister Voranregung der Ätzgase bei der Herstellung integrierter Halbleiterschaltungen und Verwendung des Verfahrens
DE10300197A1 (de) Verfahren zur Strukturierung von Dünnfilmen

Legal Events

Date Code Title Description
8100 Publication of the examined application without publication of unexamined application
D1 Grant (no unexamined application published) patent law 81
8364 No opposition during term of opposition
R071 Expiry of right
R071 Expiry of right