DE4319717A1 - Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters - Google Patents

Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters

Info

Publication number
DE4319717A1
DE4319717A1 DE4319717A DE4319717A DE4319717A1 DE 4319717 A1 DE4319717 A1 DE 4319717A1 DE 4319717 A DE4319717 A DE 4319717A DE 4319717 A DE4319717 A DE 4319717A DE 4319717 A1 DE4319717 A1 DE 4319717A1
Authority
DE
Germany
Prior art keywords
coil
plasma
window
main coil
insulating window
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE4319717A
Other languages
English (en)
Inventor
John S Ogle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of DE4319717A1 publication Critical patent/DE4319717A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Description

Die Erfindung betrifft Systeme und Verfahren zur Erzeugung von Niedrigdruckplasmen im allgemeinen. Im besonderen betrifft sie Ausrüstungen zum Erzeugen hochgleichförmiger planarer Plasmen, die zur Behandlung von Halbleiterwafern in Niedrigdruckverarbeitungsanlagen verwendet werden können.
Die Plasmaerzeugung ist bei einer Vielzahl von Halbleiterherstellungsverfahren einschließlich Ätzen, Abscheidung, Ionenimplantation und ähnlichem von Nutzen. Plasmen werden im allgemeinen in einem Niedrigdruckgas durch Beschleunigung natürlich auftretender freier Elektronen in dem Gas bis zur Gasionisierungsenergie, typischerweise zwischen fünf und zwanzig Elektronenvolt, erzeugt. Kollision zwischen diesen energiegeladenen Elektronen und den Gasmolekülen bewirken gelegentlich die Ionisierung eines Moleküls unter Freigabe eines zusätzlichen freien Elektrons. Jedes zusätzliche freie Elektron wird ebenfalls beschleunigt und kann ebenfalls Gasmoleküle ionisieren. Das resultierende teilweise ionisierte Gas wird Plasma genannt.
Materie existiert typischerweise hauptsächlich in einer von vier Phasen: fest, flüssig, gasförmig und als Plasma. Superheiße Plasmen werden in Gaschromatographen verwendet, um injizierte Proben so aufzubrechen, daß diese für die Analyse charakteristische Lichtspektren erzeugen, und in Halbleiterverarbeitungsanlagen, um Material von einem Wafer wegzuätzen. Auf Plasmen basierende Halbleiterausstattungen, bzw. Halbleiteranlagen umfassen ebenfalls Abscheidungsverfahren, Resiststrippen, bzw. Ablösung von Resistfilmen und Plasma-unterstützte chemische Gasphasenabscheidung (plasma enhanced chemical vapor deposition).
Die Erzeugung größerer und gleichförmigerer Plasmen wird derzeit benötigt, um mit den stetig ansteigenden Größen der verarbeiteten Wafer Schritt zu halten. Unabhängig von dem Verfahrensschritt, ob Ätzen, Abscheiden oder Implantieren, die Wirkungen des Plasmas werden typischerweise gleichförmig über der gesamten Oberfläche benötigt. Heutige Technologie umfaßt die Acht-Inch-Wafer-Verarbeitung, und Zwölf-Inch-Wafer- Verarbeitungs-Herstellungsanlagen (Fabrication-Facilities, oder abgekürzt im englischsprachigen Raum auch als Fabs bezeichnet) werden bereits geplant. Die herkömmlichen Ausstattungen, die für Plasmaätzen von Zwei-Inch-Wafern geeignet sind, sind nicht in der Lage, die Gleichförmigkeit zu erzeugen, die sich in maximale Erträge und höhere Gewinne für technologisch aktuelle Fabs umsetzt.
Vorhergehende magnetisch gekoppelte planare, d. h. ebene Plasmen (planar magnetically coupled Plasma, PMCP) erzeugende Verfahren verwenden ein sich änderndes magnetisches Feld, das durch eine planare spiralförmige Spule erzeugt wird, um das Plasma zu erzeugen, wahlweise mit einer unabhängigen funk- bzw. hochfrequenten Leistungsquelle zum Beschleunigen der Ionen. Dieses Verfahren ist geeignet, unabhängige Steuerung von Fluß und Feld mit preiswerten Anlagen und einfachem Betrieb durch sich ändernde Magnetfeld-Elektronenbeschleunigung bereitzustellen. Es stellt aber, insbesondere in der Nähe der Spulenachse, keine gleichförmige Elektronenbeschleunigung zur Verfügung. Ein herkömmliches Plasmaätzsystem, das durch den jetzigen Erfinder in dem US-Patent 4,948,458, erteilt am 14. August 1990, beschrieben wird und durch Bezugnahme in die vorliegende Beschreibung mit einbezogen wird, enthält eine Kammer mit einem dielektrischen Fenster, wie z. B. Quarz. Eine planare Spulen- und Kondensatorkombination ist dem Fenster benachbart angeordnet, wobei die Achse der Spule senkrecht zu dem Fenster ist und eine leistungsfähige Funkfrequenzquelle wird an die Spule gekoppelt. Die Leistungsübertragung wird durch Impedanzanpassung und Abstimmung zum Bereitstellen einer Resonanz, beispielsweise bei 13,56 MHz, maximiert. Einlaßöffnungen führen ein Prozeßgas zum Inneren der Kammer. Ein sich änderndes magnetisches Feld wird durch die Spule in dem Prozeßgas bei Leistungspegeln induziert, die ausreichen, ein Plasma des Prozeßgases mit einem zirkulierenden Fluß an Elektronen zu erzeugen. Die Elektronenbewegung ist auf eine Ebene parallel zur planaren Spule eng beschränkt, so daß die Übertragung kinetischer Energie in Nicht-Plasma-Richtungen minimal ist. Der Fluß an Elektronen ist planar, wenn auch ringförmig, und hat deshalb ein Auge in der Achse mit einem niedrigeren Energiepegel als die anderen Teile des planaren Plasmas. Das Auge ist mit einer in einer Spirale gewundenen planaren Spule mit der Achse der Spule senkrecht zur Plasmaebene, wie in Fig. 1, 3, 6 und 7 des Patentes gezeigt, unvermeidbar.
Ein sehr übliches Verfahren zum Beschleunigen freier Elektronen für Plasmen bei der Halbleiter-Waferverarbeitung besteht darin, ein funkfrequentes elektrisches (HF-)Feld zwischen einem Paar elektrisch leitfähiger Platten, oder Elektroden, an gegenüberliegenden Seiten einer Verarbeitungskammer anzulegen, die mit einem Niedrigdruckprozeßgas gefüllt wurde. Ein zu verarbeitender Wafer ist typischerweise auf einer dieser Elektroden befestigt. Somit wird das elektrische HF-Feld die Elektronen in dem Raum zwischen den Elektroden mit einer Ionisationsenergie senkrecht zur Oberfläche des Wafers beschleunigen. Die beschleunigten Elektronen werden mit Prozeßgasmolekülen bei einer von der Gastemperatur abhängigen Frequenz kollidieren. Die Kollisionen erzeugen Ionen des Gases, das das Plasma bildet. Wenn die Elektronen auf den Wafer treffen, werden diese eingefangen und dies führt zu einer negativen elektrischen, auf dem Wafer ausgebildeten Ladung. Eine derartige Ladung wird die in der Nähe in dem Plasma zirkulierenden positiven Ionen anziehen und diese bei hoher Geschwindigkeit auf den Wafer ziehen. Ob die daraus folgenden Ioneneinschläge zum Ätzen, Abscheiden oder zu anderen Wirkungen führen, ist eine Funktion des ionenerzeugenden Gastyps, der Oberflächenmaterialien auf dem Wafer und anderer Verfahrensbedingungen.
Ein einziges elektrisches HF-Feld bestimmt die Pegel sowohl des Ionenflusses, der der Anzahl von Ionen entspricht, und des Ionenfeldes, das der Energie entspricht, mit welcher die Ionen den Wafer treffen. Unabhängige Steuerung des Ionenflusses und -feldes ist daher nicht einfach zu erreichen. Jedoch kann eine teilweise unabhängige Steuerung des Ionenflusses und -feldes durch Verändern des Gasdruckes erreicht werden. Wenn der Gasdruck gesenkt wird, steigt der Abstand zwischen den Molekülen an, so daß Elektronenkollisionen mit Molekülen weniger häufig auftreten. Da weniger Kollisionen entstehen, werden weniger Ionen erzeugt. Somit ist das Verhältnis des Ionenflusses zum Ionenfeld im allgemeinen erniedrigt. Bei Drücken von unter ungefähr 20 Pascal (0,15 Torr) wird das Verhältnis des Ionenflusses zum Ionenfeld extrem niedrig. Da Drücke unter 20 Pascal zunehmend wichtiger werden, wenn Halbleiterbauteil-Linienbreiten abnehmen, hat das einfache Parallelplattenverfahren der Plasmaherstellung erkennbare Beschränkungen. Verschiedene Verfahren wurden entwickelt, um die Niedrigdruckbeschränkungen des Parallelplattensystems zu umgehen.
In einer Anordnung zur Erzeugung magnetisch unterstützten Plasmas, die auch als magnetisch unterstütztes reaktives Ionenätzen bezeichnet wird (magnetically enhanced reactive Ion-Etching, MERIE) wird ein feststehendes transversales magnetisches Feld verwendet, um den Pfad energetischer Elektronen zu krümmen. Dies erhöht den Abstand, welchen die Elektronen zurücklegen müssen, bevor sie letztlich in einer Elektrodenplatte oder einem Wafer enden. Während dieses Verfahren das Verhältnis des Ionenflusses zum Ionenfeld erhöht und einen niedrigeren Druck für ein vorgegebenes Verhältnis erlaubt, bestehen schwerwiegende Verarbeitungsnachteile durch das intensive transversale magnetische Feld in derart enger Nähe zu einem Wafer. Dies beschränkt den unteren Druck auf ungefähr 4 Pascal. Die jeweils für Maydan et al. und Foster et al. erteilten US-Patente 4,668,338 und 4,668,365 beschreiben einen gemeinsamen Lösungsversuch für die magnetisch unterstützte Plasmaerzeugung.
Ein anderes herkömmliches Verfahren, Elektronenzyklotronresonanz (Electron-Cyclotron-Resonance, ECR) genannt, verwendet Mikrowellen, um die freien Elektronen, die das Plasma erzeugen, in einem sorgfältig kontrollierten transversalen Magnetfeld zu beschleunigen. Das Magnetfeld wird derart eingestellt, daß die Rotationsfrequenz eines Elektrons, bei Ablenkung durch das magnetische Feld, gleich der Mikrowellenfrequenz ist. Die Elektronenenergie wird durch eine Anzahl von Mikrowellenzyklen erhöht, bis diese die Ionisationsenergie erreicht. Bei einer Mikrowellenfrequenz von 2,45 GHz beträgt das magnetische ECR-Feld 875 Gauß. Die ECR- Plasmaerzeugung wird häufig mit einer separaten HF- Leistungsquelle kombiniert, die an die Elektrode, auf welcher der Wafer befestigt ist, angelegt wird. Die separate Quelle stellt die Ionenbeschleunigung bereit. Die Mikrowellenleistung steuert somit in unabhängiger Weise den Ionenfluß und die HF- Leistung steuert in unabhängiger Weise das Ionenfeld. Obwohl dieses Verfahren unabhängige Fluß- und Feldsteuerung zur Verfügung stellt und bei niedrigen Drücken arbeiten kann, benötigt es ebenfalls große und teure Magnete zusammen mit kritischen Justierungen für den korrekten Betrieb.
Andere Verfahren, insbesondere induktiv gekoppeltes Plasma (ICP) und spiralförmige Induktorresonatoren (Helicon) werden ebenfalls zur Plasmaerzeugung verwendet, jedoch hat jedes im Hinblick auf den Wirkungsgrad, die Fähigkeit wirkungsvoll Niederdruckplasmen zu erzeugen und die Fähigkeit, unabhängige Fluß- und Feldsteuerung zur Verfügung zu stellen, Nachteile. Siehe z. B. die US-PS 4,421,690, die eine Plasmaerzeugungsvorrichtung (ICP) mit induktiv gekoppeltem Plasma beschreibt und die US-PS 4,160,392, die eine Plasmaerzeugungsanordnung mit einem spiralförmigen induktiven Resonator beschreibt.
Herkömmliche Plasmaerzeugungssysteme sind im Hinblick auf ihren Wirkungsgrad bei der Erzeugung eines gleichförmigen planaren Plasmas nicht zufriedenstellend. Die unabhängige Steuerung des Ionenflusses und des Feldes in einer einfachen, preisgünstigen Anordnung ohne kritische Justierungen fehlt ebenfalls. Obwohl das System mit planarem magnetisch gekoppelten Plasma bei Verwendung einer planaren spiralförmigen Spule die meisten dieser Ziele erfüllen kann, besteht eine Diskontinuität der Elektronenbeschleunigung im Zentrum der Spule, die eine Abweichung der erwünschten Plasmagleichförmigkeit darstellt. Jedoch existieren Vorteile beim Bereitstellen transversaler Elektronenbeschleunigung durch die Verwendung eines gesteuerten Betrags an sich ändernden sowohl elektrischen als auch magnetischen Feldern. Bei Drücken unterhalb von ungefähr 10 Pascal ist ein oszillierendes Magnetfeld beim Koppeln von Energie an ein Plasma im allgemeinen effizienter als ein oszillierendes elektrisches Feld. Jedoch kann ein oszillierendes elektrisches Feld benötigt werden, um das Plasma einzuleiten. Bei Drücken oberhalb von ungefähr 100 Pascal ist das oszillierende elektrische Feld effizienter.
Eine Vorrichtung und ein Verfahren zur Erzeugung hochgleichförmiger Plasmen innerhalb von Halbleiteranlagen wird benötigt, um Anwendungen in Ätz-, Abscheidungs- und Ionenimplantationsanlagen zu unterstützen. Sowohl elektrische als auch magnetische oszillierende Felder zur Beschleunigung der ionisierenden Elektronen sind erwünscht. Die Vorrichtung sollte vorzugsweise eine Plasmaerzeugungsfähigkeit aufweisen, die in der Lage ist, hohe Ionenflußdichten über einen weiten Druckbereich mit einer niedrigen, auf einen zu verarbeitenden Wafer gerichteter Ionenenergie zu erzeugen. Die Fähigkeit zur unabhängigen Ionenbeschleunigung wird zur unabhängigen Steuerung des Ionenflusses und -feldes zur Handhabung der auf den Wafer treffenden Ionen benötigt. Eine einfache Justierung und ein einfacher Betrieb, sowie ein effizienter Betrieb im Hinblick auf Leistungsnutzung und geringe Größe sind ebenfalls Ziele, die realisiert werden sollen.
Demzufolge werden Verbesserungen in der Plasmaerzeugungstechnologie benötigt. Die vorliegende Erfindung bewältigt die herkömmlichen, mit der Plasmaerzeugung verbundenen Probleme.
Deshalb besteht eine Aufgabe der vorliegenden Erfindung darin, ein Plasma durch Elektronenbeschleunigung zur Verfügung zu stellen, das im wesentlichen parallel zu und beabstandet von einem Halbleiterwafer ist, der einem durch das Plasma ermöglichten Verfahren unterliegt.
In Kürze dargestellt enthält eine Ausführungsform der vorliegenden Erfindung ein Plasmasystem, das eine Hauptspule mit einer abgeflachten Seite und einen Kondensator umfaßt, die einen abgestimmten Kreis bilden, wobei durch eine HF- Frequenzanpassungsstufe durch einen Generator HF-Energie eingekoppelt wird. Eine Verarbeitungskammer mit einem Quarzfenster, die ein Niedrigdruckgas enthält, ist der flachen Seite der Hauptspule benachbart, wobei die Achse der Spule parallel zu der Oberfläche des Fensters angeordnet ist und ein zweidimensionales Plasma in der Form einer kreisförmigen Scheibe durch eine hohe Änderungsrate des in der Hauptspule fließenden Stroms gezündet bzw. initiiert und aufrechterhalten wird. Eine in der Kammer dem Fenster gegenüberliegend angeordnete Elektrode wird zum Befestigen eines Halbleiterwafers für das Bearbeiten verwendet und ein an die Elektrode angelegtes Potential steuert die Ionenenergie der angezogenen und aus dem Plasma heraus beschleunigten Ionen in unabhängiger Weise.
Ein Vorteil der vorliegenden Erfindung besteht darin, daß diese ein System zur Verfügung stellt, in welchem die Ionendichte und Energie unabhängig steuerbar sind.
Ein weiterer Vorteil der vorliegenden Erfindung besteht darin, daß ein System zur Verfügung gestellt wird, in welchem ein planares bzw. ebenes Plasma erzeugt wird, das über mindestens zwölf Inch Durchmesser gleichförmig sein kann.
Ein weiterer Vorteil der vorliegenden Erfindung besteht darin, daß ein System zur Verfügung gestellt wird, in welchem Plasmen bei Verarbeitungskammerdrücken in der Größenordnung von bis zu mindestens einem Zehntel Pascal gezündet und aufrechterhalten werden können.
Diese und weitere Aufgaben und Vorteile der vorliegenden Erfindung werden nachstehend in Zusammenschau mit den beigefügten Zeichnungen anhand von bevorzugten Ausführungsbeispielen detaillierter beschrieben.
Es zeigen:
Fig. 1 eine Seitenansicht eines Plasmaätzsystems gemäß der vorliegenden Erfindung in aufgebrochener Darstellung,
Fig. 2A, 2B und 2C jeweils perspektivische Seitenansichten eines Induktors und einer Spule, die relativ zu einem benachbarten Fenster eines Gehäuses angeordnet sind, in welchen der Induktor und die Spule den in Fig. 1 dargestellten ähnlich sind,
Fig. 3 eine perspektivische Darstellung einer alternativen Spulen- und Kondensatorausführungsform der vorliegenden Erfindung und
Fig. 4 eine perspektivische Darstellung einer nochmals weiteren alternativen Spulen- und Kondensatorausführungsform der vorliegenden Erfindung.
Fig. 1 stellt ein Plasmaätzsystem als Ausführungsform der vorliegenden Erfindung dar, auf welches unter dem allgemeinen Bezugszeichen 10 verwiesen wird, das einen Vakuumkondensator 12 mit veränderbarem Wert hat, der durch einen Motor 14 über eine Getriebeübersetzung 16 eingestellt wird, und eine Hauptspule 18 hat. Der Kondensator 12 muß ein hochspannungsfester, hochstromfester Typ in einem Bereich von drei bis dreißig Picofarad sein. Ein Hersteller geeigneter Bauteile ist die Jennings Corporation (San Jose, CA). Die Kosten derartiger einstellbarer Vakuumkondensatoren sind beträchtlich. Für eine kostengünstigere aber dennoch akzeptable Alternative kann ein Parallel-Seriell-Netzwerk aus Festwert-HF- Übertragerkondensatoren den variablen Vakuumkondensator 12 ersetzen, wie es z. B. von High Energy Components (HEC) und CRL- Components hergestellt wird, wobei die Justierungen in einer externen HF-Anpassungsstufe verwendet werden. Beispielsweise können zwei parallele Ketten aus vier, fünfzehn-KV- Kondensatoren, die jeweils einen Wert von fünfzig Picofarad haben, mit zusätzlichen kleineren Kondensatoren die zur Grobabstimmung hinzugefügt werden, verwendet werden. Diese Kombination ergab gute Resultate. (Diese Kombination ergibt bei sechzig KV einen kombinierten Kondensator von ungefähr achtundzwanzig Picofarad.) Die Windungen der Hauptspule 18 sind in dem äußeren Bereich der Hauptspule 18 kleiner und enger beieinander, um ein magnetisches Feld 20 zu formen, das wiederum ein planares Plasma 22 gleichförmiger macht. Typischerweise sind die äußeren Windungen mit 60% des Abstandes der Windungen in der Mitte beabstandet.
Die Hauptspule 18 kann aus 3/8 inch Weich-Kupferrohren bestehen, wie sie in üblicher Weise für Hausinstallationen verwendet werden. Bei HF-Frequenzen fließt der Hauptteil des Stromes in der Oberfläche, so daß die Rohre sehr gut funktionieren und wie benötigt geformt und abgeflacht werden können. In dem einen Extremfall sollte die Hauptspule 18 ausreichende Windungen haben, um das Plasma 22 gleichförmig zu halten, wobei ungefähr fünf Windungen das Minimum darstellen. Als Maximum sollte die Hauptspule 18 nicht so viele Windungen enthalten, daß die freien Zwischenabstände zwischen den Wendungen so klein sind, daß Überschläge auftreten. Ungefähr fünfzehn Windungen stellt das Maximum dar. Die Hauptspule 18 ist typischerweise zwanzig bis fünfunddreißig Zentimeter lang, mit einer Breite an ihrem breitesten Teil von ebenfalls typischerweise zwanzig bis fünfunddreißig Zentimetern und einer Verjüngung auf zehn bis zwanzig Zentimeter an jedem Ende. Die sich ergebende Induktivität beträgt ungefähr vier bis zwanzig Microhenry. Der freie Zwischenraum zwischen den Windungen kann durch Plastikabstandsstücke aufrecht erhalten werden. Eine Plastikspulenform kann verwendet werden, um der Hauptspule 18 ihre Form zu geben und um ihre mechanische Festigkeit derart zu erhöhen, daß sich die Form beim Betrieb oder bei der Handhabung nicht ändert. Überschläge bzw. Funkenstrecken oder Entladungsstrecken können, falls diese zum Problem werden, durch Immersion der Hauptspule 18 in einem Ölbad vermieden werden. Die Stromtragfähigkeit der Hauptspule 18 kann durch Silberbeschichtung der Kupferrohre, aus der sie aufgebaut ist, verbessert werden. Die Form und Größe der Hauptspule 18 und der Abstand zwischen den Windungen wird typischerweise empirisch bestimmt, um die erwünschten magnetischen und elektrischen Feldverteilungen für eine bestimmte Anwendung zu erhalten.
Die Hauptspule 18 und der Kondensator 12 bilden eine Resonatorschaltung, deren Eigenfrequenz durch den Motor 14 abstimmbar ist. Ein Hochfrequenz-(HF)-Generator 23 stellt einer HF-Anpassungsstufe 24, die eine optimale Leistungsübertragung in die Hauptspule 18 bereitstellt, Leistung zur Verfügung. Der HF-Generator 23 kann von einem beim Betrieb von Halbleiterverarbeitungsanlagen allgemein verwendeten Typ sein und sollte von ungefähr 13,56 MHz bis 100 MHz, wenngleich 13,56 MHz bevorzugt wird, betreibbar sein. Der HF-Generator 23 wird üblicherweise eine niedrige Ausgangsimpedanz, typischerweise fünfzig Ohm, haben und sollte in der Lage sein, 2,5 Kilowatt bereitzustellen. Die HF-Anpassungsstufe 24 kann eine von der ENI Corporation vertriebene MATCHWORKS 25 sein, die eine automatische Abstimm- und eine automatische Anpassungsfunktion hat. Die HF-Anpassungsstufe 24 speist eine Koppelspule 26. Die Koppelspule 26 ist vorzugsweise nahe dem Zentrum der Hauptspule 18 befestigt und kann derart angeordnet werden, daß diese drehbar ist, um die Kopplung zu variieren, die zwischen dieser und der Hauptspule 18 auftritt. Ein Aluminiumzylinder 29 trägt die HF-Anpassungsstufe 24 und unterstützt den Einschluß der Strahlung elektromagnetischer Störungen (electromagnetic Interference, EMI). Der Motor 14 wird verwendet, um die Resonanzschaltung an die Frequenz des HF-Generators 24 anzupassen. Die sich ergebende transformatorähnliche Kopplung ist so beschaffen, daß das Feld 20 leistungsstark genug ist, ein Plasma 22 innerhalb der Kammer 30 zu zünden und aufrechtzuerhalten. Ein Isolator 32 ist zwischen einer elektrostatischen Abschirmung 34 und der Hauptspule 18 angeordnet, um Überschläge zu verhindern. Die elektrostatische Abschirmung 34 läßt nur elektromagnetische Felder durch und hält elektrostatische Felder vom Eintritt in die Kammer 30 ab. Ein planares Fenster 36, vorzugsweise aus Quarz, dichtet das Innere der Kammer 30 vor der Außenatmosphäre ab und gestattet den Einschluß von Gasen bei Vakuumdrücken. Das Fenster 36 gestattet dem magnetischen Feld 20 den Eintritt in die Kammer 30 und ist typischerweise größer als die Länge und Breite der Hauptspule 18. Die Hauptspule 18 ist vorzugsweise auf einer dem Fenster 36 benachbarten Seite derart abgeflacht, daß der Durchtritt des Feldes 20 durch das Fenster 36 verbessert ist. Das Fenster 36 kann ebenfalls aus Keramik hergestellt sein. Jedoch gestattet ein transparentes Fenster 36 die Verwendung eines Interferometers bei Lichtfrequenzen, die durch das Plasma 22 nicht gestört werden, um Messungen bezüglich des Fortschreitens der Arbeiten innerhalb der Kammer 30 durchzuführen.
Das System 10 enthält ferner eine Elektrode 38, die von der Kammer 30 durch einen Ringisolator 40 elektrisch isoliert ist. Ein Anschluß 42 gestattet das Anlegen externer elektrischer Potentiale an die Elektrode 38 in einer solchen Weise, daß durch das Plasma 22 erzeugte Ionen auf einen Wafer 44 zu angezogen werden können, der auf einer Elektrode 38 befestigt ist. Die longitudinale Achse X-X der Hauptspule 18 ist im wesentlichen parallel zum Plasma 22, dem Fenster 36 und dem Wafer 44 ausgerichtet. Elektronen innerhalb des Plasmas 22 oszillieren typischerweise parallel zum Wafer 44 über einen Abschnitt von ungefähr 4,5 Zentimetern hin und her. Dieser relativ große Abstand der Elektronenoszillation unterstützt die Gleichförmigkeit des Plasmas 22. Die Rate an Stromänderung (dI/dt) innerhalb der Hauptspule 18 erzeugt den prinzipiellen Koppelungsmechanismus mit diesen Elektronen. Die sogenannte Nicht-Gleichförmigkeit des Systems 10 wurde als geringer, bzw. als besser als 5% gemessen. Das Plasma 22 ist im wesentlichen zweidimensional und erstreckt sich vorzugsweise nicht in den Bereich des Wafers 44. In dem Plasma 22 durch das Hin- und Herlaufen der Elektronen erzeugte Ionen werden typischerweise in eine Richtung "C" durch die Elektrode 38 beschleunigt. Innerhalb der Kammer 30 existieren vorzugsweise eine oder mehrere Oberflächen zum Befestigen eines zu bearbeitenden Gegenstandes oder von zu bearbeitenden Gegenständen. Der Wafer 44 ist typischerweise ein Silicium-Wafer mit sechs inch Durchmesser. Andere Ausführungsformen der vorliegenden Erfindung können Plasmen erzeugen, die für Wafer mit zwölf inch Durchmesser und größer geeignet sind. Um die größeren Wafer- Abmessungen aufzunehmen, wird die Größe des Systems 10, wie z. B. die Größe der Hauptspule 10 und der Kammer 30 proportional vergrößert. Die Flußdichte der im Plasma 22 erzeugten Ionen kann durch Einstellen der Leistungsabgabe des Generators 23 gesteuert werden. Die Ionenenergie der auf den Wafer 44 auftreffenden Ionen kann durch Einstellen des an die Elektrode 38 angelegten Potentials in unabhängiger Weise gesteuert werden. Eine Quelle von Prozeßgas 46 versorgt die Kammer 30 durch eine Einlaßöffnung 48. Eine Vakuumpumpe 50 regelt den Druck innerhalb der Kammer 30 durch eine Auslaßöffnung 52. Systeme für das Zuführen von Prozeßgasen und zum Steuern des Druckes innerhalb des Gehäuses sind auf diesem Fachgebiet gut bekannt und benötigen keine weitere Beschreibung. Die Ionendichte wird natürlich von den in der Kammer 30 verwendeten Gasen und Drücken abhängen, da die Dichte des Plasmas 22 von diesen Parametern abhängt.
Die Fig. 2A, 2B und 2C stellen eine Hauptspule 60 und einen Kondensator 62 dar, die in ihrer Funktion und in ihrem Zweck der Hauptspule 18 und dem Kondensator 12 aus Fig. 1 ähnlich sind. Ein Fenster 64 gestattet es einem durch die Spule 60 erzeugten Feld, in ein Gehäuse 66 einzutreten, in welchem ein zu bearbeitender Wafer 68 angeordnet ist. Die Hauptspule 60 umfaßt eine Vielzahl von Windungen 70 bis 77. Wie am besten aus Fig. 2C zu erkennen ist, sind die Windungen 70 bis 77 dem Fenster 64 benachbart abgeflacht. Dies gestattet eine stärkere magnetische Feldänderung für den Eintritt in das Gehäuse 66.
Die Fig. 3 und 4 stellen alternative Ausführungsformen des in Fig. 2A bis 2C Gezeigten dar. In Fig. 3 umfaßt eine Hauptspule 80 eine Serie von Abgriffen 81 bis 87, die mit jeder Windung verbunden sind. Ein Kondensator 88 ist parallel zur Hauptspule 80 angeschlossen. Ein Abgriff oder ein Satz von Abgriffen nahe dem Zentrum bzw. der Mitte der Hauptspule 80 kann somit verwendet werden, um einen Pfad nach Masse oder zu einer zweiten Quelle elektrostatischer HF-Leistung zur Verfügung zu stellen, um die Ionen in der Kammer 30 zu beschleunigen. Die Abgriffe 81 bis 87 sind jeweils mit einer Serie parallel ausgerichteter langgestreckter Platten 91 bis 97 auf einem dielektrischen Fenster 98 verbunden. Die elektrischen Verbindungen der Platten 91 bis 97 zu Punkten an der Hauptspule 80 sind derart vorgenommen, daß ein Anteil der Spannung über der resonanten Schaltung mehr oder weniger gleichmäßig über die Platten verteilt ist und ein planares Plasma innerhalb eines in enger Nähe und parallel zu dem Fenster 98 angeordneten Gehäuses induzieren wird.
Fig. 4 zeigt eine alternative Abgriffanordnung. Diese Abgriffe können ebenfalls vorteilhaft bei Spulen 18 und 60 verwendet werden. Die Plasmaerzeugung unter Verwendung elektrischer Feldkopplung ist manchmal effektiver als die magnetische Feldkopplung, insbesondere für Gasdrücke oberhalb einiger hundert Pascal. In Fig. 4 umfaßt eine Hauptspule 100 ein Paar Abgriffe 102 und 104, die jeweils mit der Außenseite einer Spulenwindung verbunden sind. Ein Kondensator 106 ist an der Spule 100 angeschlossen und bildet eine induktiv- kapazitive-resonante Schaltung. Eine sehr große Spannung wird sich zwischen den Abgriffen 102 und 104 entwickeln, wenn hochfrequente Leistung bei der Resonanzfrequenz, beispielsweise durch das in Fig. 1 dargestellte Verfahren bzw. die in Fig. 1 dargestellte Vorrichtung, in die Spule 100 eingekoppelt wird. Die Abgriffe 102 und 104 sind mit einer Vielzahl langgestreckter planarer Platten 108, 110, 112 und 114 auf einer Ebene mit einem dielektrischen planaren Fenster 116 verbunden. Die elektrischen Verbindungen der Platten 108, 110, 112 und 114 zur Hauptspule 80 koppeln eine Spannung über der resonanten Schaltung innerhalb des das Gas einschließenden Gehäuses ein, um ein planares Plasma darin zu erzeugen. Das Plasma ist parallel zu dem Fenster 116 Das in dem Gasgehäuse bzw. in der Kammer für das Gas induzierte elektrostatische Feld ist hauptsächlich für die Erzeugung des Plasmas verantwortlich und das magnetische Feld der Spule 100 wird durch Trennen der Spule 100 von der das Gas enthaltenden Kammer reduziert oder herausgefiltert. Die Platten 108, 110, 112 und 114 sind wie Abschnitte eines einzelnen Kreises geformt, um eine äußere kreisförmige Grenze für das planare Plasma zu unterstützen. Diese besondere Form ist bei der Halbleiterwafer-Bearbeitung nützlich, da die Wafer typischerweise runde Scheiben aus einem zylindrischen Körper eines Kristalls aus Halbleitermaterial sind.
Die Theorie des Betriebs der Ausführungsformen der vorliegenden Erfindung kann in Termen der mittleren freien Weglänge der Elektronen in dem Prozeßgas, des Elektronen- Oszillationsabstands für die Ionisationsenergie und den Dimensionen der Kammer 30 verstanden werden. Die mittlere freie Weglänge der Elektronen ist als die mittlere Distanz definiert, die ein Elektron zwischen Kollisionen mit den Gasmolekülen zurücklegt. Diese ist durch den Gasdruck in der Kammer bestimmt. Die mittlere freie Weglänge der Elektronen wird ferner durch die Größe der Gasmoleküle, die Energie der Elektronen und durch andere Faktoren beeinflußt. Für einen Druck von einem Pascal und einer Maximumenergie von 10 Elektronenvolt ist der Abstand der mittleren freien Weglänge der Elektronen bei üblichen Prozeßgasen ungefähr drei Zentimeter. Dieser Abstand ändert sich invers (bzw. im wesentlichen umgekehrt proportional) zum Druck. Für eine sinusförmige Elektronenoszillation mit zehn Elektronenvolt Spitzen-Elektronenenergie bei einer HF-Frequenz von 13,56 MHz (eine üblicherweise verwendete Plasmaerzeugungsfrequenz) beträgt der Elektronenoszillationsabstand ungefähr 4,4 Zentimeter. Da die Masse eines Ions so viel größer als die Masse eines Elektron ist, wird unter der Voraussetzung, daß die leistungseinkoppelnde Frequenz bei 13,56 MHz bleibt, keine signifikante Bewegung der positiven Ionen innerhalb des Plasmas 22 stattfinden.
Sowohl die mittlere freie Weglänge der Elektronen bei einem Druck von einem Pascal als auch der Elektronen- Oszillationsabstand für die Ionisierungsenergie sind größer als der normalerweise zwischen parallelen Plattenelektroden in einer Plasmakammer verwendete Abstand. Deshalb ist eine wirkungsvolle Plasmaerzeugung bei Drücken von einem Pascal oder weniger allein durch Anlegen von HF-Leistung zwischen einem Satz paralleler Elektroden nicht möglich. Bei der vorliegenden Erfindung, wie z. B. dem System 10, betragen die Kammerabmessungen parallel zu den Elektroden typischerweise zwanzig bis vierzig Zentimeter, so daß Elektronen mit Ionisierungsenergie mehrfache Oszillationen parallel zum Wafer 44, ohne auf die Elektroden oder die Kammerwände aufzutreffen, durchführen können. Dies stellt hohen Wirkungsgrad im Hinblick auf die Wandelung von Elektronenenergie in Ionisationsenergie, selbst für die relativ großen mittleren freien Weglängen der Elektronen bei niedrigem Druck, zur Verfügung.
Das die Elektronen aufgrund des elektrischen Feldes beschleunigende Feld ist im wesentlichen parallel zu der Achse der Hauptspule ausgerichtet, während das die Elektronen aufgrund des sich ändernden magnetischen Feldes beschleunigende Feld im wesentlichen parallel zu den Windungen der Hauptspule benachbart zu dem Fenster ist, das im wesentlichen senkrecht zu der Achse der Hauptspule verläuft. Somit kann entweder eines oder beide der sich ändernden elektrischen und magnetischen Felder der Hauptspule 18 zur im wesentlichen zur Oberfläche des Fensters 36 parallelen Elektronenbeschleunigung in dem Prozeßgas innerhalb der Kammer 30 verwendet werden. Die maximale Kopplung sowohl elektrischer als auch magnetischer Felder aus der Hauptspule durch das Fenster in die Kammer wird mit der an einer Seite zum Bilden einer Serie von Stegen benachbart zu dem Fenster abgeflachten Hauptspule zur Verfügung gestellt.
Da verschiedene Anwendungen unter verschiedenen Bedingungen sowohl von dem elektrischen als auch dem magnetischen Feld profitieren können, kann jedes Feld dominierend ausgebildet werden. Die elektrische Abschirmung 34 Fig. 1) umfaßt isolierte Leiter, die im wesentlichen parallel zu der Achse der Hauptspule 18 und dem Fenster 36 verlaufen. Das sich ändernde elektrische Feld, welches durch das Fenster 36 in die Kammer 30 eintritt, wird minimiert und das sich ändernde magnetische Feld dominiert. Fig. 3 zeigt, daß durch Befestigung von Stegen auf Ständern und deren Befestigung entlang der Hauptspule parallel zu der Achse der Hauptspule, wobei die Hauptspule von dem Fenster 36 durch die Länge der Stege beabstandet ist, das durch das Fenster tretende magnetische Feld reduziert wird und das elektrische Feld dominieren kann.
Die zum Beschleunigen der Ionen senkrecht zu dem Wafer verwendete unabhängige HF-Quelle wird zwischen der Elektrode, auf welcher der Wafer angeordnet ist, und eine entweder durch die parallelen Stege der Hauptspule benachbart zu dem Fenster gebildeten Elektrode oder durch eine elektrische Abschirmung zwischen dem Fenster und der Hauptspule gebildet. Diese unabhängige HF-Quelle kann an entweder an die Wafer-Elektrode 38 oder die durch die Stege der Hauptspule oder die elektrische Abschirmung gebildete Elektrode angelegt werden.
Die Trennung beider Funktionen, Ionendichte (-fluß, Fluß) und Ionenenergie (-feld, Feld) ist möglich, da das Plasma selbst einen relativ guten Leiter bildet, der von den Kammeroberflächen und dem Wafer durch eine Umhüllung relativ hoher Impedanz, oder einem Leerraum, beabstandet ist, so daß die Spannung von einer Seite des Plasmas zur anderen relativ gering ist, obwohl die Spannung zwischen der durch die Stege gebildeten Elektrode und der anderen Elektrode groß sein kann.
Eine Ausführungsform der vorliegenden Erfindung besteht aus einem Plasmasystem, das eine Hauptspule mit einer abgeflachten Seite und einen Parallelkondensator enthält, die einen abgestimmten Kreis bilden, wobei in diese durch eine HF- Frequenz-Anpassungsstufe durch einen Generator Energie eingekoppelt wird. Eine Verarbeitungskammer mit einem Quarzglas, die ein Gas mit niedrigem Druck umschließt, ist der flachen Seite der Hauptspule benachbart und ein zweidimensionales Plasma in der Form einer kreisförmigen Scheibe wird durch eine hohe Änderungsrate des durch die Hauptspule fließenden Stroms gezündet und aufrechterhalten. Eine in der Kammer dem Fenster gegenüberliegende Elektrode wird zum Befestigen eines Halbleiterwafers für die Bearbeitung verwendet und ein an die Elektrode angelegtes Potential steuert die Ionenenergie angezogener und aus dem Plasma heraus beschleunigter Ionen.

Claims (7)

1. Vorrichtung zum Erzeugen eines Plasmas mit einer gasdichten Umhüllung, die wenigstens zum Teil durch ein im wesentlichen ebenes elektrisch isolierendes Fenster berandet ist,
einer Einrichtung zum Einführen einer Vielzahl von Prozeßgasen in die Umhüllung,
einer Einrichtung zum Steuern des Druckes des Prozeßgases,
einer außerhalb in der Nähe der Umhüllung und zu dem isolierenden Fenster benachbart befestigten Spule, wobei eine Achse der Spule im wesentlichen parallel zu dem isolierenden Fenster angeordnet ist, und
einer Einrichtung zum Koppeln einer hochfrequenten Leistungsquelle an die Spule, um das Fließen eines Stroms durch die Spule zu bewirken, wobei ein Plasma gezündet und parallel zu dem isolierenden Fenster aufrechterhalten werden kann.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß eine dem Fenster benachbarte Seite der Spule abgeflacht ist, um die Kopplung zwischen der Spule und dem Plasma innerhalb der Umhüllung zu verbessern.
3. Vorrichtung nach Anspruch 1 oder 2, gekennzeichnet durch eine Einrichtung zum Erzeugen eines stabilen magnetischen Feldes mit einer Feldrichtung im wesentlichen senkrecht zu dem isolierenden Fenster.
4. Vorrichtung nach Anspruch 1, 2 oder 3, dadurch gekennzeichnet, daß eine Elektrode innerhalb der Umhüllung dem isolierenden Fenster gegenüberliegend befestigt ist und eine Verbindung zu einer HF-Leistungsquelle hat, wobei auf innerhalb des Plasmas erzeugte Ionen eine Beschleunigungsenergie in Richtung der Elektrode aufgebracht werden kann.
5. Vorrichtung nach Anspruch einem der vorstehenden Ansprüche, dadurch gekennzeichnet, daß eine hochfrequente Leistungsquelle zwischen einem Punkt der Spule und einem durch das Potential der leitfähigen Abschnitte der Umhüllung definierten Massepotential angelegt ist.
6. Vorrichtung nach Anspruch einem der vorstehenden Ansprüche, dadurch gekennzeichnet, daß eine elektrostatische Abschirmung zwischen der Spule und der Umhüllung angeordnet ist, in welcher nur ein durch die Spule erzeugtes elektromagnetisches Feld stark genug ist, um ein planares Plasma zu zünden und aufrechtzuerhalten.
7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß eine isolierende Schicht zwischen der Spule und der elektrostatischen Abschirmung zum Verhindern elektrischer Überschläge von der Spule zur elektrostatischen Abschirmung angeordnet ist.
DE4319717A 1992-06-18 1993-06-15 Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters Ceased DE4319717A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/900,131 US5277751A (en) 1992-06-18 1992-06-18 Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window

Publications (1)

Publication Number Publication Date
DE4319717A1 true DE4319717A1 (de) 1993-12-23

Family

ID=25412020

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4319717A Ceased DE4319717A1 (de) 1992-06-18 1993-06-15 Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters

Country Status (3)

Country Link
US (1) US5277751A (de)
JP (1) JP2591579B2 (de)
DE (1) DE4319717A1 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0838839A2 (de) * 1996-09-27 1998-04-29 Surface Technology Systems Limited Plasmabearbeitungsgerät
DE10058768A1 (de) * 2000-11-27 2002-06-06 Singulus Technologies Ag Verfahren zum Zünden eines Plasmas
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
DE102015003379A1 (de) * 2015-03-17 2016-09-22 Manz Ag Plasmaerzeugungsvorrichtung mit einer Induktionsspule

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6136140A (en) * 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
USRE40963E1 (en) * 1993-01-12 2009-11-10 Tokyo Electron Limited Method for plasma processing by shaping an induced electric field
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
TW273067B (de) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5472509A (en) * 1993-11-30 1995-12-05 Neomecs Incorporated Gas plasma apparatus with movable film liners
JPH07161493A (ja) * 1993-12-08 1995-06-23 Fujitsu Ltd プラズマ発生装置及び方法
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5521351A (en) * 1994-08-30 1996-05-28 Wisconsin Alumni Research Foundation Method and apparatus for plasma surface treatment of the interior of hollow forms
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
EP0710055B1 (de) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasmareaktoren zur Halbleiterscheibenbehandlung
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
KR100606622B1 (ko) * 1994-12-06 2006-12-01 램 리써치 코포레이션 대형제품용플라스마처리기
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5504341A (en) * 1995-02-17 1996-04-02 Zimec Consulting, Inc. Producing RF electric fields suitable for accelerating atomic and molecular ions in an ion implantation system
US5667630A (en) * 1995-04-28 1997-09-16 Vanguard International Semiconductor Corporation Low charge-up reactive ion metal etch process
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5674357A (en) * 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
US5759921A (en) * 1995-09-21 1998-06-02 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
US5837615A (en) * 1995-09-21 1998-11-17 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US6342135B1 (en) 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US5922223A (en) * 1995-11-16 1999-07-13 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3437376B2 (ja) 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
JP2845199B2 (ja) * 1996-06-14 1999-01-13 日本電気株式会社 ドライエッチング装置およびドライエッチング方法
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US5970907A (en) * 1997-01-27 1999-10-26 Canon Kabushiki Kaisha Plasma processing apparatus
CN1118090C (zh) * 1997-03-17 2003-08-13 松下电器产业株式会社 等离子体处理方法及装置
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7166816B1 (en) 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6373022B2 (en) 1997-06-30 2002-04-16 Applied Materials, Inc. Plasma reactor with antenna of coil conductors of concentric helices offset along the axis of symmetry
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
KR20010032824A (ko) 1997-12-05 2001-04-25 테갈 코퍼레이션 증착 실드를 갖는 플라즈마 리액터
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6229264B1 (en) 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6319355B1 (en) 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
AU1786301A (en) * 1999-12-02 2001-06-12 Tegal Corporation Improved reactor with heated and textured electrodes and surfaces
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6451161B1 (en) * 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
GB2369575A (en) * 2000-04-20 2002-06-05 Salviac Ltd An embolic protection system
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6440219B1 (en) * 2000-06-07 2002-08-27 Simplus Systems Corporation Replaceable shielding apparatus
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6410449B1 (en) 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6348126B1 (en) 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6527912B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US8454810B2 (en) * 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
CN103906703B (zh) * 2011-10-14 2016-08-24 应用材料公司 用于对准通过静电纺丝工艺沉积的纳米线的方法和设备
KR101598463B1 (ko) * 2014-04-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN103974517A (zh) * 2014-05-22 2014-08-06 哈尔滨工业大学 高频电磁场条件下的束缚等离子体聚集器及采用该聚集器实现的聚集方法
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
WO2020084563A1 (en) * 2018-10-26 2020-04-30 Lpe S.P.A. Deposition reactor with inductors and electromagnetic shields

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2531812A1 (de) * 1974-08-02 1976-02-19 Lfe Corp Gasentladungsgeraet
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4668388A (en) * 1983-11-25 1987-05-26 Texaco Inc. High rate sludge reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
GB2231197A (en) * 1989-03-06 1990-11-07 Nordiko Ltd Plasma apparatus electrode assembly

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2531812A1 (de) * 1974-08-02 1976-02-19 Lfe Corp Gasentladungsgeraet
US4668388A (en) * 1983-11-25 1987-05-26 Texaco Inc. High rate sludge reactor
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
GB2231197A (en) * 1989-03-06 1990-11-07 Nordiko Ltd Plasma apparatus electrode assembly
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0838839A2 (de) * 1996-09-27 1998-04-29 Surface Technology Systems Limited Plasmabearbeitungsgerät
EP0838839A3 (de) * 1996-09-27 1998-05-13 Surface Technology Systems Limited Plasmabearbeitungsgerät
US6259209B1 (en) 1996-09-27 2001-07-10 Surface Technology Systems Limited Plasma processing apparatus with coils in dielectric windows
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
EP1324371A1 (de) * 1996-09-27 2003-07-02 Surface Technology Systems Plc Plasmabearbeitungsvorrichtung
DE10058768A1 (de) * 2000-11-27 2002-06-06 Singulus Technologies Ag Verfahren zum Zünden eines Plasmas
DE10058768C2 (de) * 2000-11-27 2003-08-21 Singulus Technologies Ag Verfahren zum Zünden eines Plasmas
DE102015003379A1 (de) * 2015-03-17 2016-09-22 Manz Ag Plasmaerzeugungsvorrichtung mit einer Induktionsspule

Also Published As

Publication number Publication date
US5277751A (en) 1994-01-11
JP2591579B2 (ja) 1997-03-19
JPH0684811A (ja) 1994-03-25

Similar Documents

Publication Publication Date Title
DE4319717A1 (de) Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters
DE19781667B4 (de) Plasmaerzeugungsverfahren und -gerät mit einer induktiv gekoppelten Plasmaquelle
DE60008711T2 (de) Apparat zur verbesserung der verteilung und leistung eines induktiven gekoppelten plasmas
DE19509284B4 (de) Vorrichtung zur Erzeugung eines ebenen Plasmas unter Verwendung variierender Magnetpole
DE69814687T2 (de) Plasmavorrichtung mit einem mit einer spannungsquelle verbundenen metallteil, das zwischen einer rf-plasma-anregungsquelle und dem plasma angeordnet ist
DE3708716C2 (de) Hochfrequenz-ionenquelle
DE3915477C2 (de) Vorrichtung zur Erzeugung eines Plasmas mit Mikrowellen
EP0593931B1 (de) Vorrichtung zur Erzeugung von Mikrowellenplasmen
DE4132558C1 (de)
EP2849204B1 (de) Plasmaerzeugungsvorrichtung
DE4110632A1 (de) Plasmabearbeitungseinrichtung
EP1290926B1 (de) Hochfrequenz-plasmaquelle
DE3922793A1 (de) Vorrichtung zur behandlung von halbleiterwafern
DE4107753C2 (de) Verfahren zum Betrieb einer Plasmabearbeitungseinrichtung
EP1040506B1 (de) Vorrichtung zur erzeugung angeregter/ionisierter teilchen in einem plasma
DE69838823T2 (de) Induktiv-Typ Plasmabehandlungskammer
DE69737311T2 (de) Vorrichtung zur Erzeugung eines Plasmas mit Entladung entlang einer magnetisch-neutralen Linie
DE69907687T2 (de) Plasmabearbeitungsvorrichtung mit elektrisch leitender Wand
EP1665324B1 (de) Ecr-plasmaquelle mit linearer plasmaaustrittsöffnung
DE102004043967B4 (de) Anordnung und Verfahren zur Plasmabehandlung eines Substrates
DE4403125A1 (de) Vorrichtung zur Plasmaerzeugung
DE19513345C2 (de) ECR-Ionenquelle
DE3801205C1 (en) Device for ion etching of substrates with the support of a magnetic field
DE3727542C2 (de) Vorrichtung zur mikrowellenplasmaunterstützten Gasphasenabscheidung von Schichten
DE102011004749B4 (de) Plasmabearbeitungsvorrichtung und Plasmabearbeitungsverfahren

Legal Events

Date Code Title Description
8128 New person/name/address of the agent

Representative=s name: BLUMBACH, KRAMER & PARTNER, 65193 WIESBADEN

8110 Request for examination paragraph 44
8131 Rejection