DE60024424D1 - Halbleiter-wafer etwicklungsgerät mit vertikal gestapelteentwicklungsraüme und einachsiges dual-wafer transfer system - Google Patents

Halbleiter-wafer etwicklungsgerät mit vertikal gestapelteentwicklungsraüme und einachsiges dual-wafer transfer system

Info

Publication number
DE60024424D1
DE60024424D1 DE60024424T DE60024424T DE60024424D1 DE 60024424 D1 DE60024424 D1 DE 60024424D1 DE 60024424 T DE60024424 T DE 60024424T DE 60024424 T DE60024424 T DE 60024424T DE 60024424 D1 DE60024424 D1 DE 60024424D1
Authority
DE
Germany
Prior art keywords
chamber
semiconductor wafer
wafer
transfer system
transformer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60024424T
Other languages
English (en)
Other versions
DE60024424T2 (de
Inventor
N Savage
S Menagh
R Carvalheira
A Troiani
L Cossentine
Eric R Vaughan
E Mayer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Valley Group Thermal Systems LLC
Original Assignee
Silicon Valley Group Thermal Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Valley Group Thermal Systems LLC filed Critical Silicon Valley Group Thermal Systems LLC
Application granted granted Critical
Publication of DE60024424D1 publication Critical patent/DE60024424D1/de
Publication of DE60024424T2 publication Critical patent/DE60024424T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
DE60024424T 1999-04-02 2000-03-21 Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System Expired - Fee Related DE60024424T2 (de)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US12765099P 1999-04-02 1999-04-02
US12753299P 1999-04-02 1999-04-02
US127650P 1999-04-02
US127532P 1999-04-02
US483945 2000-01-13
US09/483,945 US6610150B1 (en) 1999-04-02 2000-01-13 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
PCT/US2000/007509 WO2000060414A1 (en) 1999-04-02 2000-03-21 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system

Publications (2)

Publication Number Publication Date
DE60024424D1 true DE60024424D1 (de) 2006-01-05
DE60024424T2 DE60024424T2 (de) 2006-07-27

Family

ID=27383593

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60024424T Expired - Fee Related DE60024424T2 (de) 1999-04-02 2000-03-21 Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System

Country Status (12)

Country Link
US (3) US6610150B1 (de)
EP (1) EP1166180B1 (de)
JP (2) JP2002541657A (de)
KR (1) KR100455226B1 (de)
CN (1) CN1348552A (de)
AT (1) ATE311619T1 (de)
AU (1) AU3906900A (de)
CA (1) CA2369042A1 (de)
DE (1) DE60024424T2 (de)
IL (1) IL145678A0 (de)
TW (2) TWI251252B (de)
WO (1) WO2000060414A1 (de)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
EP1319243A2 (de) * 2000-09-15 2003-06-18 Applied Materials, Inc. Zweifachladungsschleusenvorrichtung mit doppelschlitz für prozessanlage
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020154975A1 (en) * 2001-04-18 2002-10-24 Applied Materials, Inc. Method and apparatus for wafer exchange employing stacked robot blades
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
KR100417245B1 (ko) * 2001-05-02 2004-02-05 주성엔지니어링(주) 웨이퍼 가공을 위한 클러스터 툴
ATE360885T1 (de) * 2001-05-31 2007-05-15 S E S Company Ltd Substratreinigungssystem
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
AU2003233581A1 (en) * 2002-05-21 2003-12-12 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7032287B1 (en) * 2002-07-19 2006-04-25 Nanometrics Incorporated Edge grip chuck
WO2004046416A1 (en) * 2002-11-15 2004-06-03 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
JP4303041B2 (ja) * 2003-06-18 2009-07-29 株式会社ディスコ 半導体ウエーハの加工装置
CN101894779B (zh) * 2003-08-29 2013-05-01 交叉自动控制公司 用于半导体处理的方法和装置
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
KR100699994B1 (ko) 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100583724B1 (ko) * 2003-10-29 2006-05-25 삼성전자주식회사 기판 이송 장치
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR100613343B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
US20080210168A1 (en) 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
US7675048B2 (en) 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
JP2010524201A (ja) * 2007-03-22 2010-07-15 クロッシング オートメイション, インコーポレイテッド モジュラクラスタツール
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
KR100862895B1 (ko) * 2007-08-21 2008-10-13 세메스 주식회사 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP4975605B2 (ja) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR101022314B1 (ko) * 2008-07-28 2011-03-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
JP5328726B2 (ja) * 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110052795A1 (en) * 2009-09-01 2011-03-03 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) * 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
EP2700081B1 (de) 2011-04-22 2022-11-02 ASML Netherlands B.V. Netzwerkarchitektur und protokoll für einen cluster von lithografiegeräten
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US9305815B2 (en) 2012-03-01 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Automated material handling system and method for semiconductor manufacturing
CN103276369B (zh) * 2013-05-06 2016-02-17 南方科技大学 一种pecvd镀膜系统
CN105309062B (zh) * 2013-06-07 2018-12-21 株式会社富士 电子设备组装机
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US11694907B2 (en) * 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
WO2018213014A1 (en) * 2017-05-16 2018-11-22 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3220331A (en) 1965-01-27 1965-11-30 Kulicke And Soffa Mfg Company Contact printing mask alignment apparatus for semiconductor wafer geometry
US4423701A (en) 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
DE3427057A1 (de) 1984-07-23 1986-01-23 Standard Elektrik Lorenz Ag, 7000 Stuttgart Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
JPS63128710A (ja) 1986-11-19 1988-06-01 Mitsubishi Electric Corp 反応炉
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JP2600399B2 (ja) * 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
JPH04141587A (ja) * 1990-10-01 1992-05-15 Nec Corp スパッタリング装置
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
EP0733130A4 (de) 1993-12-17 1997-04-02 Brooks Automation Inc Vorrichtung zum heizen und kuehlen von wafers
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW297910B (de) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
JP3483693B2 (ja) * 1995-02-02 2004-01-06 東京エレクトロン株式会社 搬送装置,搬送方法及び処理システム
JP3380652B2 (ja) * 1995-05-26 2003-02-24 東京エレクトロン株式会社 処理装置
TW278200B (en) * 1995-07-06 1996-06-11 Brooks Automation Inc Door drive mechanisms for substrate carrier and load lock
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
TW318258B (de) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
JP3549674B2 (ja) * 1996-07-19 2004-08-04 東京応化工業株式会社 ロードロック室を備えた基板の処理装置
KR100269097B1 (ko) * 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JPH10107124A (ja) 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
US5893699A (en) * 1996-10-31 1999-04-13 Phase Metrics, Inc. End effector for a robotic arm of a disk certifier
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
DE29716440U1 (de) 1997-09-12 1997-12-11 Balzers Hochvakuum Sputterstation
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector

Also Published As

Publication number Publication date
CN1348552A (zh) 2002-05-08
IL145678A0 (en) 2002-06-30
EP1166180A1 (de) 2002-01-02
CA2369042A1 (en) 2000-10-12
US20020033136A1 (en) 2002-03-21
WO2000060414A1 (en) 2000-10-12
US6846149B2 (en) 2005-01-25
DE60024424T2 (de) 2006-07-27
EP1166180A4 (de) 2002-11-06
JP2002541657A (ja) 2002-12-03
KR20010110471A (ko) 2001-12-13
ATE311619T1 (de) 2005-12-15
TWI238438B (en) 2005-08-21
EP1166180B1 (de) 2005-11-30
AU3906900A (en) 2000-10-23
US20010010950A1 (en) 2001-08-02
KR100455226B1 (ko) 2004-11-06
JP2006216983A (ja) 2006-08-17
US6610150B1 (en) 2003-08-26
TW200401331A (en) 2004-01-16
TWI251252B (en) 2006-03-11

Similar Documents

Publication Publication Date Title
DE60024424D1 (de) Halbleiter-wafer etwicklungsgerät mit vertikal gestapelteentwicklungsraüme und einachsiges dual-wafer transfer system
US11352220B2 (en) Semiconductor wafer handling and transport
US9884726B2 (en) Semiconductor wafer handling transport
JP2007533167A5 (de)
KR20020010657A (ko) 처리 장치 및 처리 방법
WO2004086465A3 (en) Method and apparatus for high speed wafer handling
WO2002005313A3 (en) Automated processing system
TW200707621A (en) Cartesian robot cluster tool architecture
AU1933900A (en) Apparatus for processing wafers
EP1047114A3 (de) Verfahren zur Erkennung von Scheibelage
KR20150054677A (ko) 분위기 치환 장치, 기판 반송 장치, 기판 반송 시스템 및 efem
TW200508140A (en) Method and apparatus for vertical transfer of semiconductor substrates between cleaning modules
EP1052681A3 (de) Verfahren und Vorrichtung zur Behandlung von Scheiben
IL152500A0 (en) Throughput enhancement for single wafer reactor
TW367530B (en) Multiple substrate processing apparatus for enhanced throughput
JPH01251734A (ja) マルチチャンバ型cvd装置
KR101039441B1 (ko) 웨이퍼 센터링 방법
KR19980029384A (ko) 반도체 소자 제조 장치
CN111604604A (zh) 一种半导体元件加工用成型设备及其使用方法
AU2003290835A1 (en) Workpiece processing system
KR970011208U (ko) 반도체 제조공정용 진공흡착형 포터블 웨이퍼 핸들러
TW344865B (en) Automated metallization system for semiconductor device fabrication
KR19990018377A (ko) 웨이퍼 척킹 방법
MY115381A (en) 300mm microenvironment pod with door on side

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee