DE60024424T2 - Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System - Google Patents

Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System Download PDF

Info

Publication number
DE60024424T2
DE60024424T2 DE60024424T DE60024424T DE60024424T2 DE 60024424 T2 DE60024424 T2 DE 60024424T2 DE 60024424 T DE60024424 T DE 60024424T DE 60024424 T DE60024424 T DE 60024424T DE 60024424 T2 DE60024424 T2 DE 60024424T2
Authority
DE
Germany
Prior art keywords
wafer
chamber
transfer arm
process chamber
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60024424T
Other languages
English (en)
Other versions
DE60024424D1 (de
Inventor
N. Richard SAVAGE
S. Frank MENAGH
R. Helder CARVALHEIRA
A. Philip TROIANI
L. Dan COSSENTINE
Eric R. Santa Cruz VAUGHAN
E. Bruce MAYER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Valley Group Thermal Systems LLC
Original Assignee
Silicon Valley Group Thermal Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Valley Group Thermal Systems LLC filed Critical Silicon Valley Group Thermal Systems LLC
Publication of DE60024424D1 publication Critical patent/DE60024424D1/de
Application granted granted Critical
Publication of DE60024424T2 publication Critical patent/DE60024424T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht Priorität der U.S. Provisional Patent Application mit der Seriennummer 60/127,532, die am 2. April 1999 eingereicht wurde und den Titel NEAR ATMOSPHERIC CVD SYSTEM WITH VERTICALLY-STACKED PROCESS CHAMBERS trägt.
  • Diese beansprucht außerdem Priorität der U.S. Provisional Patent Application mit der Seriennummer 60/127.650, die am 2. April 1999 eingereicht wurde und den Titel SINGLE-AXIS DUAL-WAFER TRANSFER SYSTEM trägt.
  • HINTERGRUND DER ERFINDUNG
  • Gebiet der Erfindung
  • Diese Erfindung betrifft im Allgemeinen Halbleiterwafer-Bearbeitungssysteme, -vorrichtungen und -verfahren. Genauer gesagt betrifft die vorliegende Erfindung eine Struktur mit vertikal gestapelten Prozesskammern, die einerseits die Standfläche minimiert und andererseits den Durchsatz eines Halbleiterwafer-Bearbeitungssystems maximiert. Die vorliegende Erfindung kann beispielsweise verwendet werden, um Wafer innerhalb eines chemischen Bedampfungs-(CVD-)Systems nahe Atmosphärendruck, eines thermischen Schnelloxidationssystems oder anderer Arten von Waferbearbeitungssystemen zu verschieben. Die Erfindung betrifft insbesondere eine Wafertransfervorrichtung und ein solches Verfahren, bei dem die Halbleiterwafer unter Verwendung eines unitären Transferarms, der um eine einzige Drehachse schwenkt, zwischen einer Schleusenkammer und einer Prozesskammer bewegt wird.
  • Stand der Technik
  • Herkömmlicherweise wird der Wafertransfer zwischen Schleusenkammern und Prozesskammern mithilfe komplexer Vorrichtungen durchgeführt. Die Komplexität des Mechanismus hat zu hohen Kosten der Vorrichtung, langsamer Waferbearbeitung und einem kurzen mittleren Ausfallabstand geführt.
  • Ein Beispiel für ein herkömmliches Waferbearbeitungssystem ist das US-Patent Nr. 4.934.315 von Linnebach et al. mit dem Titel "Systems for Producing Semiconductor Layer Structures by Way of Epitaxial Growth". Dieses mehrere Reaktorkammern umfassende System nimmt Wafer zur Bearbeitung auf, wobei die Wafer in jeweilige Haltevorrichtungen in einer Atmosphärendruck-Handhabevorrichtung gegeben werden. Die Haltevorrichtungen und Wafer werden in einer Beladekammer gestapelt, wobei jede Haltevorrichtung, die ihren jeweiligen Wafer trägt, danach entlang eines linearen Weges durch die einzelnen Reaktorkammern geführt wird. Die Reaktorkammern sind horizontal entlang des linearen Weges angeordnet.
  • Das US-Patent Nr. 4.822.756 von Hirayama mit dem Titel "Reaction Furnace and Method of Operating the Same" offenbart einen Reaktionsofen mit einem Waferträgerschiffchen, das von einer Hebekapsel durch eine Beladekammer und eine Behandlungskammer rollt. Obwohl die Beladekammern und die Behandlungskammern in vertikaler Richtung gestapelt sind, sind das Druckgassystem und das Vakuumsystem horizontal zu den Behandlungskammern angeordnet, was die Standfläche des Reaktionsofens unvorteilhafterweise vergrößert.
  • Das US-Patent Nr. 4.423.701 von Nath et al. mit dem Titel "Glow Discharge Deposition Apparatus Including a Non-Horizontally Disposed Cathode" offenbart eine Mehrkammern-Beglimmungsvorrichtung mit Bedampfungskammern, welche die Wafer oder Substrate zur Bearbeitung vertikal ausrichtet. Die Bedampfungskammern sind horizontal zueinander ausgerichtet. Ein schwenkbarer Arm stößt die Substrate aus der Kammer aus, sodass der Arm die Substrate in eine Richtung entlang von Führungskanälen drückt.
  • Das US-Patent Nr. 4.816.098 von Davis et al. mit dem Titel "Apparatus for Transferring Workpieces" offenbart ein System, bei dem Wafer auf einen Vakuumwaferträger im System geladen werden, der unter Vakuum gehalten wird, um die Verunreinigung der Wafer zu verringern. Die Wafer werden mithilfe einer Vakuumschleuse und eines 2-achsigen Roboterarms in einen Geräteblock mit mehreren Prozessmodulen transportiert, der nicht in der Lage ist, mehr als einen Wafer auf einmal zu transportieren.
  • Das US-Patent 5.664.254 von Ohkura et al. mit dem Titel "Substrate Processing Apparatus and Substrate Processing Method" offenbart eine Stapelanordnung für eine Vielzahl von Prozesseinheiten. Zwar sind die Prozesseinheiten vertikal gestapelt, es ist aber nur eine Haupthandhabevorrichtung bereitgestellt, um Substrate zu den einzelnen Prozesseinheiten zu transportieren, wodurch der Durchsatz der einzelnen Prozesseinheiten nicht maximiert werden kann. Außerdem offenbart das Patent eine Vielzahl von Haltearmen, die in einem 3-stufigen Aufbau angeordnet sind und zum Transport eines Substrats oder Wafers dienen. Die Haltearme sind auf der Haupthandhabevorrichtung befestigt und durch eine komplexe Anordnung betätigt, die eine vertikale Antriebswelle und einen Motor in Kombination mit einer horizontal ausgerichteten Beförderungsbasis mit einem Antriebsmotor und einem Band zur Betätigung der einzelnen Haltearme umfasst.
  • Das US-Patent 5.058.526 von Matsushita et al. mit dem Titel "Vertical Load Lock Reduced-Pressure Type Chemical Vapor Deposition Apparatus" offenbart eine Belade-/Entladekammer, die einer Schleusenkammer in einem herkömmlichen Geräte block ähnelt. Ein Kühler mit Rohren, in denen ein Kühlmittel zirkuliert, befindet sich in einem Entladeteil einer Belade-/Entladekammer und kühlt so die behandelten Wafer.
  • Das US-Patent Nr. 5.664.925 von Muka et al. mit dem Titel "Batchloader for Load Lock" offenbart einen herkömmlichen Ein-Wafer-Transferarm vom Scherentyp. Ähnliche herkömmliche Ein-Wafer-Transferarme sind im US-Patent Nr. 5.613.821 von Muka et al. mit dem Titel "Cluster Tool Batchloader of Substrate Carrier" und im US-Patent Nr. 5.607.276 von Muka et al. mit dem Titel "Batchloader for Substrate Carrier on Load Lock" geoffenbart.
  • Das US-Patent Nr. 5.778.968 von Hendrickson et al. mit dem Titel "Method for Heating or Cooling Wafers" offenbart ein Verfahren zum Erhitzen oder Abkühlen eines Substrats, das in einer Vakuumkammer eingeschlossen ist, mithilfe eines Gases mit einstellbarem Druck über dem Wafer. Auf ähnliche Weise offenbart das US-Patent Nr. 5.588.827 von Muka mit dem Titel "Passive Gas Substrate Thermal Conditioning Apparatus and Method" eine Wärmeübertragungsplatte, die sich in einer Thermokonditionierungskammer befindet und entweder erhitzt oder gekühlt wird, um die Temperatur eines Substrats zu verändern.
  • Die US 5664254 beschreibt einen Zwei-Wafer-Transportarm, der schwenkbar an einem einziehbaren Teil befestigt ist. Die DE-U-29716440 beschreibt eine Transfervorrichtung für CDs, die einen zurückziehbaren Arm umfasst, der auf einem schwenkbaren Träger befestigt ist.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Das Halbleitersubstrat- oder Waferbearbeitungssystem und die Substrat- oder Wafertransfervorrichtung der vorliegenden Erfindung überwinden die oben erläuterten Nachteile herkömmlicher Systeme. Die vorliegende Erfindung stellt eine Halbleiterwafer-Prozessvorrichtung und ein Halbleiterwafer-Bearbeitungssystem gemäß den Ansprüchen 1 bis 18 bereit.
  • Das Bearbeitungssystem kann ein zentrales Verfahrenschemikalien-Abgabesystem für die Stapel von Kammeranordnungen und eine speziell zugeordnete Wafertransfervorrichtung für jede Schleusen-/Prozesskammer-Anordnung umfassen. Das Bearbeitungssystem kann ferner zwei oder mehr Mehrkammernmodule umfassen, die linear angeordnet sind. Jeder Prozesskammer kann eine Schleusenkammer zugeordnet sein, sodass die Kammern zusammen eine Schleusen-/Prozesskammer-Anordnung bilden. In jeder Schleusenkammer kann unter einem Schwenkarm mit einem einzigen Gelenkzapfen der Wafertransfervorrichtung eine Kühlplatte angeordnet sein. Die Kühlplatte ist gegebenenfalls mit Hebestiften ausgestattet, um Wafer vom schwenkbaren Transferarm zu entfernen. Eine Waferaufspannanordnung mit einer Aufspann-Klemmfläche und Nadeln ist gegebenenfalls in jeder Prozesskammer bereitgestellt, um Wafer in der Prozesskammer zu positionieren. In einer Ausführungsform der vorliegenden Erfindung verschiebt die Waferaufspannanordnung einen Wafer zur Bearbeitung innerhalb der Prozesskammer an einem Linearinjektor für chemische Bedampfung vorbei.
  • Für jede Schleusen-/Prozesskammer-Anordnung kann eine Wafertransfervorrichtung bereitgestellt sein. Jede Wafertransfervorrichtung umfasst einen Transferarm, der dazu dient, zwei oder mehr Wafer zwischen der Schleusenkammer und der Prozesskammer zu bewegen. Der Transferarm schwenkt um eine einzige Schwenkachse, die sich durch die Schleusenkammer erstreckt. Die Transfervorrichtung ist in der Lage, gleichzeitig zwei Wafer zwischen der Schleusenkammer und der Prozesskammer zu bewegen. Die Wafertransfervorrichtung weist außerdem eine zurückgezogene oder Ruheposition und eine ausgefahrene Position auf, wobei die einzige Schwenkachse es dem Transferarm ermöglicht, zwischen der zurückgezogenen und der ausgefahrenen Position hin und her zu schwenken. Eine Kühlplatte kann unter dem Schwenkarm angeordnet sein, wenn sich der Schwenkarm in der zurückgezogenen Position befindet. Die Wafertransfervorrichtung kann auch eine untere Waferablage und eine obere Waferablage umfassen, die mit dem Transferarm verbunden sind.
  • Ein weiterer Aspekt der vorliegenden Erfindung betrifft ein Verfahren zur Waferbearbeitung gemäß den Ansprüchen 18 bis 24. Ein unbearbeiteter Wafer wird von der Schleusenkammer in die Prozesskammer transferiert, und zwar von einer oberen Waferablage zu einer Halbleiterwafer-Aufspannanordnung, die in der Prozesskammer befestigt ist. Das Verfahren kann die Verschiebung einer Halbleiterwafer-Aufspannanordnung von einer zurückgezogenen Position in eine ausgefahrene Position umfassen, in welcher der Wafer bearbeitet wird, wobei gleichzeitig der bearbeitete Wafer und ein zweiter unbearbeiteter Wafer zwischen der Schleusenkammer und der Prozesskammer bewegt werden und der bearbeitete Wafer von der unteren Waferablage auf eine Kühlplatte transportiert wird.
  • Ein Ziel der vorliegenden Erfindung besteht in der Bereitstellung eines Waferbearbeitungssystems mit mehreren Schleusen-/Prozesskammer-Anordnungen, wobei jede Anordnung eine Schleusenkammer, die einer Prozesskammer zugeordnet ist, und einen zugeordneten Transferarm umfasst, der Wafer zwischen den jeweiligen Schleusen- und Prozesskammern bewegt.
  • Ein Ziel der vorliegenden Erfindung besteht im vertikalen Stapeln von zwei oder mehr Schleusen-/Prozesskammer-Anordnungen, um ein Mehrkammernmodul zu bilden und so die Standfläche des Systems zu verringern und die vertikale Ausrichtung und Anordnung der Schleusen-/Prozesskammern-Anordnungsstapel zu optimieren.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Anordnung der Mehrkammernmodule auf lineare Weise, wobei ein einzelnes vorderseitiges Atmosphärendruck-Wafertransfersystem Substrate zu allen Schleusenkammern befördert, um so die Standfläche des Bearbeitungssystems zu optimieren.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Bereitstellung eines zentralen Verfahrenschemikalien-Abgabesystems und eines lokalen Steuersystems für jedes Mehrkammernmodul.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Optimierung der Waferwege und der zeitlichen Abstimmung der Prozesse in einem linear angeordneten, vertikal gestapelten Prozesssystem und -verfahren, um den Durchsatz der einzelnen Prozesskammern und des gesamten Bearbeitungssystems zu maximieren.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Optimierung der Anzahl an Prozesskammern auf einer bestimmten Standfläche, um den Durchsatz des Bearbeitungssystems zu erhöhen.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Bereitstellung eines neuen Wafertransferarms und Wafertransfersystems, welches Halbleiterwafer von einem einzigen vorderseitigen Atmosphärendruck-Roboter durch eine Schleusenkammer in eine Waferprozesskammer transportiert, wobei der Transferarm um eine einzige Drehachse mit einem Drehpunkt, der sich auf dem Transferarm befindet, schwenkt, um die Prozesskammer von der Seite her zu beladen.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Bereitstellung eines Transferarms, der in der Lage ist, zwei Wafer zu tragen, um den Wafertransfer zwischen der Schleusenkammer und der Prozesskammer zu vereinfachen und zu beschleunigen. Genauer gesagt besteht ein Ziel der vorliegenden Erfindung in der Bereitstellung eines Transferarms, der in der Lage ist, gleichzeitig einen unbehandelten Wafer und einen behandelten Wafer zu tragen, um den Systemdurchsatz zu maximieren.
  • Ein weiteres Ziel der vorliegenden Erfindung besteht in der Bereitstellung einer Waferkühlplatte, um die Waferabkühlzeit nach der Bearbeitung eines Wafers zu verringern und so den Wafertransfer von der Schleuse in eine Kassette zu vereinfachen, wodurch die Anzahl an parallelen Schritten im Bearbeitungssystem maximiert und so der Durchsatz des Bearbeitungssystems erhöht wird.
  • KURZE BESCHREIBUNG DER ABBILDUNGEN
  • Die nachstehenden und weitere Ziele der Erfindung werden aus der nachstehenden Beschreibung, in der auf die beiliegenden Abbildungen Bezug genommen wird, klarer ersichtlich, worin:
  • 1 eine perspektivische Ansicht eines Bearbeitungssystems gemäß der vorliegenden Erfindung ist;
  • 2 ein Grundriss des Bearbeitungssystems aus 1 ist;
  • 3 eine perspektivische Ansicht eines Mehrkammernmoduls gemäß der vorliegenden Erfindung ist;
  • 4 eine schematische Darstellung eines Waferflussdiagramms eines herkömmlichen Geräteblocksystems ist;
  • 5 eine schematische Darstellung eines Waferflussdiagramms des in 1 dargestellten Bearbeitungssystems ist,
  • 6 eine perspektivische Ansicht einer Schleusenkammer und eines Transferarms in ausgefahrener Position ist, der zwei Wafer hält;
  • 7 eine perspektivische Ansicht der in 6 dargestellten Schleusenkammer mit abgenommener Abdeckung ist, um den Transferarm in einer zurückgezogenen Ruheposition zu zeigen;
  • 8 eine ähnliche perspektivische Ansicht der Schleusenkammer ist wie 6, wobei eine Trägerstruktur aber keine Wafer vorhanden sind.
  • 9 eine ähnliche perspektivische Ansicht des zwei Wafer tragenden Transferarms ist wie 6.
  • 10 eine perspektivische Schnittansicht der Prozesskammer aus 2 entlang der Linie 10-10 in 2 ist;
  • 11 eine perspektivische Schnittansicht der Prozesskammer aus 2 entlang der Linie 11-11 in 2 ist;
  • 12 eine perspektivische Schnittansicht der eine Schleusen- und Prozesskammer umfassenden Schleusen-/Prozesskammer-Anordnung entlang der Linie 12-12 in 2 ist;
  • 13 eine schematische Darstellung eines Beispiels für ein zentrales Verfahrenschemikalien-Abgabesystem ist;
  • 14 ein Grundriss einer alternativen Ausführungsform des Bearbeitungssystems der vorliegenden Erfindung ist;
  • 15 eine perspektivische Ansicht einer alternativen Ausführungsform des Bearbeitungssystems der vorliegenden Erfindung ist;
  • 16(a) bis 16(l) schematische Darstellungen einer Wafertransferfolge gemäß der vorliegenden Erfindung sind;
  • 17 ein Diagramm ist, das analytische Vergleichsberechnungen mehrerer Parameter einer als Beispiel angeführten 200-mm-Wafer-Vollhubkonstruktion gemäß der vorliegenden Erfindung zeigt.
  • BESCHREIBUNG BEVORZUGTER AUSFÜHRUNGSFORMEN
  • Nachstehend wird auf die bevorzugten Ausführungsformen der Erfindung genauer eingegangen, deren Beispiele in den beiliegenden Abbildungen dargestellt sind. Obwohl die Erfindung in Bezug auf die bevorzugten Ausführungsformen beschrieben wird, versteht sich, dass die Erfindung nicht auf diese Ausführungsform einge schränkt ist. Ganz im Gegenteil deckt die Erfindung auch Alternativen, Modifikationen und Äquivalente ab, die im Erfindungsgedanken und im Schutzumfang der Erfindung beinhaltet sind, wie er in den beiliegenden Ansprüchen definiert ist.
  • Die vorliegende Erfindung betrifft ein neues Bearbeitungssystem zur Bearbeitung von Halbleiterwafern oder -substraten. Es gilt anzumerken, dass der Begriff "Wafer" im Zusammenhang der vorliegenden Erfindung sowohl Wafer als auch Substrate umfasst. Die vorliegende Erfindung betrifft ein System, eine Vorrichtung und ein Verfahren, die zusammen mit verschiedenen Waferprozessen verwendet werden können, einschließlich, nicht jedoch eingeschränkt auf, chemischer Bedampfung (CVD) nahe Atmosphärendruck und thermischer Schnelloxidationsprozesse. Werden CVD-Prozesse eingesetzt, können die CVD-Prozesse Bedampfungsprozessdrücke umfassen, die im Allgemeinen zwischen etwa 5 Torr und etwa 760 Torr, häufiger zwischen etwa 100 Torr und etwa 700 Torr, und üblicherweise zwischen etwa 200 Torr und 600 Torr, liegen. Natürlich können die Prozesskammern der vorliegenden Erfindung auch bei niedrigeren Vakuumdrücken im Milli-Torr-Bereich betrieben werden. Werden beispielsweise geeignete Vakuumpumpen angeschlossen, könnte die vorliegende Erfindung im Bereich von 10 mTorr bis 200 mTorr, meist von 10 mTorr bis 5 mTorr, betrieben werden.
  • Wie in 13 zu sehen ist sind die Hauptkomponenten des Halbleiterwafer-Bearbeitungssystems 5 der vorliegenden Erfindung eine vorderseitige Atmosphärendruck-Einheit (VAE) 6, ein Mehrkammernmodul (MKM) 20 mit vertikal gestapelten Gruppen von Prozesskammern 40, ein zentrales Verfahrenschemikalien-Abgabesystem 24 und ein zentrales Steuersystem 21, die von den Gruppen von gestapelten Prozesskammern 40 geteilt werden, sowie Schleusenkammern 80 für den Halbleiterwafertransfer zwischen einer jeweiligen Prozesskammer 40 und der vorderseitigen Atmosphärendruck-Einheit 6.
  • Die vorderseitige Atmosphärendruck-Einheit 6 befindet sich an der Vorderseite des Waferbearbeitungssystems 5 und ist koplanar zu einer glatten Wand (nicht dargestellt). Die vorderseitige Atmosphärendruck-Einheit 6 umfasst eine oder mehrere Waferkassetten oder Beladeöffnungen 7. Es handelt sich dabei um herkömmliche Waferkassetten, die auf dem Gebiet der Erfindung bekannt sind. Ein VAE-Roboter 8 bewegt Wafer zwischen Kassetten 7, einem Waferausrichter 9 (in 13 nicht dargestellt, aber in 14 zu sehen) und Schleusenkammern 80. Nach Beendigung des Vorgangs bringt der Roboter 8 Wafer wieder in ihre jeweiligen Kassetten 7 zurück. Der Waferausrichter ist bereitgestellt, um Wafer zu zentrieren und Einkerbungen auszurichten, bevor die Wafer in die Schleusenkammern 80 kommen. Diese Komponente sind in einem starren Rahmen 14 untergebracht, der eine saubere Umgebung mit der geeigneten Umgebungsluft-Handhabungsausrüstung definiert. Die vordere Fläche der VAE-Einheit 6 umfasst die Systemvorderseite 15, die auch ein Benutzerschnittstellenbedienungsfeld und eine Anzeige 13 umfasst.
  • Wie in 2 und 12 zu sehen ist wird für jede Prozesskammer 40 eine Schleusenkammer 80 bereitgestellt. Schleusenkammerträger 89, die in 8 zu sehen sind, positionieren jede Schleusenkammer 80 in Bezug auf eine jeweilige Prozesskammer 40. Auf dem Weg von der VAE-Einheit 6 zu einer Prozesskammer 40 werden Wafer jeweils durch eine Schleusenkammer 80 transportiert. Ein vorderseitiger Absperrschieber 10 verbindet jede Schleusenkammer 80 mit der VAE-Einheit 6. Der vorderseitige Absperrschieber 10 stellt auch einen luftdichten Verschluss zwischen Schleusenkammer 80 und VAE-Einheit 6 bereit, sodass die Schleusenkammer 80 evakuiert werden kann. Auf ähnliche Weise ist ein Prozesskammer-Schlitzschieber 41 bereitgestellt, der eine Schleusenkammer 80 mit einer jeweiligen Prozesskammer 40 verbindet. Der Prozesskammer-Schlitzschieber 41 stellt einen luftdichten Verschluss zwischen Prozesskammer 40 und Schleusenkammer 80 bereit, sodass die Schleusenkammer 80 auf Atmosphärendruck entlüftet werden kann, ohne dass der Druck der Prozesskammer 40 beeinflusst wird.
  • Ein zentraler Aspekt der vorliegenden Erfindung ist das Konzept der Ausstattung jeder Prozesskammer mit einer eigenen, ihr zugeordneten Schleusenkammer und eines eigenen, zugeordneten Wafertransferarms, um den Durchsatz sowohl der Prozesskammer als auch des gesamten Bearbeitungssystems zu erhöhen. Die vorliegende Erfindung stellt außerdem sicher, dass in Situationen, in denen während der Substratbearbeitung Zeit erforderlich ist, damit die Wafer nach der Bearbeitung abkühlen können, maximaler Durchsatz erreicht wird.
  • Typischerweise dient eine Schleuse als Puffer zwischen zwei unterschiedlichen Umgebungen, beispielsweise zwischen einer Umgebung mit Raumtemperatur und Atmosphärendruck und einer evakuierten Umgebung mit erhöhter Temperatur. Somit erfordert die Schleuse eine bestimmte Betriebsdauer, die ungleich null ist, um die Umgebung der Schleusenkammer zuerst an eine Umgebung anzugleichen, in der die Schleuse ein Substrat aufnehmen kann, und danach an eine zweite Umgebung anzugleichen, um das Substrat in eine Prozesskammer transportieren zu können. Obwohl in der Schleuse keine Substratbearbeitung durchgeführt wird, muss das Substrat "warten" oder eine Zeit ungleich null in der Schleusenkammer verbringen. Typischerweise beträgt diese Zeit einige Dutzend Sekunden, möglicherweise bis zu einigen Minuten.
  • Ansätze nach dem Stand der Technik, wie sie in 4 dargestellt sind, umfassen typischerweise einen vorderseitigen Roboter, der Substrate in eine oder mehrere Schleusen befördert. Ein zweiter Roboter, der sich häufig in einem Kammernverteiler befindet, bewegt die Substrate dann zwischen einer der Schleusen und einer der zahlreichen Prozesskammern. Der vorderseitige Roboter kann verwendet werden, um Substrate zu einem Ausrichter zu transportieren, bevor sie in eine Schleuse eintreten, aber stattdessen kann auch der zentrale Verteilerroboter das Substrat von einer Schleuse zu einem Ausrichter bewegen, bevor der Wafer zu einer Prozesskammer transportiert wird. Ungeachtet dessen ist jeder Roboter für die Verteilung von Wafern zwischen mehreren Modulen verantwortlich. Zeitsteuerung mithilfe von Software ist für Bearbeitungssysteme nach dem Stand der Technik mit zwei Robotern geeignet und wird in Ansätzen nach dem Stand der Technik häufig eingesetzt. Wie jedoch in 4 zu sehen ist, kann die Zeitsteuerung ziemlich komplex sein.
  • 4 zeigt ein Beispiel für ein Waferflussdiagramm eines Bearbeitungssystems nach dem Stand der Technik, das vier Beladekassetten KASS 1, KASS 2, KASS 3, KASS 4, einen vorderseitigen Roboter, vier Schleusenkammern SK1 oben, SK1 un ten, SK2 oben SK2 unten, einen zentralen Verteilerroboter und vier Prozesskammern PK1, PK2, PK3, PK4 umfasst. Da ein einzelner zentraler Verteilerroboter die Wafer zwischen allen Schleusenkammern und allen Prozesskammern bewegt, entspricht die Gesamtzahl an möglichen Waferwegen in solch einem System vierundsechzig (64). Vier mögliche "Beladungskassetten/vorderseitiger Roboter"-Wege multipliziert mit vier möglichen "vorderseitiger Roboter/Schleuse/zentraler Verteilerroboter"-Wegen multipliziert mit vier möglichen "zentraler Roboter/Prozesskammer"-Wegen ergibt beispielsweise vierundsechzig (64) mögliche Wege.
  • In manchen Anwendungen müssen bearbeitete Wafer eventuell abgekühlt werden, bevor sie in die Beladungskassetten zurückgeführt werden. Die Praktik- nach dem Stand der Technik umfasst typischerweise eine oder mehrere Kühlkammern oder "Wartepositionen", wo Wafer liegen bleiben und abkühlen können und die sich nur innerhalb der Reichweite des zentralen Verteilerroboters befinden. Durch die Einschränkung des Transports von heißen Wafern auf lediglich die Reichweite des zentralen Verteilerroboters wird die Anforderung an speziellen Materialien zur Handhabung von heißen Wafern (z.B. Effektoren, Waferhaltevorrichtungen und -flächen, Kassetten usw.) an die Vorrichtung minimiert, wodurch wiederum die Kosten minimiert werden.
  • Das Hinzufügen einer Kühlkammer oder einer Position, an welcher der Wafer "warten" und abkühlen muss, macht die Zeitsteuerung von Wafern, die von dem zentralen Verteilerroboter bewegt werden, noch komplizierter, wodurch auch die Zeitsteuerung des zentralen Verteilerroboters in Bezug auf die des vorderseitigen Roboters komplizierter wird. Typischerweise können der vorderseitige Roboter und der zentrale Verteilerroboter Wafer sehr rasch transportieren. Das Tempo jedes Roboters wird jedoch durch die "Verfügbarkeit" der Wafer bestimmt, und eine Optimierung der Zeitsteuerung solcher Systeme nach dem Stand der Technik erfordert deshalb eine sehr genaue zeitliche Abstimmung und umfassende Rückmeldungen oder "voraussehende" Vorhersagen in Bezug auf die Nutzung der einzelnen Prozesskammern und Schleusen, um die "Wartezeiten" zu minimieren und so den höchsten Durchsatz des Systems zu garantieren. In der Praxis kann oft die kleinste Pause beim Wafertrans fer in eine Prozesskammer (oder Schleuse) den gesamten Waferlauf im ganzen System unterbrechen. Steuerprogramme können absichtliche kurze Verzögerungen in Zeitsteuerprogramme inkludieren, um diesen möglichen kurzen Verzögerungen auf Kosten eines nicht ganz optimalen Durchsatzes Rechnung zu tragen. Kurz gesagt ist die Synchronisierung zwischen den beiden Robotern, die aus verschiedenen Mehrfachzugriffs-Waferpositionen, einschließlich der Beladungskassetten, Schleusen und Prozesskammern, auswählen müssen, der Schlüssel zu einem annehmbaren Durchsatz, aber solch eine Synchronisierung ist äußerst komplex.
  • Im Gegensatz dazu setzt die vorliegende Erfindung einen Roboter am vorderen Ende des Systems ein, um Substrate in eine oder mehrere Schleusenkammern zu verteilen, die jeweils einer Prozesskammer zugeordnet sind. Jede Schleusenkammer umfasst einen ihr zugeordneten Transferarm, um Substrate zwischen der zugeordneten Schleusenkammer und der jeweiligen Prozesskammer zu bewegen. 5 zeigt ein Flussdiagramm, das einem Bearbeitungssystem gemäß der vorliegenden Erfindung entspricht. Da jede Prozesskammer nun eine zugeordnete Schleusenkammer und einen zugeordneten Transferarm aufweist, und nicht mehr wie nach dem Stand der Technik, Schleusenkammern und einen zentralen Roboter mit anderen teilen muss, ist die Zeitsteuerung der Waferwege deutlich weniger komplex, da die mögliche Anzahl an Waferwegen deutlich geringer ist als nach dem Stand der Technik.
  • Wie in 5 zu sehen ist weist ein Bearbeitungssystem gemäß der vorliegenden Erfindung die gleiche Anzahl an Prozesskammern auf wie das in 4 dargestellte System nach dem Stand der Technik. Die vorliegenden Erfindung weist jedoch jeder Prozesskammer eine Schleusenkammer zu, sodass vier Schleusen-/Prozesskammer-Anordnungen SK/PK1, SK/PK2, SK/PK3 und SK/PK4 bereitgestellt werden und kein zentraler Verteilerroboter mehr notwendig ist. Die Anzahl an möglichen Waferwegen wird so von vierundsechzig (64) gemäß dem Stand der Technik auf sechszehn (16) gemäß der vorliegenden Erfindung verringert. Vier mögliche "Beladekassette/vorderseitiger Roboter"-Wege multipliziert mit vier möglichen "vorderseitiger Roboter/Schleusen-/Prozesskammer-Anordnung"-Wegen ergibt beispielsweise sech szehn (16) mögliche Wege. Demgemäß ist die Zeitplanung in der vorliegenden Erfindung weniger komplex, da weniger Wegoptionen zu verwalten sind. Der vorderseitige Roboter transportiert einen Wafer in eine von vier (in diesem Beispiel) Schleusenkammern, und sobald dieser sich in einer Schleusenkammer befindet, ist der Weg eines Wafers in die und aus der Prozesskammer heraus fixiert und von allen anderen Waferwegen unabhängig.
  • In der vorliegenden Erfindung ist der langwierige Prozess der Veränderung der Schleusenumgebung und der Bearbeitung in einer Prozesskammer auf einzelne Schleusen-/Prozesskammer-Anordnungen beschränkt, die jeweils eine Schleusenkammer, einen Transferarm und eine Prozesskammer umfassen. Die vorliegende Erfindung ermöglicht die parallele Bearbeitung von Substraten, da sie parallele Wege zwischen den jeweiligen Schleusen und Prozesskammern errichten, wie in 5 durch die Pfeile ARM dargestellt ist. Die Komplexität der Zeitsteuerung wird so verringert, weil das Hinzufügen von zugeordneten Schleusenkammern und Transferarmen eine unabhängige und parallel Bearbeitung der Substrate ermöglicht.
  • Ein weiteres wichtiges Merkmal der vorliegenden Erfindung ist das Hinzufügen von zugeordneter Hardware für jede Schleusen-/Prozesskammer-Anordnung, deren Konstruktion einfach genug ist, dass sie trotz ihrer mehrfachen Ausführung kosteneffektiv ist. Da jede Prozesskammer ihre eigene Schleusenkammer aufweist, wird eine Wafertransferebene innerhalb jeder Schleusen-/Prozesskammer-Anordnung bereitgestellt, die einfach und relativ konstant ist. So kann ein Transferarm mit einer einzigen Translationsachse eingesetzt werden, um einen Wafer zwischen den einzelnen Schleusenkammern und den jeweiligen Prozesskammern zu bewegen. Außerdem kann die Gesamtstandfläche verringert werden, wenn der vorderseitige Roboter eine vertikale Spannweite aufweist, die in der Lage ist, die Transferebenen von mehreren gestapelten Schleusen-/Prozesskammer-Anordnungen zu erreichen. Somit umfasst die vorliegende Erfindung vorzugsweise einen einzelnen vorderseitigen Roboter mit Mehrachsenfähigkeit, der eine geeignete vertikale Spannweite aufweist, und mehrere kostengünstige einachsige Transferarme oder wird zusammen mit diesen verwendet.
  • Ein Aspekt der vorliegenden Erfindung, welche die Zuordnung einer Schleusenkammer 80 zu jeder Prozesskammer 40 ermöglicht, ist die Bereitstellung einer Kühlplatte 95, 7, in jeder Schleusenkammer 80. Ein weiterer Aspekt der vorliegenden Erfindung, der solch eine Zuordnung ermöglicht, ist die Bereitstellung eines einarmigen Zwei-Wafer-Schleusentransferarms 82, 6, der in der Lage ist, zwei Wafer gleichzeitig zu transportieren, einschließlich eines unbearbeiteten Wafers und eines bearbeiteten Wafers. Die Konfiguration der vorliegenden Erfindung bringt eine deutliche Kostensenkung mit sich, da nur eine Translationsachse durch das Steuersystem für jede Prozesskammer gesteuert wird. Wie in 7 und 8 zu sehen ist dreht sich der Transferarm 82 um nur eine Transferarm-Schwenkachse 83, sodass nur eine einzige, unkomplizierte Drehbewegung des Transferarms 82 um die Schwenkachse 83 vom Steuersystem geregelt werden muss.
  • Wie in 7 und 8 zu sehen ist befindet sich eine Waferkühlplatte 95 innerhalb der Schleusenkammer 80. Ein monolithischer einachsiger Schleusentransferarm 82 (Theta-Achsendrehung) mit einem Doppel-Wafer-Effektorende 84 ist ebenfalls bereitgestellt und ermöglicht die vertikale koaxiale Platzierung von zwei Wafern auf dem Endeffektor 84. Der Transferarm 82 weist eine einzige Servoachse oder Schwenkachse 83 auf und wird durch einen einzigen Servomechanismus, wie z.B. eine Transferarm-Antriebsmotoranordnung 79, gesteuert. Der Endeffektor 84 ist eine U-förmige Komponente, mithilfe derer ein unbearbeiteter Wafer auf einer oberen Waferablage 85 des Endeffektors 84 und ein weiterer, bearbeiteter Wafer auf der unteren Waferablage 87 platziert werden kann. Die Ablagen sind durch horizontale Platten definiert, welche die Ablagen 85, 87 bilden, und umfassen die Waferkanten-Trägerstützen 86, 88 am offenen Abschnitt des Endeffektors 84.
  • Der Transferarm 82 weist eine Ruheposition auf, die in 7 zu sehen ist, in welcher sich der Transferarm 82 vollständig innerhalb einer Schleusenkammer 80 befindet und auf die Zufuhr eines unbearbeiteten Wafers durch den vorderseitigen Roboter 8 oder das Entfernen eines bearbeiteten Wafers durch Kühlplattenhebestifte 96 wartet, wie nachstehend beschrieben ist. Die Waferkühlplatte 95 ist in die Schleusenkammer 80 integriert, um bearbeitete Wafer abzukühlen, bevor sie durch den VAE- Roboter 8 aus der Schleusenkammer 80 entfernt werden, um Wafertransferfehler aufgrund von durch Wärme verzogenen Wafern und Kassettenmaterialversagen aufgrund von bei hoher Temperatur nachbehandelten Wafern zu minimieren. Die Kühlplatte 95 ist unter dem Transferarm 82 montiert und in Bezug auf Wafer, die sich auf der oberen bzw. unteren Waferablage 85, 87 befinden, konzentrisch ausgerichtet. Die Kühlplatte 95 kann mit Flüssigkeit, Luft oder einem Inertgas auf Umgebungstemperatur gekühlt werden. Beispielsweise kann Wasser mit etwa 18 bis 25°C durch die Kühlplatte 95 geleitet werden, um ihre Temperatur zu regeln. Natürlich kann die Temperatur der Flüssigkeit auch in einem anderen geeigneten Bereich liegen. Wird die Kühlplatte 95 flüssigkeitsgekühlt, kann die Kühlflüssigkeit durch die Kühlplatte 95, die Aufspannanordnung 60 und die Wände der Prozesskammer 40 zirkuliert werden, um ihre Temperatur zu regeln.
  • Die Kühlplatte 95 ist mit Kühlplattenhebestiften 96 ausgestattet, welche auf der unteren Waferablage 87 liegende Wafer anheben können, wenn sich der Schleusentransferarm 82 in der Ruheposition befindet. Die Höhe der Kühlplattenhebestifte 96 wird durch einen Kühlplattenhebestiftaktuator 97 geregelt, der in 8 dargestellt ist. Sobald ein Wafer angehoben ist, schwenkt der Schleusentransferarm 82 von der Ruheposition in eine ausgefahrene Position, die in 6 und 8 dargestellt ist, und lässt den Wafer auf den Kühlplattenhebestiften 96 zurück. Der Wafer wird dann auf die Kühlplatte abgesenkt, um die Scheibe zu kühlen. Alternativ dazu kann der Wafer in eine Position abgesenkt werden, die leicht über der Kühlplatte 95 liegt, sodass der Wafer gekühlt wird, ohne die Platte 95 zu kontaktieren, wodurch der Verschleiß und die thermische Beanspruchung des Wafers minimiert werden. Natürlich können verschiedene Kühlarten eingesetzt werden, einschließlich Leitungskühlung, Konvektivkühlung oder Strahlungskühlung.
  • Auf ähnliche Weise fahren die Kühlplattenhebestifte 95 aus, um einen Wafer über die Höhe einer oberen Waferablage 85 zu heben und den Wafer dann auf die Ablage 85 zu laden, sobald der Transferarm 82 in seine Ruheposition zurückkehrt. Wie oben erwähnt steuert ein Kühlplattenhebestiftaktuator 97 die Hebestifte 96. Der Kühlstiftaktuator 97 befindet sich außerhalb der Schleusenkammer 80, um die Ab messungen der Schleusenkammer 80 zu minimieren. Beispielsweise können ein linearer Solenoid- oder anderer bekannter linearer Aktuator außerhalb der Schleusenkammer 80 montiert werden. Ein Balg (nicht dargestellt) wird verwendet, um den Aktuator und die Kühlstifte 96 so zu verbinden, dass die evakuierte Umgebung der Schleusenkammer 80 erhalten bleibt. Alternativ dazu kann sich ein Kühlstiftaktuator natürlich stattdessen innerhalb der Schleusenkammer befinden, wenn dies erwünscht ist.
  • In Bezug auf die Form der Waferablagen 85, 87 gilt anzumerken, dass die obere Waferablage 86 im Wesentlichen U-förmig ist, sodass der Transferarm 82 von seiner ausgefahrenen Position zurückfahren kann, während ein unbearbeiteter Wafer von den ausgefahrenen Aufspann-Hebestiften 70 in der oberen Position gehalten wird, wie nachstehend genauer erläutert ist. Genauer gesagt verhindert die U-Form der oberen Waferablage 85, dass die Hebestifte 70 in Kontakt mit der oberen Ablage 85 oder dem Transferarm 82 kommen. Im Gegensatz dazu trägt die untere Waferablage 87 einen Wafer nur auf gegenüberliegenden Seiten des Wafers mithilfe von unteren Waferträgern 88, wie in 9 und 12 dargestellt ist. Wie in 12 zu sehen ist, ist die Basis der U-Form der unteren Waferablage 87 offen, sodass der Transferarm 82 von seiner zurückgezogenen oder Ruheposition ausfahren kann, während ein bearbeiteter Wafer von den ausgefahrenen Kühlplattenhebestiften 96 in ihrer oberen Position getragen wird.
  • In 10 ist ein Beispiel für eine Prozesskammer 40 dargestellt. In dieser Ausführungsform können die Prozesskammern 40 eine Linearinjektoranordnung für chemische Bedampfung (CVD) 43, wie z.B. einen MultiBlokTM-Linearinjektor von Silicon Valley Group Thermal Systems, Scotts Valley, Kalifornien, USA, der in der US-Patentanmeldung mit der Seriennummer 09/113.823 (Attorney Docket Nr. A-58471-4), eingereicht am 10. Juli 1998, beschrieben ist, wobei die gesamte Offenbarung durch Verweis hierin aufgenommen ist. Jede CVD-Linearinjektoranordnung 43 weist Linearinjektoren 44, 45 zur Bearbeitung von 200-mm-Wafern auf. Alternativ dazu können drei Linearinjektoren in einer CVD-Linearinjektoranordnung eingesetzt werden, um 300-mm-Wafer zu bearbeiten. Es sollte jedoch darauf hingewiesen werden, dass auch andere Arten von Prozesskammern gemäß der vorliegenden Erfindung eingesetzt werden können. Beispielsweise kann eine Prozesskammer verwendet werden, in der Filme gezüchtet und bei erhöhten Temperaturen getempert werden, entweder in Kombination mit den oben genannten CVD-Kammern oder an ihrer Stelle.
  • In jeder Prozesskammer 40 ist eine Halbleiterwafer-Aufspannanordnung 60 bereitgestellt. Eine Waferaufspannanordnung 60 hat mehrere Grundfunktionen, einschließlich: (1) die Aufnahme eines Wafers von einem Transferarm und seine Abgabe an diesen; (2) das Halten eines Wafers während der Bearbeitung; (3) das Bereitstellen einer gleichförmigen Temperaturumgebung für einen Wafer, je nach Waferbearbeitungstemperatur; (4) das Transportieren eines Wafers innerhalb der Prozesskammer, falls dies aufgrund des Waferbearbeitungsverfahrens erforderlich ist. Die Waferaufspannanordnung 60 kann beispielsweise innerhalb jeder Prozesskammer 40 bereitgestellt sein, um die Wafer vorzuwärmen, festzuhalten und in Bezug auf die CVD-Linearinjektoranordnung 43 zu bewegen. Alternativ dazu kann die Aufspannanordnung 60 auch dazu verwendet werden, einen Wafer abzukühlen und/oder den Wafer in Bezug auf andere Arten von Bearbeitungswerkzeugen, wie z.B. Materialabtragungswerkzeugen, zu bewegen.
  • Wie in 10 zu sehen ist umfasst die Waferaufspannanordnung 60 eine Klemmfläche 62, auf der ein Halbleiterwafer platziert wird. Die Waferaufspannanordnung 60 umfasst ein Heizelement (nicht dargestellt), das den unbearbeiteten Wafer vor der Bearbeitung durch einen CVD-Injektor 43 vorwärmt. Eine Aufspannanordnungsisolierung 76 ist ebenfalls bereitgestellt, um die auf den Wafer angewandte Wärme zu isolieren. Eine Niederhalteklemme, wie z.B. eine Aufspann-Vakuumklemme 63, befestigt den Wafer an der Aufspannanordnung 60. Solche Vakuumklemmen sind auf dem Gebiet der Erfindung allgemein bekannt und hierin nicht genauer beschrieben. Natürlich können auch andere geeignete Klemmmittel verwendet werden, wie z.B. elektrostatische Waferklemmmittel. Im Allgemeinen wird die Rückseite eines Wafers auf bekannte Weise mithilfe einer Aufspann-Vakuumklemme 63 an einer Waferaufspannplatte 62 einer Waferaufspannanordnung 60 festgehalten. Die Vakuum klemme ist über eine Aufspannanordnung-Versorgungskette 59 wirksam mit einer Vakuumquelle verbunden. Die Versorgungskette 59 versorgt die Aufspannanordnung 60 mit Strom, Steuersignalen und Kühlwasser und erlaubt ihr gleichzeitig, sich innerhalb der Prozesskammer 40 zu bewegen.
  • In Bedampfungsmikrozonen oder -mikroregionen, die ganz allgemein mit der Zahl 49 bezeichnet sind und als Bereich direkt unter den Linearinjektorauslässen definiert sind, sind Injektorgase enthalten. Die Oberfläche eines auf der Waferaufspannplatte befestigten Wafers ist im Wesentlichen koplanar mit einer Oberfläche von Dichtungsplatten 61, die beide so angepasst sind, dass sie sich an den unteren Auslässen der Linearinjektoren 44, 45 innerhalb von etwa 1 mm vorbeibewegen. Solch eine Platzierung eines Wafers definiert einen wenig leitenden Gaspfad zwischen den Bedampfungsmikrozonen 49 und dem Rest der Prozesskammer 40. Dieser Pfad wird als Mikrokammerisolationsbereich oder Halbdichtungsbereich bezeichnet. Der 1-mm-Halbdichtungsspalt wird eingestellt, indem die Position eines Aufspann-Verschiebungsrahmens 64 in Bezug auf die Injektoranordnung 43 eingestellt wird, wie nachstehend erläutert ist. Ist der Halbdichtungsspalt einmal eingestellt, so ändert er sich nicht mehr während des Betriebs des Systems.
  • In der Praxis wird ein Wafer auf der Aufspannfläche 62 platziert, während sich die Aufspannanordnung 60 in einer zurückgezogenen oder Beladeposition befindet, wie sie in 1012 dargestellt ist. Der Wafer wird über Aufspann-Hebestiften 70 positioniert, welche den Wafer von der oberen Waferablage 85 abheben. Der Transferarm 82 schwenkt zurück in seine Ruheposition und lässt den Wafer auf den Aufspann-Hebestiften 70 zurück. Als Nächstes wird der Wafer auf die Aufspannfläche 62 abgesenkt. Die Aufspann-Hebestifte 70 heben und senken sich gleichzeitig und sind wirksam mit einer Aufspann-Hebestiftgabel 72 verbunden. Die Hebestiftgabel 72 ist wiederum wirksam mit einer Aufspann-Hebestift-Linearantriebswelle 73 verbunden, die von einer Hebestift-Servoaktuatoranordnung 74 betätigt wird. Natürlich können auch andere Mittel für eine kontrollierte Linearbewegung verwendet werden. Beispielsweise kann ein Schneckenantrieb bereitgestellt werden, um die Aufspann- Hebestiftgabel 72 und/oder die Aufspann-Hebestifte 70 in lineare Bewegung zu versetzen.
  • Wie in 11 zu sehen ist der Wafer an die Aufspannfläche 62 vakuumgeklemmt. Die Aufspannanordnung 60 bewegt sich entlang von Aufspann-Führungsleisten 66 des Aufspann-Verschiebungsrahmens 64 am CVD-Injektor 43 vorbei zu einer ausgefahrenen Position. Beispielsweise treibt ein Aufspann-Verschiebungsaktuator 67, wie z.B. ein elektrischer Servomotor, die Aufspannanordnung 60 mithilfe einer Aufspann-Verschiebungstriebschraube 68 wirksam entlang der Aufspann-Führungsleisten 66 an. Fachleute auf dem Gebiet der Erfindung werden erkennen, dass auch andere Aufspann-Verschiebungsvorrichtungen eingesetzt werden können. So wird der Wafer, der an der Waferaufspannplatte 62 befestigt ist, in einem einzigen vollen Hub in Richtung des Pfeils A unter die Injektorauslässe der Linearinjektoren 44, 45 bewegt. Durch den einzelnen vollen Hub kann die gesamte Waferoberfläche durch alle Injektorauslässe (d.h. zwei Injektorauslässe für einen 200 mm großen Wafer oder drei Injektorauslässe für einen 300 mm großen Wafer) bedampft werden. Es gilt anzumerken, dass die Anzahl an Injektoren und/oder Injektorauslässen nicht von der Wafergröße abhängt. Die Anzahl an Injektoren und/oder Injektorauslässen kann variiert werden, um den Durchsatz der Prozesskammer 40 zu optimieren. Dieser volle Hub kann so oft wie nötig wiederholt werden, um die gewünschte Bearbeitung des Wafers durchzuführen. Die Aufspann-Verschiebungsgeschwindigkeit kann ebenfalls je nach der gewünschten Dicke der SiO2-Schicht angepasst werden, die auf dem Wafer gebildet wird, wenn er den CVD-Injektor 43 passiert. In einer Ausführungsform der Erfindung liegt die Verschiebungsgeschwindigkeit beispielsweise im Bereich von 1 mm/s bis etwa 60 mm/s. Nach Beendigung des Bedampfens wird der Wafer zurück in die Beladeposition bewegt, wo die Aufspann-Hebestifte 70 den Wafer von der Waferaufspannplatte 62 abheben, um ihn an den Schleusentransferarm 82 zu übergeben.
  • Die Prozess- oder Reaktorkammer 40 weist Seitentüren 54, 11 und 12, und Endtüren 55, 10 auf, um einen leichten Zugang zur Wartung und Justierung des Verschiebungsrahmens 64 und der Aufspannanordnung 60 zu ermöglichen, ohne die Halbdichtungsspalte oder die Bedampfungsmikrozone oder andere Prozesskammerkomponenten, wie z.B. den CVD-Linearinjektor 43, zu beeinträchtigen. Wie oben erwähnt wird der 1-mm-Halbdichtungsspalt eingestellt, indem die Position des Aufspann-Verschiebungsrahmens 64 eingestellt wird. Dies wird erreicht, indem die Aufspann-Einstellvorrichtungen 69 eingestellt werden. Wie in 2 zu sehen ist, ist vorzugsweise jede Prozesskammer 40 mit drei Aufspann-Einstellvorrichtungen 69 ausgestattet, von denen zwei in 10 dargestellt sind. Solch eine Konfiguration ermöglicht eine genaue Einstellung des Nick- und Rollwinkels sowie der Höhe der Aufspannanordnung 60. Aufspann-Einstellvorrichtungen 60 können beispielsweise so eingestellt werden, dass der Halbdichtungsspalt für den Fall genau eingestellt wird, dass die Prozesskammer 40 einen CVD-Linearinjektor 43 umfasst. Aufspann-Einstellvorrichtungen 69 können auch verwendet werden, um die Position eines Wafers in Bezug auf andere Bearbeitungswerkzeuge einzustellen. Solche Einstellvorrichtungen können die Form von Stellschrauben oder anderen allgemein bekannten Einstellmitteln aufweisen. Natürlich können auch mehr oder weniger als drei Aufspann-Einstellvorrichtungen verwendet werden. Beispielsweise können zwei Einstellvorrichtungen verwendet werden und der dritte Punkt des Verschiebungsrahmens 64 in Bezug auf die Prozesskammer 40 fix sein.
  • Wie in 2 zu sehen ist sind die Prozesskammer 40 vertikal in Paaren angeordnet, um Anforderungen in Bezug auf die Bodenfläche oder Standfläche zu erfüllen. Die vertikal gestapelten Prozesskammerpaare in Kombination mit einem zentralen Chemikalien-Abgabesystem 24 und einem zentralen Steuersystem 21 werden kollektiv als Mehrkammernmodul (MKM) 20 bezeichnet. Die beiden Prozesskammern können im Wesentlichen identisch sein, beispielsweise kann jede einen unabhängigen CVD-Linearinjektor, eine Waferaufspannanordnung und einen Aufspann-Verschiebungsrahmen aufweisen. Außerdem umfasst jede Prozesskammer eine ihr zugeordnete Schleusenkammer und eine Kühlplatte. Für den Fall, dass Unterschiede zwischen den beiden Kammern erwünscht sind, können diese leicht untergebracht werden, ohne dass die Kammern sich gegenseitig beeinflussen.
  • Alternativ dazu kann jedes Mehrkammernmodul unterschiedliche Arten von Prozesskammern umfassen. Beispielsweise kann eine Prozesskammer 40 einen CVD-Linearinjektor 43 aufweisen, während eine andere Prozesskammer, die in Bezug auf die erste vertikal gestapelt ist, ein thermisches Schnelloxidationsgerät (nicht dargestellt) umfasst. Ferner kann das MKM 20 auch drei Prozesskammern 40 umfassen, die vertikal übereinander gestapelt sind, wie in 15 dargestellt ist. Natürlich können die Prozesskammern 40 die Form einer CVD-Prozesskammer, wie sie oben erläutert wurde, oder die Form anderer Arten von Prozesskammern oder Kombinationen daraus aufweisen.
  • Der Abstand zwischen den Prozesskammern 40 eines MKM 20 hängt von den Einschränkungen der jeweiligen Konstruktion ab, wie z.B. vom maximalen vertikalen Hub des vorderseitigen Roboters, vom Wartungszugangsabstand 23 zwischen den Prozesskammern für die CVD-Injektoren und die Chemikalienzufuhrleitungen sowie von der Gesamthöhe der Prozesskammern, wie sie durch die Maximalhöhe der Aufspannanordnung und dem Verschiebungsrahmen definiert ist. Wie in 12 zu sehen ist befindet sich ein Aufspannhebestiftaktuator 71 gemäß der vorliegenden Erfindung außerhalb der Prozesskammer 40, um die Gesamthöhe und das Gesamtvolumen der Prozesskammer 40 zu verringern. Bälge 75 werden zwischen dem Hebestiftaktuator 71 und den Aufspannhebestiften 70 eingesetzt, um die partikelfreie Umgebung nahe Atmosphärendruck der Prozesskammer 40 aufrecht zu erhalten.
  • Die vertikal gestapelten Prozesskammern 40 eines MKM 20 befinden sich auf einem gemeinsamen Rahmen, der auch ein zentrales Verfahrenschemikaliensystem 24 und ein zentrales lokales Steuersystem 21 trägt. Das Abgabesystem 24 befindet sich ganz oben des MKM 20 und versorgt die gestapelten Prozesskammern 40 mit Material. Das Abgabesystem 24 kann beispielsweise ein Chemikalienabgabesystem umfassen, um Chemikalien zu den beiden gestapelten Prozesskammern 40 im MKM 20 zuzuführen. Das zentrale Steuersystem 21 kann sich innerhalb des MKM unter der unteren Prozesskammer 40 befinden. Alternativ dazu können solche Komponenten auch auf einem separaten Gestell entlang des CVD-Bearbeitungssystems bereitgestellt sein, je nach Quantitäts- und Packungsanforderungen der elektronischen Kom ponenten und Entfernungseinschränkungen in Bezug auf die Bereitstellung einer vernünftigen Prozess- und Systemsteuerung. Wenn ein Abgabesystem alle Prozesskammer 40 jedes MKM 20 versorgt, können deutliche Ersparnisse in Bezug auf Kosten und Raum erzielt werden. Die tatsächliche Position des Verfahrenschemikalien-Abgabesystems 24 und des zentralen Steuersystems 21 können innerhalb des Schutzumfangs der vorliegenden Erfindung variieren. Beispielsweise kann sich das Abgabesystem 24 unter der unteren Prozesskammer und zwischen Prozesskammern befinden. Auf ähnliche Weise kann sich das zentrale Steuersystem 21 über der oberen Prozesskammer befinden.
  • Ein Beispiel für ein Verfahrenchemikalien-Abgabesystem 24 für drei gestapelte Prozesskammern ist in 13 dargestellt. Das Abgabesystem 24 umfasst ein Chemikalien-Abgabesystem mit einer Verteilereinheit 25, um die Chemikalie aus der Quelle in die CVD-Linearinjektoren 43 von drei Prozesskammern 40 aufzuteilen. Alternativ dazu kann eine Verteilereinheit bereitgestellt werden, um die Chemikalie aus der Quelle in die Injektoren von weniger oder mehr als drei Prozesskammern aufzuteilen. Als solches beginnt und endet das chemische Bedampfen gleichzeitig in allen Prozesskammern 40 eines MKM 20. Es gibt kein unabhängiges Mittel zum Messen, Regeln oder Ausgleichen der Chemikalienabgabe zwischen den einzelnen Prozesskammern 40 für Waferbearbeitungsvorgänge. Stattdessen verteilen ein Trennpunkt für die Chemikalie aus der Quelle 25 und ein Oxidationsmittel-Trennpunkt 26 die Chemikalie aus der Quelle und das Ozon im Wesentlichen gleichmäßig auf die einzelnen CVD-Linearinjektoren 43. Jede geringste Abweichung in der Bedampfungsdicke, die aus Abweichungen in der Chemikalienabgabe zwischen den Prozesskammern 40 resultiert, wird angeglichen, indem ihre Waferaufspann-Verschiebungsgeschwindigkeit variiert wird, da jede Prozesskammer 40 einen unabhängigen Aufspann-Verschiebungsaktuator 67 aufweist. Unabhängige Messventile oder Laufregler können für jede Kammer bereitgestellt werden, um die Chemikalien- und Ozonzufuhr in die einzelnen Prozesskammern 43 zu regeln. So kann jede Prozesskammer 43 unabhängig von den anderen betrieben werden. Das zentrale Abgabesystem der vorliegenden Erfindung minimiert die Gesamtkosten und die Komplexität des Waferbearbeitungssystems. Natürlich können stattdessen auch individuelle Abgabesyste me, beispielsweise individuelle Drosselventile, für jede Prozesskammer verwendet werden, aber solch eine Konfiguration könne zu höheren Kosten führen.
  • Für jedes Abgabesystem 24 eines entsprechenden MKM 20 wird ein Ozonerzeuger bereitgestellt. Ein Versorgungsbehälter für eine flüssige Chemikalie kann jedoch für mehrere Abgabesysteme 24 bereitgestellt werden, was mehreren MKM 20 entspricht. Ein ähnlicher Ansatz wird, falls solche eingesetzt werden, für wasserfreie HF-Reinigungssyteme verwendet, wobei ein HF-System einsetzt wird und durch eine Verteilereinheit passiv zwischen allen Prozesskammern 40 eines MKM 20 verteilt wird. In einer Variante dieser Konstruktion, wenn eine Quelle zur Erzeugung von atomarem Fluor verwendet wird, um Reinigungsvorläufer zu erzeugen, wird eine Fluorerzeugungsquelle pro Prozesskammer 40 bereitgestellt, obwohl die Reinigung aller Prozesskammern 40 in einem MKM 20 gleichzeitig stattfindet, und zwar um den Durchsatz der Prozesskammern 40 zu erhöhen und die Chemikalienabgabe-Hardware für die Fluorerzeugungsquelle zu vereinfachen. Alternativ dazu können andere Ausführungsformen eine einzelne Quelle für atomaren Fluor für beide Prozesskammern in einem MKM aufweisen.
  • Der Grundriss des Waferbearbeitungssystems 5 der vorliegenden Erfindung ist so angeordnet, dass eine Reihe von MKM 20, z.B. zwei, drei oder mehr MKM 20, auf lineare Weise parallel zueinander angeordnet sind. Solch eine Anordnung von MKM 20 kann orthogonal zur VAE-Einheit 6 stehen, wie in 3 zu sehen ist, oder in einem Winkel, wie in 14 dargestellt ist, je nach dem jeweiligen Aufbau der Schleusenkammern 80. Das Waferbearbeitungssystem 5 als solches kann als "lineare Anordnung" betrachtet werden.
  • Fachleute auf dem Gebiet der Erfindung werden erkennen, dass mehrere MKM unter Verwendung anderer geometrischer Anordnungen um ein Transportsystem eingesetzt werden können, beispielsweise in kreisförmiger Anordnung um einen zentralen Roboter, in gespiegelter paralleler Anordnung um einen zentralen Verschiebungsroboter auf der linken und der rechten Seite des Geräts oder in anderer, nichtlinearer Anordnung, ebenfalls unter Verwendung der gestapelten Kammern, vorausgesetzt, dass eine Schleusenkammer für jede Prozesskammer bereitgestellt ist.
  • Die oben erläuterten Konfigurationen der Prozesskammern 40 und der MKM 20 stellen Verbesserungen in Bezug auf die Standfläche gegenüber ähnlichen Linearinjektor-Geräteblocksystemen bereit. Im Vergleich zu herkömmlichen Systemen mit der gleichen Anzahl an Prozesskammern, beispielsweise vier Prozesskammern, wird die Standfläche etwa von etwa 168 Quadratfuß (ft2) eines Systems nach dem Stand der Technik durch ein System gemäß der vorliegenden Erfindung auf etwa 73 Quadratfuß (ft2) verringert. Diese Verringerung der Standfläche wird vor allem durch das Stapeln von CVD-Prozesskammern 40 und das Ersetzen eines separaten Transportmodul-Angelpunkts, der typischerweise in herkömmlichen Geräteblock-CVD-Bearbeitungssystemen bereitgestellt ist, durch einzelne Schleusen 80, die mit einer entsprechenden CVD-Prozesskammer 40 und der vorderseitigen Atmosphärendruck-Einheit 6 erreicht. Außerdem wird der Platz in der linearen Anordnung besser genutzt, weil kein Platz an eine große Transportmodul-(TM-)Kammer und einen Verteilerroboter verloren geht, die typischerweise in herkömmlichen Systemen im Zentrum eines Geräteblocksystems vorhanden sind (siehe schematische Darstellung in 4).
  • Die Standfläche eines CVD-Bearbeitungssystems für 300-mm-Anwendungen (z.B. Wafer) gemäß der vorliegenden Erfindung ist vergleichbar mit der Standfläche eines herkömmlichen Systems für 200-mm-Anwendungen. Die vorliegende Erfindung bringt enorme Vorteile für Waferbearbeitungsvorrichtungen für 200-mm-Anwendungen mit sich, da solche Vorrichtungen unter Nutzung der bisherigen Standfläche der Vorrichtung zusätzlich das erfindungsgemäße System für 300-mm-Anwendungen umfassen können.
  • Das Halbleiterwafer-Bearbeitungssystem, die Halbleiterwafer-Transfervorrichtung und das Verfahren der vorliegenden Erfindung bringen zahlreiche technische Vorteile mit sich. Beispielsweise können die Produktions- und Bearbeitungskosten sowie die Komplexität des Systems minimiert werden, indem die Notwendigkeit mehrerer Servoachsen zur Bewegung des Wafers eliminiert wird. Die Bewegung des Halbleiterwafer-Bearbeitungsvorrichtung, beispielsweise des Transferarms 82, wird auf eine Bewegung um eine einzig Achse reduziert, wodurch die Gesamtkosten für die Waferautomatisierung verringert werden. Die Verwendung des monolithischen einachsigen Transferarms eliminiert außerdem Gelenkskomponenten im Transfermechanismus, wodurch die Komplexität und mögliche Zuverlässigkeitsmängel minimiert werden. Der einachsige Transferarm der vorliegenden Erfindung minimiert ferner die Teilchenbildung und Verunreinigung, da sich weniger bewegliche Teile in den Schleusenkammern befinden. Der einachsige Arm minimiert die Tiefe des gesamten Systems, da die Prozesskammern von der Seite her beladen werden können, und optimiert so die Packungseinschränkungen des Systems. Ferner ist, da eine Kühlplatte in jeder Schleusenkammer platziert wird, keine separate zugeordnete Kühlstation mehr erforderlich. Die Kühlplattenanordnung der vorliegenden Erfindung erfordert keine zusätzlichen Transferarmachsen, da sie Kühlplattenhebestifte umfasst, um Wafer vom Transferarm zu entnehmen. Dies stellt einen Vorteil gegenüber Waferkühl- und -transferansätzen nach dem Stand der Technik bereit, weil beide Funktionen in einer einzelnen platzsparenden Einheit untergebracht sind.
  • In einer Ausführungsform der Erfindung umfasst die Prozessfolge der Prozesskammer eine Vollhub-Bedampfungsstrategie, bei der die Empfindlichkeit der Filmdicke oder Dotiermittelkonzentration gegenüber der Chemikalienzufuhr und -stabilisierung minimiert wird. Alternativ dazu kann die Prozessfolge der Prozesskammer eine aktive zyklische Verschiebung umfassen, wie sie im US-Patent Nr. 09/113.730 (Attorney Docket Nr. 1-66484), eingereicht am 10. Juli 1998, beschrieben ist, wobei die gesamte Offenbarung durch Verweis hierin aufgenommen ist.
  • Die Kombination aus verringerter Standfläche, geringeren Kosten und keiner Verschlechterung des Durchsatzes des gesamten Systems führt dazu, dass sowohl die Gesamtkosten (TCO) als auch die Waferbearbeitungskosten geringer sind. In einer Ausführungsform der Erfindung werden die zu erwartenden Gesamtkosten dieser Vorrichtung für einen 5000-Angstrom-BPSG-Film auf etwa $ 2,65–2,72 pro Wafer geschätzt, je nach Anzahl an Prozesskammern im System (d.h. sechs (6) Prozess kammern im Gegensatz zu vier (4) Prozesskammern). Im Vergleich dazu hat die Bedampfung mit einem identischen Film durch ein System nach dem Stand der Technik bisher Gesamtkosten im Bereich von $ 3,59–4,95 verursacht, je nach Hersteller und Modell. Dieser als Beispiel angeführte Vergleich stellt eine Ersparnis zwischen etwa sechsundzwanzig und fünfundvierzig Prozent pro Wafer dar.
  • EXEMPLARISCHE UMSETZUNG DER BEVORZUGTEN AUSFÜHRUNGSFORM
  • In 16(a)–(l) ist ein Beispiel für ein Halbleiterwafer-Transfer- und -Bearbeitungsverfahren gemäß der vorliegenden Erfindung schematisch dargestellt, wobei eine Schleusenkammer 80 mit einer jeweiligen Prozesskammer 40 verbunden ist: Ein Schleusentransferarm (in 16 nicht dargestellt), der dem Transferarm 83 ähnelt, nimmt Halbleiterwafer von einem vorderseitigen Roboter 8 (in 16 nicht dargestellt) auf und bewegt die Wafer zwischen der Schleusenkammer und der Prozesskammer.
  • Drei Ebenen in der Schleusenkammer 80 stehen für die Waferpositionen, wenn die Wafer auf einer oberen Waferablage 85', einer unteren Waferablage 87' und einer Kühlplatte 95' in der Schleusenkammer 80 liegen. Eine vierte Waferposition mit "ausgefahrenen Stiften" ein wenig über der Kühlplatte ist nicht dargestellt, nachstehend aber erläutert. Zwei Ebenen in der Prozesskammer 40 stellen die Waferpositionen dar, wenn die Wafer auf der oberen Waferablage 85'' und der unteren Waferablage 87'' in der Prozesskammer 40 liegen. Andere Waferpositionen, wie beispielsweise auf der "Aufspannfläche" und beim "Vorwärmen" sind nicht dargestellt, nachstehend aber erläutert.
  • Das als Beispiel angeführte Verfahren zum Halbleiterwafertransfer und zur Halbleiterwaferbearbeitung umfasst die folgenden Schritte:
    • 1. Waferkassetten 7 werden in ihren jeweiligen Halterungen oder automatisierten Beladeöffnungen in der vorderen Ebene der vorderseitigen Atmosphärendruck-Einheit (VAE) 6 platziert.
    • 2. Ein vorderseitiger Atmosphärendruck-Roboter 8 entfernt einen ersten unbearbeiteten Wafer aus der Waferkassette 7 und transportiert ihn zum Waferausrichter 9
    • 3. Der Waferausrichter dreht den ersten unbearbeiteten Wafer, um den Schwerpunkt des Wafers zu bestimmen und die Waferversetzung zu bestimmen. Außerdem wird die Waferkerbenposition bestimmt und gemäß den jeweiligen Prozessanforderungen ausgerichtet.
    • 4. Der Roboter 8 führt einen Versetzungsaufnahmeschritt durch, bei dem der erste unbearbeitete Wafer vom Ausrichter 9 mit einer berechneten Versetzung entnommen wird, um den ersten unbearbeiteten Wafer genau auf dem Effektorende 84 des Schleusentransferarms 82 zu zentrieren.
    • 5. Die Schleusenkammer 80 wird auf Atmosphärendruck entlüftet und geöffnet.
    • 6. Während sich der Transferarm 82 in seiner Ruheposition befindet wird der erste unbearbeitete Wafer A mithilfe des vorderseitigen Atmosphärendruck-Roboters 8 auf der oberen Waferablage 85 des Transferarm-Effektorendes 84 platziert, wie in 16(a) dargestellt ist. Die Schleusenkammer 80 wird dann geschlossen und auf einen Transferdruck evakuiert, der im Wesentlichen dem Druck in der Prozesskammer 40 entspricht.
    • 7. Wenn die Evakuierung abgeschlossen ist, öffnet sich der Prozesskammer-Schlitzschieber und der Schleusentransferarm 82 dreht sich, um den ersten unbearbeiteten Wafer A in die Prozesskammer 40 zu bewegen, wie in 16(b) dargestellt ist. Zu diesem Zeitpunkt befinden sich die Aufspann-Hebestifte 70 in der Aufspannanordnung 60 in ihrer "unteren" Position, d.h. unter der Aufspannfläche 62.
    • 8. Der Schleusentransferarm 82 richtet den ersten unbearbeiteten Wafer A konzentrisch mit dem Durchmesser der Aufspannanordnung 60 aus. Die Aufspannhebestifte 70 heben sich dann, kontaktieren den ersten unbearbeiteten Wafer A auf dem Schleusentransferarm 82 und heben den ersten unbearbeiteten Wafer A über den Schleusentransferarm 82 in die "Beladeposition" für unbearbeitete Wafer hinauf, damit der Schleusentransferarm 82 zurück in die Schleusenkammer 80 fahren kann und den ersten unbearbeiteten Wafer A auf den Aufspannhebestiften 70 zurücklässt.
    • 9. Die Aufspannhebestifte 80 ziehen sich in einer "Wafervorwärmposition" zurück, die sich 0,25–0,75 mm über der Waferaufspannplatte 62 befindet. Nach Ende der Vorwärmdauer von 8–20 Sekunden werden die Aufspannhebestifte 70 vollständig zurückgezogen, und der erste unbearbeitete Wafer A wird an die Waferaufspannplatte 62 vakuumgeklemmt, wie in 16(c) dargestellt ist.
    • 10. Die Ozonerzeugerentladungsstärke wird rasch von null Ausgangsleistung auf die durch den Prozess bestimmte Ausgangsleistung erhöht, die typischerweise als 120 g/m3 bei 40 NI/min definiert ist. Das Ozon strömt während dieser 10–15 Sekunden langen Stabilisierungsperiode durch den Injektor auf die Dichtungsplatte und durch den Auslass hinaus. Es wird gleichzeitig die Temperatur von Wafer A stabilisiert, während er auf der Aufspannvorrichtung befestigt wird, und flüssige Chemikalien aus der Quelle, z.B. TEOS, TEB und TEPo, werden aufgedreht, sodass sie durch den Injektor 43 und in die Bedampfungsmikroregion 49 über der Dichtungsplatte 61 strömen.
    • 11. Nachdem die Ozon- und Wärmestabilisierungsperiode abgeschlossen sind, beginnt die Waferverschiebung und der erste unbearbeitete Wafer wird unter dem CVD-Injektor 43 bewegt. Die Bedampfung beginnt sofort, wenn das Ozon und die flüssige Chemikalie aus der Quelle auf die Oberfläche des ersten erwärmten, unbearbeiteten Wafers A auftreffen und an dieser reagieren, um eine SiO2-Schicht auf dem Wafer zu bilden, was den eigentlichen CVD-Prozess definiert.
    • 12. Der Verschiebungsaktuator 67 bewegt die Aufspannanordnung 60 auf lineare Weise und mit einer konstanten Geschwindigkeit unter dem Injektor 43, bis die Bedampfungsgase vom Injektor über den gesamten unbearbeiteten Wafer A gestrichen sind. Je nach Dicke, Zusammensetzung und anderen Bearbeitungsanforderungen wird der unbearbeitete Wafer durch die aus den Injektorauslässen austretenden In jektorgase gleichmäßig und vollständig mit einem Film überzogen, wodurch der erste unbearbeitete Wafer A zu einem ersten bearbeiteten Wafer A' gemacht wird.
    • 13. Während des in Schritt 1012 beschriebenen CVD-Verfahrens kehrt der Schleusentransferarm 82 an seine Ruheposition in der Schleusenkammer 80 zurück. Der Prozesskammer-Schlitzschieber 41 wird geschlossen, und die Schleusenkammer 80 wird auf Atmosphärendruck entlüftet und geöffnet. Ein zweiter unbearbeiteter Wafer B wird mithilfe des VAE-Roboters 8 auf der oberen Waferablage 85 des Schleusentransferarm-Effektorendes 84 platziert, wie in 16(c) dargestellt ist. Die Schleusenkammer 80 wird dann geschlossen und auf den Transferdruck evakuiert. Nach Beendigung der Evakuierung bleibt die Schleusenkammer 80 außer Betrieb, bis der in Schritt 1012 beschriebene CVD-Vorgang auf dem ersten unbearbeiteten Wafer beendet ist.
    • 14. Wenn der CVD-Vorgang auf dem ersten Wafer beendet ist, wird die Ozonentladungsstärke gedrosselt und die flüssigen Chemikalien aus der Quelle werden entweder vom Injektor 43 weggeleitet oder abgedreht. Nachdem die Aufspannanordnung 60 zurück in ihre Beladeposition gebracht wurde und einige Sekunden in ihrer Beladeposition verweilt, bis der Injektor eine Chemikalienkonzentration von null erreicht, wird die Vakuumklemme 63 in Vorbereitung für die Entfernung des ersten unbearbeiteten Wafers gelöst.
    • 15. Nach dem Lösen des ersten bearbeiteten Wafers A' wird der Prozesskammer-Schlitzschieber 41 geöffnet und die Aufspannhebestifte 70 heben den ersten bearbeiteten Wafer A' auf eine Höhe an, die leicht über, beispielsweise etwa 0,75–1,5 mm über, der unteren Waferablage 87 des Schleusentransferarm-Effektorendes 84 liegt. Es gilt anzumerken, dass diese "Entladeposition" des bearbeiteten Wafers sich über der "Vorwärmposition" aus Schritt 9, aber unter der "Beladeposition" des unbearbeiteten Wafers aus Schritt 8 befindet.
    • 16. Der Schleusentransferarm 82, der den zweiten unbearbeiteten Wafer B auf der oberen Waferablage 85 des Effektorendes 84 trägt, schwenkt in die Prozesskammer 40 und richtet sich konzentrisch mit dem ersten bearbeiteten Wafer auf den Aufspannhebestiften 70 aus, wie in 16(d) dargestellt ist. Die Aufspannhebestifte 70 sinken dann in die "untere" Position ab, sodass der erste bearbeitete Wafer A' auf der untere Waferablage 87 des Endeffektors 84 platziert werden kann. Der Schleusentransferarm 82 schwenkt nun zurück in die Schleusenkammer 80, wobei er sowohl den ersten bearbeiteten Wafer A' auf der unteren Waferablage 87 als auch den zweiten unbearbeiteten Wafer B auf der oberen Waferablage 85 trägt, wie in 16(e) dargestellt ist.
    • 17. Nachdem der Schleusentransferarm 82 in seine Ruheposition zurückgeschwenkt ist, wird der erste bearbeitete Wafer A' auf der unteren Waferablage 87 durch die Kühlplattenhebestifte 96, die sich in einer Waferkühlplatte 95 befinden, die sich direkt unter und konzentrisch mit dem Endeffektor 84 befindet, wenn sich der Schleusentransferarm 82 in seiner Ruheposition befindet, etwa 0,75–1,5 mm über den Endeffektor 84 gehoben, wie in 16(g) dargestellt ist. Sobald der erste bearbeitete Wafer A' durch die Kühlplattenhebestifte 96 angehoben ist, schwenkt der Schleusentransferarm 82 zurück in die Prozesskammer 40, wobei er den zweiten unbearbeiteten Wafer B auf der oberen Waferablage 85 des Endeffektors 84 trägt, wie in 16(g) dargestellt ist. Der zweite unbearbeitete Wafer B wird nun auf die gleiche Weise wie in Schritt 8 und 9 beschrieben und in 16(h) dargestellt auf die Waferaufspannvorrichtung 60 geladen.
    • 18. Gleichzeitig mit Schritt 17 wird der erste bearbeitete Wafer A auf die oder auf eine Höhe leicht über der Kühlplatte 95 auf die "obere" Position der Kühlplattenhebestifte 96 gesenkt, die sich beispielsweise etwa 0,25 mm über der Oberfläche der Kühlplatte 95 befindet, wie in 16(g) dargestellt ist. Der Schleusentransferarm 82 ist nun frei, um zurück in seine Ruheposition zu schwenken, die sich direkt über dem ersten bearbeiteten Wafer A' auf der Kühlplatte 95 befindet. Die Kühlplatte 95, die mit einer Flüssigkeit oder mit Luft auf Umgebungstemperatur gekühlt wird, kühlt den bearbeiteten Wafer in etwa 60 Sekunden auf unter 70°C ab, wobei die Schleusenkammer 80 während dieses Zeitraums auf Atmosphärendruck entlüftet wird.
    • 19. Wenn die Schleusenentlüftung aus Schritt 18 abgeschlossen ist, öffnet sich der vorderseitige Absperrschieber 10 und die Kühlplattenhebestifte 96 heben den ersten bearbeiteten Wafer A'' auf eine Zwischenhöhe an, die sich zwischen der Kühlhöhe und der Endladehöhe des Endeffektors 84 befindet, wie in 16(h) dargestellt ist. Der VAE-Roboter 8 holt den ersten bearbeiteten Wafer A'' von den Kühlplattenhebestiften 96 herunter und platziert den ersten bearbeiteten Wafer A'' wieder in der Waferkassette 7, wie in 16(i) dargestellt ist.
    • 20. Wenn der vorderseitige Absperrschieber 10 geöffnet und die Schleusenkammer 80 auf Atmosphärendruck ist, wird ein dritter unbearbeiteter Wafer C in die Schleusenkammer geladen; wie in 16(h) dargestellt und in Schritt 13 beschrieben ist, und die nachfolgenden Schritte werden wiederholt, wie in 16(j)–(l) zu sehen ist.
  • Das Verfahren und die Vorrichtung der vorliegenden Erfindung erhöhen den Durchsatz von Prozesskammern und des gesamten Bearbeitungssystems mithilfe einer parallelen Bearbeitungskonstruktion, bei der jede Prozesskammer eine eigene ihr zugeordnete Schleusenkammer und einen eigenen ihr zugeordneten Wafertransferarm aufweist und von einem vorderseitigen Roboter mit einer vertikalen Reichweite bedient wird, die eine vertikale Stapelung von zwei oder mehr Schleusen-/Prozesskammer-Anordnungen ermöglicht. Durch das Stapeln der Kammeranordnungen und die Optimierung der gesamten Konstruktion kann die Standfläche des Systems minimiert werden. Durch die Bereitstellung eines einachsigen Transferarms für jede Prozesskammer wird der Durchsatz der Prozesskammern erhöht, indem der Weg der einzelnen Wafer in die Prozesskammern vereinfacht und die Waferbelade-/-entladedauer der einzelnen Prozesskammern verringert wird. Durch die Bereitstellung eines Zwei-Wafer-Transfersystems wird der Durchsatz der einzelnen Prozesskammern erhöht, indem die Waferbelade-/-endladedauer durch das gleichzeitige Verschieben eines unbearbeiteten Wafers und eines bearbeiteten Wafers zwischen einer Schleusen- und einer Prozesskammer minimiert wird. Durch die Bereitstellung einer Kühlplatte in jeder Schleuse wird der Durchsatz der einzelnen Prozesskammern und des gesamten Systems weiter erhöht, da das Kühlen und die Entfernung von bearbeiteten Wafern parallel mit der Bearbeitung anderer Wafer stattfindet.
  • Die obige Beschreibung spezifischer Ausführungsformen der vorliegenden Erfindung dient dem Zwecke der Veranschaulichung und Beschreibung. Sie ist nicht als umfassend oder als Einschränkung des Schutzumfangs der Erfindung auf die genauen geoffenbarten Formen zu verstehen, und natürlich sind zahlreiche Modifikationen und Variationen im Lichte der obigen Lehren möglich. Die Ausführungsformen wurden gewählt und beschrieben, um die Prinzipien der Erfindung und ihrer praktischen Anwendung besser erklären zu können und so anderen Fachleuten auf dem Gebiet der Erfindung die beste Nutzung der Erfindung sowie verschiedener Ausführungsformen mit unterschiedlichen Modifikationen, die für die jeweilige spezielle Anwendung geeignet sind, zu ermöglichen.

Claims (25)

  1. Halbleiterwafer-Verfahrensvorrichtung (5), umfassend: einen einachsigen Zwei-Wafer-Transferarm (82), der zum Tragen und zum Transfer von Halbleiterwafern zwischen einer Schleusenkammer (80) und einer Halbleiterwafer-Prozesskammer (40) geeignet ist, wobei der Transferarm einen monolithischen Arm (82) mit einem Drehlagerende und einem Doppel-Wafer-Ende (84) umfasst, wobei das Drehlagerende schwenkbar an einem Gelenkzapfen im Inneren der Schleusenkammer (80) angebracht ist, und das Doppel-Wafer-Ende über eine untere Waferablage (87) und eine obere Waferablage (85) verfügt, wobei der monolithische Arm um eine einzige Schwenkachse (83) schwenkbar ist, sodass der Transferarm (82) die untere und die obere Waferablage (87, 85) zwischen einer zurückgezogenen Ruheposition und einer ausgefahrenen Position, in der sich die Waferablagen in die Prozesskammer (40) hinein erstrecken, hin- und herbewegt.
  2. Halbleiterwafer-Verfahrensvorrichtung nach Anspruch 1, worin der Transferarm (82) monolithisch ist.
  3. Halbleiterwafer-Verfahrensvorrichtung nach Anspruch 1 oder 2, wobei der Transferarm (82) weiters Folgendes umfasst: eine Kühlplatte (95), die unterhalb des Transferarms angeordnet ist, wenn sich der Transferarm in der zurückgezogenen Position befindet.
  4. Halbleiterwafer-Verfahrensvorrichtung nach Anspruch 3, worin die Kühlplatte weiters eine Vielzahl von Hebestiften (96) umfasst, um die Wafer zwischen der Kühlplatte und dem Transferarm zu transportieren.
  5. Halbleiterwafer-Verfahrensvorrichtung nach Anspruch 1 oder 2, worin der Transferarm (82) weiters eine untere Waferablage (87) zum Tragen eines bear beiteten Wafers und eine obere Waferablage (85) zum Tragen eines unbearbeiteten Wafers umfasst.
  6. Halbleiterwafer-Verfahrensvorrichtung nach Anspruch 1 oder 2, worin der Transferarm (82) geeignet ist, um gleichzeitig einen bearbeiteten Wafer und einen unbearbeiteten Wafer zu tragen.
  7. Halbleiterwafer-Bearbeitungssystem, umfassend: eine vorderseitige Atmosphäreneinheit (6), welche einen vorderseitigen Roboter (8) zum Transportieren eines Halbleiterwafers umfasst; ein Mehrkammernmodul (20), wobei das Mehrkammernmodul eine Vielzahl an vertikal gestapelten Halbleiterwafer-Prozesskammern (40) umfasst; eine für jede Halbleiterwafer-Prozesskammer bereitgestellte Schleusenkammer (80), worin der Roboter die Wafer in die Schleusenkammern transferiert; und eine für jede der Schleusenkammern bereitgestellte und jeweils einer entsprechenden Waferprozesskammer zugeordnete Vorrichtung (5) nach Anspruch 1 oder 2 zum Transfer des Wafers zwischen jeder der Schleusenkammern.
  8. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, weiters umfassend ein zentrales Verfahrenschemikalien-Abgabesystem (24), welches der Vielzahl an Prozesskammern chemische Substanzen zuführt.
  9. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, weiters umfassend zumindest zwei Mehrkammernmodule (20), wobei die Mehrkammernmodule in einer linearen Anordnung ausgerichtet sind.
  10. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, worin der Transferarm (82) weiters eine untere Waferablage (87) und eine obere Waferablage (85) umfasst.
  11. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, worin jede Schleusenkammer (80) weiters eine Kühlplatte (95) umfasst, die unterhalb des Transferarms (82) angeordnet ist, wenn sich der Transferarm in der zurückgezogenen Position befindet.
  12. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, worin die Kühlplatte (95) zudem eine Vielzahl an Hebestiften (96) zum Anheben des Wafers umfasst, um die untere Waferablage und die obere Waferablage mit dem Wafer zu beladen und zu entladen.
  13. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, worin jede Prozesskammer (40) weiters eine Waferaufspannanordnung (60) zur Aufnahme des Wafers vom Transferarm und zum Halten des Wafers in der Prozesskammer umfasst.
  14. Halbleiterwafer-Bearbeitungssystem nach Anspruch 13, worin die Waferaufspannanordnung (60) Folgendes umfasst: eine Aufspann-Klemmfläche (62) zum Festhalten eines Wafers in der Waferaufspannvorrichtung; und eine Vielzahl an Aufspann-Hebestiften (70) zum Transportieren des Wafers zwischen dem Transferarm und der Klemmfläche.
  15. Halbleiterwafer-Bearbeitungssystem nach Anspruch 13, worin die Waferaufspannanordnung (60) einen Aufspann-Verschiebungsrahmen (64) zum Verschieben des Wafers zwischen einer Beladeposition und einer Bearbeitungsposition umfasst.
  16. Halbleiterwafer-Bearbeitungssystem nach Anspruch 15, weiters umfassend eine Aufspannanordnungs-Einstellungsvorrichtung (69) zum Einstellen des Nick- und Rollwinkels sowie der Höhe der Aufspannanordnung (60) relativ zur Prozesskammer (40).
  17. Halbleiterwafer-Bearbeitungssystem nach Anspruch 15, umfassend drei Aufspannanordnungs-Einstellungsvorrichtungen (69) zum Einstellen des Nick- und Rollwinkels sowie der Höhe der Aufspannanordnung (60) relativ zur Prozesskammer (40).
  18. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, worin die Prozesskammer Folgendes umfasst: einen Linearinjektor (43) für die chemische Bedampfung, der fix in der Prozesskammer (40) angebracht ist; eine Waferaufspannanordnung (60) zur Aufnahme des Wafers vom Transferarm (82) und zum Verschieben des Wafers vorbei am Linearinjektor (43).
  19. Halbleiterwafer-Bearbeitungssystem nach Anspruch 7, umfassend: eine abnehmbare Waferbeladekassette (7); eine Vielzahl an Halbleiterwafer-Prozesskammern (40), wobei jede Halbleiterwafer-Prozesskammer Folgendes umfasst: eine Waferaufspannanordnung (60) zum Verschieben des Wafers im Inneren der Prozesskammer, wobei die Waferaufspannanordnung über eine Aufspann-Klemmfläche (62) verfügt, um einen Wafer auf der Wafer-Ausspannvorrichtung festzuhalten, eine Vielzahl an Aufspann-Hebestiften (70) zum Transportieren des Wafers zwischen dem Transferarm und der Klemmfläche, und einen Aufspann-Verschiebungsrahmen (64) zum Verschieben des Wafers zwischen einer Beladeposition und einer Bearbeitungsposition; und eine Ruheposition und eine ausgefahrene Position, die sich in die Prozesskammer hinein erstreckt, worin es die einzige Schwenkachse (83) dem Transferarm (82) ermöglicht, zwischen der zurückgezogenen und der ausgefahrenen Position hin- und herzuschwenken, und eine Kühlplatte (95), die unterhalb des Transferarms angeordnet ist, wenn sich der Schwenkarm in der zurückgezogenen Position befindet, wobei die Kühlplatte eine Vielzahl an Hebestiften (96) zum Transportieren der Wafer zwischen der Kühlplatte und dem Transferarm umfasst.
  20. Verfahren zur Bearbeitung von Halbleiterwafern, umfassend die Schritte: des Bereitstellens einer Schleusenkammer (80) mit einem Transferarm, welcher einen monolithischen Arm (82) mit einem Drehlagerende und einem Doppel-Wafer-Ende (84), das über eine obere Waferablage (85) zum Tragen von unbearbeiteten Wafern und eine untere Waferablage (87) zum Tragen von bearbeiteten Wafern verfügt, umfasst, sowie einer Halbleiterwafer-Prozesskammer (40), wobei der Transferarm eine zurückgezogenen Ruheposition und eine ausgefahrene Position, bei sich der Transferarm in die Prozesskammer hinein erstreckt, und eine einzige Schwenkachse (83), um welche der Transferarm zwischen der zurückgezogenen und der ausgefahrenen Position geschwenkt wird, aufweist; und des gleichzeitigen Transfers eines bearbeiteten Wafers und eines unbearbeiteten Wafers zwischen der Schleusenkammer und der Prozesskammer durch die Schwenkbewegung des Transferarms.
  21. Verfahren zur Bearbeitung von Halbleiterwafern nach Anspruch 20, weiters umfassend den Schritt: des Evakuierens der Schleusenkammer (80) vor dem gleichzeitigen Transfer eines bearbeiteten Wafers und eines unbearbeiteten Wafers zwischen der Schleusenkammer und der Prozesskammer (40).
  22. Verfahren zur Bearbeitung von Halbleiterwafern nach Anspruch 20, worin der Bereitstellungsschritt weiters das Bereitstellen einer Kühlplatte (95) in der Schleusenkammer (80) umfasst, wobei das Verfahren weiters Folgendes umfasst: den Transfer des Prozesswafers aus der unteren Waferablage (87) zur Kühlplatte.
  23. Verfahren zur Bearbeitung von Halbleiterwafern nach Anspruch 22, weiters umfassend: den Transport des unbearbeiteten Wafers auf der oberen Waferablage (85) von der Schleusenkammer (80) in die Prozesskammer (40); den Transfer des unbearbeiteten Wafers von der oberen Waferablage (85) zu einen in der Halbleiterwaferkammer angebrachten Waferaufspannanordnung (60), das Verschieben der Wafer-Aufspannvorrichtung von einer zurückgezogenen Position aus an einem in der Halbleiterwafer-Prozesskammer angebrachten chemischen Bedampfungsinjektor (43) vorbei hin zu einer ausgefahrenen Position, wodurch ein unbearbeiteter Wafer zu einem bearbeiteten Wafer bearbeitet wird.
  24. Verfahren zur Bearbeitung von Halbleiterwafern nach Anspruch 20, weiters umfassend die folgenden Schritte vor dem Schritt des gleichzeitigen Transfers: die Aufnahme eines ersten unbearbeiteten Wafers auf dem Transferarm (82); den Transfer des ersten unbearbeiteten Wafers zur Prozesskammer (40); die Bearbeitung des ersten unbearbeiteten Wafers zu einem ersten bearbeiteten Wafer gleichzeitig mit der Aufnahme eines zweiten unbearbeiteten Wafers auf dem Transferarm; die Entnahme des ersten bearbeiteten Wafers durch den Transferarm, während der zweite unbearbeitete Wafer auf dem Transferarm gehalten wird.
  25. Verfahren zur Bearbeitung von Halbleiterwafern nach Anspruch 20, weiters umfassend die Schritte: des Bereitstellens einer vorderseitigen Atmosphäreneinheit (6), umfassend einen vorderseitigen Roboter zum Transportieren eines Halbleiterwafers, und eines Mehrkammernmoduls (20), wobei das Mehrkammernmodul eine Vielzahl an vertikal gestapelten Halbleiterwafer-Prozesskammern (40) umfasst, und des Transportierens eines Wafers zwischen der vorderseitigen Atmosphäreneinheit und einer der Schleusenkammern (80) über den Roboter.
DE60024424T 1999-04-02 2000-03-21 Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System Expired - Fee Related DE60024424T2 (de)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US12765099P 1999-04-02 1999-04-02
US12753299P 1999-04-02 1999-04-02
US127650P 1999-04-02
US127532P 1999-04-02
US483945 2000-01-13
US09/483,945 US6610150B1 (en) 1999-04-02 2000-01-13 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
PCT/US2000/007509 WO2000060414A1 (en) 1999-04-02 2000-03-21 Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system

Publications (2)

Publication Number Publication Date
DE60024424D1 DE60024424D1 (de) 2006-01-05
DE60024424T2 true DE60024424T2 (de) 2006-07-27

Family

ID=27383593

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60024424T Expired - Fee Related DE60024424T2 (de) 1999-04-02 2000-03-21 Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System

Country Status (12)

Country Link
US (3) US6610150B1 (de)
EP (1) EP1166180B1 (de)
JP (2) JP2002541657A (de)
KR (1) KR100455226B1 (de)
CN (1) CN1348552A (de)
AT (1) ATE311619T1 (de)
AU (1) AU3906900A (de)
CA (1) CA2369042A1 (de)
DE (1) DE60024424T2 (de)
IL (1) IL145678A0 (de)
TW (2) TWI251252B (de)
WO (1) WO2000060414A1 (de)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
KR20080109062A (ko) * 2000-09-15 2008-12-16 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
JP3955724B2 (ja) * 2000-10-12 2007-08-08 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020154975A1 (en) * 2001-04-18 2002-10-24 Applied Materials, Inc. Method and apparatus for wafer exchange employing stacked robot blades
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
KR100417245B1 (ko) * 2001-05-02 2004-02-05 주성엔지니어링(주) 웨이퍼 가공을 위한 클러스터 툴
EP1263022B1 (de) * 2001-05-31 2007-04-25 S.E.S. Company Limited Substratreinigungssystem
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
AU2003233581A1 (en) * 2002-05-21 2003-12-12 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US7032287B1 (en) * 2002-07-19 2006-04-25 Nanometrics Incorporated Edge grip chuck
KR101120497B1 (ko) 2002-11-15 2012-02-29 외를리콘 솔라 아게, 트뤼프바흐 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4303041B2 (ja) * 2003-06-18 2009-07-29 株式会社ディスコ 半導体ウエーハの加工装置
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
KR100699994B1 (ko) * 2004-08-30 2007-03-26 삼성에스디아이 주식회사 라미네이션 장비 및 레이저 열전사 방법
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
KR100583724B1 (ko) * 2003-10-29 2006-05-25 삼성전자주식회사 기판 이송 장치
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
KR20070089197A (ko) * 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR100613343B1 (ko) * 2004-12-22 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 장치
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
CN100394574C (zh) * 2005-12-08 2008-06-11 北京圆合电子技术有限责任公司 具有流量控制的平台真空气路系统及其控制方法
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
US20080210168A1 (en) 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
KR100862895B1 (ko) * 2007-08-21 2008-10-13 세메스 주식회사 탄소나노튜브 합성 방법, 이를 적용한 탄소나노튜브 합성장치 및 시스템
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
JP4975605B2 (ja) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR101022314B1 (ko) * 2008-07-28 2011-03-21 주식회사 에스에프에이 박막 태양전지 제조용 화학 기상 증착 장치
US8282334B2 (en) * 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
JP5328726B2 (ja) * 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
JP5611718B2 (ja) * 2009-08-27 2014-10-22 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110052795A1 (en) * 2009-09-01 2011-03-03 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) * 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101678056B1 (ko) 2010-09-16 2016-11-22 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
EP2700081B1 (de) 2011-04-22 2022-11-02 ASML Netherlands B.V. Netzwerkarchitektur und protokoll für einen cluster von lithografiegeräten
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US9305815B2 (en) 2012-03-01 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Automated material handling system and method for semiconductor manufacturing
CN103276369B (zh) * 2013-05-06 2016-02-17 南方科技大学 一种pecvd镀膜系统
JP6231094B2 (ja) * 2013-06-07 2017-11-15 富士機械製造株式会社 電子機器組立機および類似の組立機
KR102108361B1 (ko) 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US11694907B2 (en) * 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
US11061386B2 (en) 2017-05-16 2021-07-13 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3220331A (en) 1965-01-27 1965-11-30 Kulicke And Soffa Mfg Company Contact printing mask alignment apparatus for semiconductor wafer geometry
US4423701A (en) 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
DE3427057A1 (de) 1984-07-23 1986-01-23 Standard Elektrik Lorenz Ag, 7000 Stuttgart Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
JPS63128710A (ja) 1986-11-19 1988-06-01 Mitsubishi Electric Corp 反応炉
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JP2600399B2 (ja) * 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
JPH04141587A (ja) * 1990-10-01 1992-05-15 Nec Corp スパッタリング装置
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
WO1995016800A1 (en) 1993-12-17 1995-06-22 Brooks Automation, Inc. Apparatus for heating or cooling wafers
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
JPH0846013A (ja) 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3483693B2 (ja) * 1995-02-02 2004-01-06 東京エレクトロン株式会社 搬送装置,搬送方法及び処理システム
TW297910B (de) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
JP3380652B2 (ja) * 1995-05-26 2003-02-24 東京エレクトロン株式会社 処理装置
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
TW278200B (en) * 1995-07-06 1996-06-11 Brooks Automation Inc Door drive mechanisms for substrate carrier and load lock
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
TW318258B (de) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
JP3549674B2 (ja) * 1996-07-19 2004-08-04 東京応化工業株式会社 ロードロック室を備えた基板の処理装置
KR100269097B1 (ko) * 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JPH10107124A (ja) 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
US5893699A (en) * 1996-10-31 1999-04-13 Phase Metrics, Inc. End effector for a robotic arm of a disk certifier
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
DE29716440U1 (de) * 1997-09-12 1997-12-11 Balzers Hochvakuum Sputterstation
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector

Also Published As

Publication number Publication date
EP1166180A4 (de) 2002-11-06
US6610150B1 (en) 2003-08-26
JP2006216983A (ja) 2006-08-17
US6846149B2 (en) 2005-01-25
US20020033136A1 (en) 2002-03-21
KR20010110471A (ko) 2001-12-13
DE60024424D1 (de) 2006-01-05
CA2369042A1 (en) 2000-10-12
KR100455226B1 (ko) 2004-11-06
ATE311619T1 (de) 2005-12-15
US20010010950A1 (en) 2001-08-02
TWI251252B (en) 2006-03-11
TW200401331A (en) 2004-01-16
CN1348552A (zh) 2002-05-08
JP2002541657A (ja) 2002-12-03
TWI238438B (en) 2005-08-21
EP1166180A1 (de) 2002-01-02
EP1166180B1 (de) 2005-11-30
WO2000060414A1 (en) 2000-10-12
AU3906900A (en) 2000-10-23
IL145678A0 (en) 2002-06-30

Similar Documents

Publication Publication Date Title
DE60024424T2 (de) Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE69935351T2 (de) Verfahren zum Abscheiden von Atomschichten
DE69934668T2 (de) Schleusenkammer für zwei wafer für eine waferverarbeitungsvorrichtung und be- und entladeverfahren dafür
DE60131511T2 (de) Halbleiterverarbeitungsmodul und Vorrichtung
DE3051188C2 (de)
DE69733923T2 (de) Senkrechter Doppelofen zur Wärmebehandlung
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE69937554T2 (de) Synchron gemultiplexte architektur für vakuumverfahren mit einem überschuss nahenull
DE60127409T2 (de) Roboter mit unabhängiger bewegung der greifvorrichtung
DE102007041033A1 (de) Substratverarbeitende Vorrichtung mit einer Puffermechanik und einer Substrattransfervorrichtung
DE112012001864T5 (de) Halbleitersubstratbearbeitungssystem
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
DE112012001845T5 (de) Vorrichtung zum Abscheiden von Materialien auf einem Substrat
WO2017216065A1 (de) Vorrichtung und verfahren zum sequentiellen abscheiden einer vielzahl von schichten auf substraten, sowie aufnahmeeinheit zur verwendung in einer abscheidungsvorrichtung
DE10296988T5 (de) Bearbeitungsvorrichtung und -verfahren
WO2019002014A1 (de) Vorrichtung zum transport eines substrats, behandlungsvorrichtung mit einer an einen substratträger einer solchen vorrichtung angepassten aufnahmeplatte und verfahren zum prozessieren eines substrates unter nutzung einer solchen vorrichtung zum transport eines substrats sowie behandlungsanlage
WO2011138315A1 (de) Bevorratungsmagazin einer cvd-anlage
EP3916764B1 (de) Substratbearbeitungsanlage
US20060266290A1 (en) Substrate processing system
CH692741A5 (de) Verfahren zur Herstellung in Vakuum oberflächenbehandelter Werkstücke und Vakuumbehandlungsanlage zu dessen Durchführung
WO2003031680A1 (de) Verfahren zur herstellung von bauelementen und ultrahochvakuum-cvd-reaktor
DE112019006538T5 (de) Gasphasenabscheidungsvorrichtung
DE102012104475A1 (de) Carousel-Reactor

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee