FI119941B
(fi)
*
|
1999-10-15 |
2009-05-15 |
Asm Int |
Menetelmä nanolaminaattien valmistamiseksi
|
US6342277B1
(en)
*
|
1996-08-16 |
2002-01-29 |
Licensee For Microelectronics: Asm America, Inc. |
Sequential chemical vapor deposition
|
US5862223A
(en)
|
1996-07-24 |
1999-01-19 |
Walker Asset Management Limited Partnership |
Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
|
US7393561B2
(en)
*
|
1997-08-11 |
2008-07-01 |
Applied Materials, Inc. |
Method and apparatus for layer by layer deposition of thin films
|
JPH11195621A
(ja)
*
|
1997-11-05 |
1999-07-21 |
Tokyo Electron Ltd |
バリアメタル、その形成方法、ゲート電極及びその形成方法
|
US6861356B2
(en)
*
|
1997-11-05 |
2005-03-01 |
Tokyo Electron Limited |
Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
|
US7829144B2
(en)
*
|
1997-11-05 |
2010-11-09 |
Tokyo Electron Limited |
Method of forming a metal film for electrode
|
US6974766B1
(en)
*
|
1998-10-01 |
2005-12-13 |
Applied Materials, Inc. |
In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
|
US6200893B1
(en)
*
|
1999-03-11 |
2001-03-13 |
Genus, Inc |
Radical-assisted sequential CVD
|
US6540838B2
(en)
|
2000-11-29 |
2003-04-01 |
Genus, Inc. |
Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
|
US6958174B1
(en)
*
|
1999-03-15 |
2005-10-25 |
Regents Of The University Of Colorado |
Solid material comprising a thin metal film on its surface and methods for producing the same
|
KR100273473B1
(ko)
*
|
1999-04-06 |
2000-11-15 |
이경수 |
박막 형성 방법
|
US8206568B2
(en)
*
|
1999-06-22 |
2012-06-26 |
President And Fellows Of Harvard College |
Material deposition techniques for control of solid state aperture surface properties
|
FI110311B
(fi)
|
1999-07-20 |
2002-12-31 |
Asm Microchemistry Oy |
Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
|
US7554829B2
(en)
|
1999-07-30 |
2009-06-30 |
Micron Technology, Inc. |
Transmission lines for CMOS integrated circuits
|
US6391785B1
(en)
*
|
1999-08-24 |
2002-05-21 |
Interuniversitair Microelektronica Centrum (Imec) |
Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
|
US6511539B1
(en)
*
|
1999-09-08 |
2003-01-28 |
Asm America, Inc. |
Apparatus and method for growth of a thin film
|
AU1208201A
(en)
*
|
1999-10-15 |
2001-04-30 |
Asm America, Inc. |
Method for depositing nanolaminate thin films on sensitive surfaces
|
US6727169B1
(en)
*
|
1999-10-15 |
2004-04-27 |
Asm International, N.V. |
Method of making conformal lining layers for damascene metallization
|
US6503330B1
(en)
*
|
1999-12-22 |
2003-01-07 |
Genus, Inc. |
Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
|
US6524317B1
(en)
*
|
1999-12-30 |
2003-02-25 |
Opus Medical, Inc. |
Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
|
US6551399B1
(en)
|
2000-01-10 |
2003-04-22 |
Genus Inc. |
Fully integrated process for MIM capacitors using atomic layer deposition
|
US6319766B1
(en)
|
2000-02-22 |
2001-11-20 |
Applied Materials, Inc. |
Method of tantalum nitride deposition by tantalum oxide densification
|
US6492283B2
(en)
|
2000-02-22 |
2002-12-10 |
Asm Microchemistry Oy |
Method of forming ultrathin oxide layer
|
US7419903B2
(en)
*
|
2000-03-07 |
2008-09-02 |
Asm International N.V. |
Thin films
|
AU2001245388A1
(en)
*
|
2000-03-07 |
2001-09-17 |
Asm America, Inc. |
Graded thin films
|
US7060132B2
(en)
*
|
2000-04-14 |
2006-06-13 |
Asm International N.V. |
Method and apparatus of growing a thin film
|
FI117978B
(fi)
*
|
2000-04-14 |
2007-05-15 |
Asm Int |
Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
|
US6596343B1
(en)
*
|
2000-04-21 |
2003-07-22 |
Applied Materials, Inc. |
Method and apparatus for processing semiconductor substrates with hydroxyl radicals
|
WO2001099166A1
(en)
*
|
2000-06-08 |
2001-12-27 |
Genitech Inc. |
Thin film forming method
|
US20040224504A1
(en)
*
|
2000-06-23 |
2004-11-11 |
Gadgil Prasad N. |
Apparatus and method for plasma enhanced monolayer processing
|
KR100332313B1
(ko)
*
|
2000-06-24 |
2002-04-12 |
서성기 |
Ald 박막증착장치 및 증착방법
|
KR100351056B1
(ko)
*
|
2000-06-27 |
2002-09-05 |
삼성전자 주식회사 |
선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
|
US6620723B1
(en)
*
|
2000-06-27 |
2003-09-16 |
Applied Materials, Inc. |
Formation of boride barrier layers using chemisorption techniques
|
US7101795B1
(en)
*
|
2000-06-28 |
2006-09-05 |
Applied Materials, Inc. |
Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
|
US7732327B2
(en)
|
2000-06-28 |
2010-06-08 |
Applied Materials, Inc. |
Vapor deposition of tungsten materials
|
US7964505B2
(en)
*
|
2005-01-19 |
2011-06-21 |
Applied Materials, Inc. |
Atomic layer deposition of tungsten materials
|
US7405158B2
(en)
|
2000-06-28 |
2008-07-29 |
Applied Materials, Inc. |
Methods for depositing tungsten layers employing atomic layer deposition techniques
|
US6936538B2
(en)
|
2001-07-16 |
2005-08-30 |
Applied Materials, Inc. |
Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
|
US6551929B1
(en)
|
2000-06-28 |
2003-04-22 |
Applied Materials, Inc. |
Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
|
KR100396694B1
(ko)
*
|
2000-07-27 |
2003-09-02 |
주식회사 하이닉스반도체 |
원자층 증착법을 이용한 박막 제조 방법
|
US7288491B2
(en)
*
|
2000-08-11 |
2007-10-30 |
Applied Materials, Inc. |
Plasma immersion ion implantation process
|
US7465478B2
(en)
*
|
2000-08-11 |
2008-12-16 |
Applied Materials, Inc. |
Plasma immersion ion implantation process
|
US20050230047A1
(en)
*
|
2000-08-11 |
2005-10-20 |
Applied Materials, Inc. |
Plasma immersion ion implantation apparatus
|
US6585730B1
(en)
*
|
2000-08-30 |
2003-07-01 |
Opus Medical, Inc. |
Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
|
US20020036780A1
(en)
*
|
2000-09-27 |
2002-03-28 |
Hiroaki Nakamura |
Image processing apparatus
|
EP1772534A3
(de)
|
2000-09-28 |
2007-04-25 |
The President and Fellows of Harvard College |
Wolframhaltige und Hafniumhaltige Precursoren für die Dampfphasenabscheidung
|
JP2002105641A
(ja)
*
|
2000-10-03 |
2002-04-10 |
Murakami Corp |
複合材およびその製造方法
|
US6617173B1
(en)
|
2000-10-11 |
2003-09-09 |
Genus, Inc. |
Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
|
US6652561B1
(en)
*
|
2000-10-13 |
2003-11-25 |
Opus Medical, Inc |
Method and apparatus for attaching connective tissues to bone using a perforated suture anchoring device
|
US7476420B2
(en)
*
|
2000-10-23 |
2009-01-13 |
Asm International N.V. |
Process for producing metal oxide films at low temperatures
|
FI118014B
(fi)
*
|
2000-10-23 |
2007-05-31 |
Asm Int |
Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
|
KR100479283B1
(ko)
*
|
2000-11-17 |
2005-03-28 |
동경 엘렉트론 주식회사 |
금속 막 형성 방법 및 반도체 제조 장치
|
US9255329B2
(en)
*
|
2000-12-06 |
2016-02-09 |
Novellus Systems, Inc. |
Modulated ion-induced atomic layer deposition (MII-ALD)
|
WO2002045871A1
(en)
*
|
2000-12-06 |
2002-06-13 |
Angstron Systems, Inc. |
System and method for modulated ion-induced atomic layer deposition (mii-ald)
|
US6428859B1
(en)
|
2000-12-06 |
2002-08-06 |
Angstron Systems, Inc. |
Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
|
US6949450B2
(en)
*
|
2000-12-06 |
2005-09-27 |
Novellus Systems, Inc. |
Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
|
US6416822B1
(en)
|
2000-12-06 |
2002-07-09 |
Angstrom Systems, Inc. |
Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
|
US6630201B2
(en)
*
|
2001-04-05 |
2003-10-07 |
Angstron Systems, Inc. |
Adsorption process for atomic layer deposition
|
US6800173B2
(en)
*
|
2000-12-15 |
2004-10-05 |
Novellus Systems, Inc. |
Variable gas conductance control for a process chamber
|
US6905547B1
(en)
*
|
2000-12-21 |
2005-06-14 |
Genus, Inc. |
Method and apparatus for flexible atomic layer deposition
|
US20020083897A1
(en)
*
|
2000-12-29 |
2002-07-04 |
Applied Materials, Inc. |
Full glass substrate deposition in plasma enhanced chemical vapor deposition
|
US6765178B2
(en)
|
2000-12-29 |
2004-07-20 |
Applied Materials, Inc. |
Chamber for uniform substrate heating
|
US6825447B2
(en)
|
2000-12-29 |
2004-11-30 |
Applied Materials, Inc. |
Apparatus and method for uniform substrate heating and contaminate collection
|
US6811814B2
(en)
|
2001-01-16 |
2004-11-02 |
Applied Materials, Inc. |
Method for growing thin films by catalytic enhancement
|
US20020127336A1
(en)
*
|
2001-01-16 |
2002-09-12 |
Applied Materials, Inc. |
Method for growing thin films by catalytic enhancement
|
US6951804B2
(en)
*
|
2001-02-02 |
2005-10-04 |
Applied Materials, Inc. |
Formation of a tantalum-nitride layer
|
US8657854B2
(en)
*
|
2001-02-12 |
2014-02-25 |
Arthrocare Corporation |
Knotless suture anchoring device having deforming section to accommodate sutures of various diameters
|
US6770076B2
(en)
|
2001-02-12 |
2004-08-03 |
Opus Medical, Inc. |
Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
|
WO2002080244A2
(en)
*
|
2001-02-12 |
2002-10-10 |
Asm America, Inc. |
Improved process for deposition of semiconductor films
|
US7083638B2
(en)
*
|
2001-02-12 |
2006-08-01 |
Arthrocare Corporation |
Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
|
US6613656B2
(en)
*
|
2001-02-13 |
2003-09-02 |
Micron Technology, Inc. |
Sequential pulse deposition
|
US6878206B2
(en)
|
2001-07-16 |
2005-04-12 |
Applied Materials, Inc. |
Lid assembly for a processing system to facilitate sequential deposition techniques
|
US6660126B2
(en)
|
2001-03-02 |
2003-12-09 |
Applied Materials, Inc. |
Lid assembly for a processing system to facilitate sequential deposition techniques
|
US9139906B2
(en)
*
|
2001-03-06 |
2015-09-22 |
Asm America, Inc. |
Doping with ALD technology
|
US7563715B2
(en)
|
2005-12-05 |
2009-07-21 |
Asm International N.V. |
Method of producing thin films
|
US6734020B2
(en)
|
2001-03-07 |
2004-05-11 |
Applied Materials, Inc. |
Valve control system for atomic layer deposition chamber
|
US7186648B1
(en)
|
2001-03-13 |
2007-03-06 |
Novellus Systems, Inc. |
Barrier first method for single damascene trench applications
|
DE10111938A1
(de)
*
|
2001-03-13 |
2002-09-26 |
Merck Patent Gmbh |
Herstellung von Hochtemperatur-Supraleiter-Pulvern in einem Pulsationsreaktor
|
US7378127B2
(en)
*
|
2001-03-13 |
2008-05-27 |
Micron Technology, Inc. |
Chemical vapor deposition methods
|
US7781327B1
(en)
|
2001-03-13 |
2010-08-24 |
Novellus Systems, Inc. |
Resputtering process for eliminating dielectric damage
|
US6764940B1
(en)
|
2001-03-13 |
2004-07-20 |
Novellus Systems, Inc. |
Method for depositing a diffusion barrier for copper interconnect applications
|
US8043484B1
(en)
|
2001-03-13 |
2011-10-25 |
Novellus Systems, Inc. |
Methods and apparatus for resputtering process that improves barrier coverage
|
FI109770B
(fi)
|
2001-03-16 |
2002-10-15 |
Asm Microchemistry Oy |
Menetelmä metallinitridiohutkalvojen valmistamiseksi
|
US7348042B2
(en)
|
2001-03-19 |
2008-03-25 |
Novellus Systems, Inc. |
Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
|
US7015138B2
(en)
*
|
2001-03-27 |
2006-03-21 |
Sharp Laboratories Of America, Inc. |
Multi-layered barrier metal thin films for Cu interconnect by ALCVD
|
US20020144786A1
(en)
|
2001-04-05 |
2002-10-10 |
Angstron Systems, Inc. |
Substrate temperature control in an ALD reactor
|
US6511896B2
(en)
|
2001-04-06 |
2003-01-28 |
Micron Technology, Inc. |
Method of etching a substantially amorphous TA2O5 comprising layer
|
US6780766B2
(en)
*
|
2001-04-06 |
2004-08-24 |
Micron Technology, Inc. |
Methods of forming regions of differing composition over a substrate
|
US7867905B2
(en)
*
|
2001-04-21 |
2011-01-11 |
Tegal Corporation |
System and method for semiconductor processing
|
US6610169B2
(en)
*
|
2001-04-21 |
2003-08-26 |
Simplus Systems Corporation |
Semiconductor processing system and method
|
US7442615B2
(en)
*
|
2001-04-21 |
2008-10-28 |
Tegal Corporation |
Semiconductor processing system and method
|
US6627268B1
(en)
*
|
2001-05-03 |
2003-09-30 |
Novellus Systems, Inc. |
Sequential ion, UV, and electron induced chemical vapor deposition
|
US6596643B2
(en)
*
|
2001-05-07 |
2003-07-22 |
Applied Materials, Inc. |
CVD TiSiN barrier for copper integration
|
US7037574B2
(en)
*
|
2001-05-23 |
2006-05-02 |
Veeco Instruments, Inc. |
Atomic layer deposition for fabricating thin films
|
US6547800B2
(en)
*
|
2001-06-06 |
2003-04-15 |
Opus Medical, Inc. |
Method and apparatus for attaching connective tissues to bone using a cortical bone anchoring device
|
US7037862B2
(en)
*
|
2001-06-13 |
2006-05-02 |
Micron Technology, Inc. |
Dielectric layer forming method and devices formed therewith
|
KR100421219B1
(ko)
*
|
2001-06-14 |
2004-03-02 |
삼성전자주식회사 |
β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
|
US6391803B1
(en)
*
|
2001-06-20 |
2002-05-21 |
Samsung Electronics Co., Ltd. |
Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
|
US6849545B2
(en)
|
2001-06-20 |
2005-02-01 |
Applied Materials, Inc. |
System and method to form a composite film stack utilizing sequential deposition techniques
|
US6709989B2
(en)
*
|
2001-06-21 |
2004-03-23 |
Motorola, Inc. |
Method for fabricating a semiconductor structure including a metal oxide interface with silicon
|
DE10130936B4
(de)
*
|
2001-06-27 |
2004-04-29 |
Infineon Technologies Ag |
Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
|
US6420279B1
(en)
*
|
2001-06-28 |
2002-07-16 |
Sharp Laboratories Of America, Inc. |
Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
|
US20070009658A1
(en)
*
|
2001-07-13 |
2007-01-11 |
Yoo Jong H |
Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
|
US7211144B2
(en)
*
|
2001-07-13 |
2007-05-01 |
Applied Materials, Inc. |
Pulsed nucleation deposition of tungsten layers
|
WO2003029515A2
(en)
|
2001-07-16 |
2003-04-10 |
Applied Materials, Inc. |
Formation of composite tungsten films
|
CA2452656C
(en)
*
|
2001-07-18 |
2010-04-13 |
The Regents Of The University Of Colorado |
A method of depositing an inorganic film on an organic polymer
|
US20090004850A1
(en)
|
2001-07-25 |
2009-01-01 |
Seshadri Ganguli |
Process for forming cobalt and cobalt silicide materials in tungsten contact applications
|
US20080268635A1
(en)
*
|
2001-07-25 |
2008-10-30 |
Sang-Ho Yu |
Process for forming cobalt and cobalt silicide materials in copper contact applications
|
JP2005504885A
(ja)
*
|
2001-07-25 |
2005-02-17 |
アプライド マテリアルズ インコーポレイテッド |
新規なスパッタ堆積方法を使用したバリア形成
|
US8110489B2
(en)
*
|
2001-07-25 |
2012-02-07 |
Applied Materials, Inc. |
Process for forming cobalt-containing materials
|
US9051641B2
(en)
|
2001-07-25 |
2015-06-09 |
Applied Materials, Inc. |
Cobalt deposition on barrier surfaces
|
US7085616B2
(en)
|
2001-07-27 |
2006-08-01 |
Applied Materials, Inc. |
Atomic layer deposition apparatus
|
US7250375B2
(en)
*
|
2001-08-02 |
2007-07-31 |
Tokyo Electron Limited |
Substrate processing method and material for electronic device
|
EP1421606A4
(de)
*
|
2001-08-06 |
2008-03-05 |
Genitech Co Ltd |
Geräte für die plasmaverstärkte atomische schichtablagerung (peald) und verfahren zur bildung eines dünnen leitfähigen films damit dafür
|
US6820570B2
(en)
|
2001-08-15 |
2004-11-23 |
Nobel Biocare Services Ag |
Atomic layer deposition reactor
|
US6844203B2
(en)
*
|
2001-08-30 |
2005-01-18 |
Micron Technology, Inc. |
Gate oxides, and methods of forming
|
US8026161B2
(en)
|
2001-08-30 |
2011-09-27 |
Micron Technology, Inc. |
Highly reliable amorphous high-K gate oxide ZrO2
|
US6806145B2
(en)
|
2001-08-31 |
2004-10-19 |
Asm International, N.V. |
Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
|
US9708707B2
(en)
*
|
2001-09-10 |
2017-07-18 |
Asm International N.V. |
Nanolayer deposition using bias power treatment
|
US6756318B2
(en)
*
|
2001-09-10 |
2004-06-29 |
Tegal Corporation |
Nanolayer thick film processing system and method
|
US6718126B2
(en)
*
|
2001-09-14 |
2004-04-06 |
Applied Materials, Inc. |
Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
|
US20030049931A1
(en)
*
|
2001-09-19 |
2003-03-13 |
Applied Materials, Inc. |
Formation of refractory metal nitrides using chemisorption techniques
|
US6936906B2
(en)
*
|
2001-09-26 |
2005-08-30 |
Applied Materials, Inc. |
Integration of barrier layer and seed layer
|
US7049226B2
(en)
*
|
2001-09-26 |
2006-05-23 |
Applied Materials, Inc. |
Integration of ALD tantalum nitride for copper metallization
|
US20030059538A1
(en)
*
|
2001-09-26 |
2003-03-27 |
Applied Materials, Inc. |
Integration of barrier layer and seed layer
|
US6960537B2
(en)
|
2001-10-02 |
2005-11-01 |
Asm America, Inc. |
Incorporation of nitrogen into high k dielectric film
|
TW589684B
(en)
*
|
2001-10-10 |
2004-06-01 |
Applied Materials Inc |
Method for depositing refractory metal layers employing sequential deposition techniques
|
US6589887B1
(en)
*
|
2001-10-11 |
2003-07-08 |
Novellus Systems, Inc. |
Forming metal-derived layers by simultaneous deposition and evaporation of metal
|
US7780785B2
(en)
|
2001-10-26 |
2010-08-24 |
Applied Materials, Inc. |
Gas delivery apparatus for atomic layer deposition
|
US6916398B2
(en)
|
2001-10-26 |
2005-07-12 |
Applied Materials, Inc. |
Gas delivery apparatus and method for atomic layer deposition
|
WO2003044242A2
(en)
*
|
2001-11-16 |
2003-05-30 |
Applied Materials, Inc. |
Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
|
US6773507B2
(en)
*
|
2001-12-06 |
2004-08-10 |
Applied Materials, Inc. |
Apparatus and method for fast-cycle atomic layer deposition
|
US7081271B2
(en)
*
|
2001-12-07 |
2006-07-25 |
Applied Materials, Inc. |
Cyclical deposition of refractory metal silicon nitride
|
KR100446619B1
(ko)
*
|
2001-12-14 |
2004-09-04 |
삼성전자주식회사 |
유도 결합 플라즈마 장치
|
US6729824B2
(en)
|
2001-12-14 |
2004-05-04 |
Applied Materials, Inc. |
Dual robot processing system
|
JP3941099B2
(ja)
*
|
2001-12-19 |
2007-07-04 |
ソニー株式会社 |
薄膜形成方法
|
US6900122B2
(en)
*
|
2001-12-20 |
2005-05-31 |
Micron Technology, Inc. |
Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
|
US6953730B2
(en)
|
2001-12-20 |
2005-10-11 |
Micron Technology, Inc. |
Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
|
US6809026B2
(en)
|
2001-12-21 |
2004-10-26 |
Applied Materials, Inc. |
Selective deposition of a barrier layer on a metal film
|
US6939801B2
(en)
*
|
2001-12-21 |
2005-09-06 |
Applied Materials, Inc. |
Selective deposition of a barrier layer on a dielectric material
|
FR2834242B1
(fr)
*
|
2001-12-31 |
2004-07-02 |
Memscap |
Structure multicouche, utilisee notamment en tant que materiau de forte permittivite relative
|
US6767795B2
(en)
*
|
2002-01-17 |
2004-07-27 |
Micron Technology, Inc. |
Highly reliable amorphous high-k gate dielectric ZrOXNY
|
US6620670B2
(en)
|
2002-01-18 |
2003-09-16 |
Applied Materials, Inc. |
Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
|
US7229666B2
(en)
*
|
2002-01-22 |
2007-06-12 |
Micron Technology, Inc. |
Chemical vapor deposition method
|
AU2003238853A1
(en)
*
|
2002-01-25 |
2003-09-02 |
Applied Materials, Inc. |
Apparatus for cyclical deposition of thin films
|
US6866746B2
(en)
*
|
2002-01-26 |
2005-03-15 |
Applied Materials, Inc. |
Clamshell and small volume chamber with fixed substrate support
|
US6998014B2
(en)
*
|
2002-01-26 |
2006-02-14 |
Applied Materials, Inc. |
Apparatus and method for plasma assisted deposition
|
US6911391B2
(en)
|
2002-01-26 |
2005-06-28 |
Applied Materials, Inc. |
Integration of titanium and titanium nitride layers
|
US6855157B2
(en)
*
|
2002-02-04 |
2005-02-15 |
Arthrocare Corporation |
Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
|
US6827978B2
(en)
*
|
2002-02-11 |
2004-12-07 |
Applied Materials, Inc. |
Deposition of tungsten films
|
US6787185B2
(en)
*
|
2002-02-25 |
2004-09-07 |
Micron Technology, Inc. |
Deposition methods for improved delivery of metastable species
|
US6833161B2
(en)
*
|
2002-02-26 |
2004-12-21 |
Applied Materials, Inc. |
Cyclical deposition of tungsten nitride for metal oxide gate electrode
|
US6787481B2
(en)
*
|
2002-02-28 |
2004-09-07 |
Hitachi Kokusai Electric Inc. |
Method for manufacturing semiconductor device
|
US6972267B2
(en)
*
|
2002-03-04 |
2005-12-06 |
Applied Materials, Inc. |
Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
|
EP1485513A2
(de)
*
|
2002-03-08 |
2004-12-15 |
Sundew Technologies, LLC |
Ald verfahren und vorrichtung
|
US6812100B2
(en)
*
|
2002-03-13 |
2004-11-02 |
Micron Technology, Inc. |
Evaporation of Y-Si-O films for medium-k dielectrics
|
DE60211470T2
(de)
*
|
2002-03-15 |
2006-11-09 |
Vhf Technologies S.A. |
Vorrichtung und Verfahren zur Herstellung von flexiblen Halbleiter-Einrichtungen
|
US6825134B2
(en)
*
|
2002-03-26 |
2004-11-30 |
Applied Materials, Inc. |
Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
|
US7439191B2
(en)
*
|
2002-04-05 |
2008-10-21 |
Applied Materials, Inc. |
Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
|
US6720027B2
(en)
|
2002-04-08 |
2004-04-13 |
Applied Materials, Inc. |
Cyclical deposition of a variable content titanium silicon nitride layer
|
US6846516B2
(en)
*
|
2002-04-08 |
2005-01-25 |
Applied Materials, Inc. |
Multiple precursor cyclical deposition system
|
US20030194825A1
(en)
*
|
2002-04-10 |
2003-10-16 |
Kam Law |
Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
|
US6869838B2
(en)
*
|
2002-04-09 |
2005-03-22 |
Applied Materials, Inc. |
Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
|
US7279432B2
(en)
*
|
2002-04-16 |
2007-10-09 |
Applied Materials, Inc. |
System and method for forming an integrated barrier layer
|
US20030235961A1
(en)
*
|
2002-04-17 |
2003-12-25 |
Applied Materials, Inc. |
Cyclical sequential deposition of multicomponent films
|
US7045430B2
(en)
|
2002-05-02 |
2006-05-16 |
Micron Technology Inc. |
Atomic layer-deposited LaAlO3 films for gate dielectrics
|
US7589029B2
(en)
*
|
2002-05-02 |
2009-09-15 |
Micron Technology, Inc. |
Atomic layer deposition and conversion
|
US7160577B2
(en)
|
2002-05-02 |
2007-01-09 |
Micron Technology, Inc. |
Methods for atomic-layer deposition of aluminum oxides in integrated circuits
|
US20030215570A1
(en)
*
|
2002-05-16 |
2003-11-20 |
Applied Materials, Inc. |
Deposition of silicon nitride
|
US7468104B2
(en)
*
|
2002-05-17 |
2008-12-23 |
Micron Technology, Inc. |
Chemical vapor deposition apparatus and deposition method
|
US20040129212A1
(en)
*
|
2002-05-20 |
2004-07-08 |
Gadgil Pradad N. |
Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
|
US20040086434A1
(en)
*
|
2002-11-04 |
2004-05-06 |
Gadgil Pradad N. |
Apparatus and method for treating objects with radicals generated from plasma
|
US20040018735A1
(en)
*
|
2002-05-21 |
2004-01-29 |
Park Seung G. |
Method of depositing an oxide film by chemical vapor deposition
|
US7041335B2
(en)
*
|
2002-06-04 |
2006-05-09 |
Applied Materials, Inc. |
Titanium tantalum nitride silicide layer
|
US20040009665A1
(en)
*
|
2002-06-04 |
2004-01-15 |
Applied Materials, Inc. |
Deposition of copper films
|
US7135421B2
(en)
*
|
2002-06-05 |
2006-11-14 |
Micron Technology, Inc. |
Atomic layer-deposited hafnium aluminum oxide
|
US6858547B2
(en)
*
|
2002-06-14 |
2005-02-22 |
Applied Materials, Inc. |
System and method for forming a gate dielectric
|
US7067439B2
(en)
*
|
2002-06-14 |
2006-06-27 |
Applied Materials, Inc. |
ALD metal oxide deposition process using direct oxidation
|
US20030232501A1
(en)
*
|
2002-06-14 |
2003-12-18 |
Kher Shreyas S. |
Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
|
TWI278532B
(en)
*
|
2002-06-23 |
2007-04-11 |
Asml Us Inc |
Method for energy-assisted atomic layer deposition and removal
|
US7221586B2
(en)
|
2002-07-08 |
2007-05-22 |
Micron Technology, Inc. |
Memory utilizing oxide nanolaminates
|
US6838125B2
(en)
*
|
2002-07-10 |
2005-01-04 |
Applied Materials, Inc. |
Method of film deposition using activated precursor gases
|
WO2004007796A1
(en)
*
|
2002-07-12 |
2004-01-22 |
President And Fellows Of Harvard College |
Vapor deposition of tungsten nitride
|
US20040013803A1
(en)
*
|
2002-07-16 |
2004-01-22 |
Applied Materials, Inc. |
Formation of titanium nitride films using a cyclical deposition process
|
US6955211B2
(en)
|
2002-07-17 |
2005-10-18 |
Applied Materials, Inc. |
Method and apparatus for gas temperature control in a semiconductor processing system
|
US7186385B2
(en)
*
|
2002-07-17 |
2007-03-06 |
Applied Materials, Inc. |
Apparatus for providing gas to a processing chamber
|
US7066194B2
(en)
*
|
2002-07-19 |
2006-06-27 |
Applied Materials, Inc. |
Valve design and configuration for fast delivery system
|
JP5005170B2
(ja)
*
|
2002-07-19 |
2012-08-22 |
エーエスエム アメリカ インコーポレイテッド |
超高品質シリコン含有化合物層の形成方法
|
US6772072B2
(en)
|
2002-07-22 |
2004-08-03 |
Applied Materials, Inc. |
Method and apparatus for monitoring solid precursor delivery
|
US6915592B2
(en)
|
2002-07-29 |
2005-07-12 |
Applied Materials, Inc. |
Method and apparatus for generating gas to a processing chamber
|
US7150789B2
(en)
*
|
2002-07-29 |
2006-12-19 |
Micron Technology, Inc. |
Atomic layer deposition methods
|
US6921702B2
(en)
*
|
2002-07-30 |
2005-07-26 |
Micron Technology Inc. |
Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
|
US6858085B1
(en)
|
2002-08-06 |
2005-02-22 |
Tegal Corporation |
Two-compartment chamber for sequential processing
|
US20040058293A1
(en)
*
|
2002-08-06 |
2004-03-25 |
Tue Nguyen |
Assembly line processing system
|
US6921555B2
(en)
*
|
2002-08-06 |
2005-07-26 |
Tegal Corporation |
Method and system for sequential processing in a two-compartment chamber
|
US7153542B2
(en)
*
|
2002-08-06 |
2006-12-26 |
Tegal Corporation |
Assembly line processing method
|
US20050084610A1
(en)
*
|
2002-08-13 |
2005-04-21 |
Selitser Simon I. |
Atmospheric pressure molecular layer CVD
|
US7186630B2
(en)
*
|
2002-08-14 |
2007-03-06 |
Asm America, Inc. |
Deposition of amorphous silicon-containing films
|
US6887521B2
(en)
*
|
2002-08-15 |
2005-05-03 |
Micron Technology, Inc. |
Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
|
US6884739B2
(en)
*
|
2002-08-15 |
2005-04-26 |
Micron Technology Inc. |
Lanthanide doped TiOx dielectric films by plasma oxidation
|
US6890596B2
(en)
*
|
2002-08-15 |
2005-05-10 |
Micron Technology, Inc. |
Deposition methods
|
US6790791B2
(en)
*
|
2002-08-15 |
2004-09-14 |
Micron Technology, Inc. |
Lanthanide doped TiOx dielectric films
|
US6753271B2
(en)
*
|
2002-08-15 |
2004-06-22 |
Micron Technology, Inc. |
Atomic layer deposition methods
|
US6835671B2
(en)
*
|
2002-08-16 |
2004-12-28 |
Freescale Semiconductor, Inc. |
Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
|
US20040036129A1
(en)
*
|
2002-08-22 |
2004-02-26 |
Micron Technology, Inc. |
Atomic layer deposition of CMOS gates with variable work functions
|
US6967154B2
(en)
*
|
2002-08-26 |
2005-11-22 |
Micron Technology, Inc. |
Enhanced atomic layer deposition
|
US6808983B2
(en)
*
|
2002-08-27 |
2004-10-26 |
Micron Technology, Inc. |
Silicon nanocrystal capacitor and process for forming same
|
US6673701B1
(en)
*
|
2002-08-27 |
2004-01-06 |
Micron Technology, Inc. |
Atomic layer deposition methods
|
US6794284B2
(en)
*
|
2002-08-28 |
2004-09-21 |
Micron Technology, Inc. |
Systems and methods for forming refractory metal nitride layers using disilazanes
|
US8617312B2
(en)
*
|
2002-08-28 |
2013-12-31 |
Micron Technology, Inc. |
Systems and methods for forming layers that contain niobium and/or tantalum
|
US6995081B2
(en)
*
|
2002-08-28 |
2006-02-07 |
Micron Technology, Inc. |
Systems and methods for forming tantalum silicide layers
|
US7199023B2
(en)
*
|
2002-08-28 |
2007-04-03 |
Micron Technology, Inc. |
Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
|
US7084078B2
(en)
*
|
2002-08-29 |
2006-08-01 |
Micron Technology, Inc. |
Atomic layer deposited lanthanide doped TiOx dielectric films
|
US6821563B2
(en)
|
2002-10-02 |
2004-11-23 |
Applied Materials, Inc. |
Gas distribution system for cyclical layer deposition
|
US20040065255A1
(en)
*
|
2002-10-02 |
2004-04-08 |
Applied Materials, Inc. |
Cyclical layer deposition system
|
US20040069227A1
(en)
*
|
2002-10-09 |
2004-04-15 |
Applied Materials, Inc. |
Processing chamber configured for uniform gas flow
|
US6905737B2
(en)
*
|
2002-10-11 |
2005-06-14 |
Applied Materials, Inc. |
Method of delivering activated species for rapid cyclical deposition
|
US7540920B2
(en)
*
|
2002-10-18 |
2009-06-02 |
Applied Materials, Inc. |
Silicon-containing layer deposition with silicon compounds
|
US7144806B1
(en)
*
|
2002-10-23 |
2006-12-05 |
Novellus Systems, Inc. |
ALD of tantalum using a hydride reducing agent
|
US7097782B2
(en)
*
|
2002-11-12 |
2006-08-29 |
Micron Technology, Inc. |
Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
|
US7022605B2
(en)
*
|
2002-11-12 |
2006-04-04 |
Micron Technology, Inc. |
Atomic layer deposition methods
|
EP1420080A3
(de)
*
|
2002-11-14 |
2005-11-09 |
Applied Materials, Inc. |
Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren
|
US7090690B2
(en)
*
|
2002-11-19 |
2006-08-15 |
Arthrocare Corporation |
Devices and methods for repairing soft tissue
|
US20040099889A1
(en)
*
|
2002-11-27 |
2004-05-27 |
Agere Systems, Inc. |
Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
|
US6893978B1
(en)
*
|
2002-12-03 |
2005-05-17 |
Silicon Magnetic Systems |
Method for oxidizing a metal layer
|
US7101813B2
(en)
|
2002-12-04 |
2006-09-05 |
Micron Technology Inc. |
Atomic layer deposited Zr-Sn-Ti-O films
|
US6958302B2
(en)
*
|
2002-12-04 |
2005-10-25 |
Micron Technology, Inc. |
Atomic layer deposited Zr-Sn-Ti-O films using TiI4
|
US20040142558A1
(en)
*
|
2002-12-05 |
2004-07-22 |
Granneman Ernst H. A. |
Apparatus and method for atomic layer deposition on substrates
|
US7097886B2
(en)
*
|
2002-12-13 |
2006-08-29 |
Applied Materials, Inc. |
Deposition process for high aspect ratio trenches
|
US7092287B2
(en)
*
|
2002-12-18 |
2006-08-15 |
Asm International N.V. |
Method of fabricating silicon nitride nanodots
|
WO2004061154A1
(ja)
*
|
2002-12-27 |
2004-07-22 |
Ulvac Inc. |
窒化タングステン膜の成膜方法
|
WO2004064147A2
(en)
*
|
2003-01-07 |
2004-07-29 |
Applied Materials, Inc. |
Integration of ald/cvd barriers with porous low k materials
|
US6753248B1
(en)
|
2003-01-27 |
2004-06-22 |
Applied Materials, Inc. |
Post metal barrier/adhesion film
|
US6868859B2
(en)
*
|
2003-01-29 |
2005-03-22 |
Applied Materials, Inc. |
Rotary gas valve for pulsing a gas
|
US6994319B2
(en)
*
|
2003-01-29 |
2006-02-07 |
Applied Materials, Inc. |
Membrane gas valve for pulsing a gas
|
US7713592B2
(en)
*
|
2003-02-04 |
2010-05-11 |
Tegal Corporation |
Nanolayer deposition process
|
US9121098B2
(en)
|
2003-02-04 |
2015-09-01 |
Asm International N.V. |
NanoLayer Deposition process for composite films
|
US7192892B2
(en)
*
|
2003-03-04 |
2007-03-20 |
Micron Technology, Inc. |
Atomic layer deposited dielectric layers
|
US20040177813A1
(en)
|
2003-03-12 |
2004-09-16 |
Applied Materials, Inc. |
Substrate support lift mechanism
|
US6955986B2
(en)
*
|
2003-03-27 |
2005-10-18 |
Asm International N.V. |
Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
|
US7135369B2
(en)
*
|
2003-03-31 |
2006-11-14 |
Micron Technology, Inc. |
Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
|
US20040198069A1
(en)
|
2003-04-04 |
2004-10-07 |
Applied Materials, Inc. |
Method for hafnium nitride deposition
|
US7842605B1
(en)
|
2003-04-11 |
2010-11-30 |
Novellus Systems, Inc. |
Atomic layer profiling of diffusion barrier and metal seed layers
|
US8298933B2
(en)
*
|
2003-04-11 |
2012-10-30 |
Novellus Systems, Inc. |
Conformal films on semiconductor substrates
|
US6703296B1
(en)
*
|
2003-04-17 |
2004-03-09 |
Macronix International Co. Ltd. |
Method for forming metal salicide
|
US7183186B2
(en)
*
|
2003-04-22 |
2007-02-27 |
Micro Technology, Inc. |
Atomic layer deposited ZrTiO4 films
|
US7601223B2
(en)
*
|
2003-04-29 |
2009-10-13 |
Asm International N.V. |
Showerhead assembly and ALD methods
|
US7115528B2
(en)
*
|
2003-04-29 |
2006-10-03 |
Micron Technology, Inc. |
Systems and method for forming silicon oxide layers
|
US7537662B2
(en)
|
2003-04-29 |
2009-05-26 |
Asm International N.V. |
Method and apparatus for depositing thin films on a surface
|
US7399357B2
(en)
*
|
2003-05-08 |
2008-07-15 |
Arthur Sherman |
Atomic layer deposition using multilayers
|
KR20060079144A
(ko)
*
|
2003-06-18 |
2006-07-05 |
어플라이드 머티어리얼스, 인코포레이티드 |
배리어 물질의 원자층 증착
|
US6930060B2
(en)
*
|
2003-06-18 |
2005-08-16 |
International Business Machines Corporation |
Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
|
US7192824B2
(en)
|
2003-06-24 |
2007-03-20 |
Micron Technology, Inc. |
Lanthanide oxide / hafnium oxide dielectric layers
|
US7049192B2
(en)
|
2003-06-24 |
2006-05-23 |
Micron Technology, Inc. |
Lanthanide oxide / hafnium oxide dielectrics
|
JP5082242B2
(ja)
*
|
2003-07-16 |
2012-11-28 |
コニカミノルタホールディングス株式会社 |
薄膜形成方法
|
US7399388B2
(en)
*
|
2003-07-25 |
2008-07-15 |
Applied Materials, Inc. |
Sequential gas flow oxide deposition technique
|
KR20060054387A
(ko)
|
2003-08-04 |
2006-05-22 |
에이에스엠 아메리카, 인코포레이티드 |
증착 전 게르마늄 표면 처리 방법
|
JP4887604B2
(ja)
*
|
2003-08-29 |
2012-02-29 |
ルネサスエレクトロニクス株式会社 |
半導体装置の製造方法
|
JP2005089823A
(ja)
|
2003-09-17 |
2005-04-07 |
Seiji Sagawa |
成膜装置および成膜方法
|
US20050067103A1
(en)
*
|
2003-09-26 |
2005-03-31 |
Applied Materials, Inc. |
Interferometer endpoint monitoring device
|
US6924223B2
(en)
*
|
2003-09-30 |
2005-08-02 |
Tokyo Electron Limited |
Method of forming a metal layer using an intermittent precursor gas flow process
|
US7306956B2
(en)
*
|
2003-09-30 |
2007-12-11 |
Intel Corporation |
Variable temperature and dose atomic layer deposition
|
US20050069641A1
(en)
*
|
2003-09-30 |
2005-03-31 |
Tokyo Electron Limited |
Method for depositing metal layers using sequential flow deposition
|
US7699997B2
(en)
*
|
2003-10-03 |
2010-04-20 |
Kobe Steel, Ltd. |
Method of reclaiming silicon wafers
|
US7166528B2
(en)
|
2003-10-10 |
2007-01-23 |
Applied Materials, Inc. |
Methods of selective deposition of heavily doped epitaxial SiGe
|
US7682374B2
(en)
*
|
2003-10-21 |
2010-03-23 |
Arthrocare Corporation |
Knotless suture lock and bone anchor implant method
|
US20050095859A1
(en)
*
|
2003-11-03 |
2005-05-05 |
Applied Materials, Inc. |
Precursor delivery system with rate control
|
US7071118B2
(en)
*
|
2003-11-12 |
2006-07-04 |
Veeco Instruments, Inc. |
Method and apparatus for fabricating a conformal thin film on a substrate
|
US20050109276A1
(en)
*
|
2003-11-25 |
2005-05-26 |
Applied Materials, Inc. |
Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
|
DE10357756B4
(de)
*
|
2003-12-10 |
2006-03-09 |
Infineon Technologies Ag |
Verfahren zur Herstellung von Metall-Oxynitriden durch ALD-Prozesse unter Verwendung von NO und/oder N2O
|
US7030468B2
(en)
*
|
2004-01-16 |
2006-04-18 |
International Business Machines Corporation |
Low k and ultra low k SiCOH dielectric films and methods to form the same
|
JP4601975B2
(ja)
*
|
2004-03-01 |
2010-12-22 |
東京エレクトロン株式会社 |
成膜方法
|
US7087497B2
(en)
*
|
2004-03-04 |
2006-08-08 |
Applied Materials |
Low-thermal-budget gapfill process
|
US20050233477A1
(en)
*
|
2004-03-05 |
2005-10-20 |
Tokyo Electron Limited |
Substrate processing apparatus, substrate processing method, and program for implementing the method
|
US7405143B2
(en)
|
2004-03-25 |
2008-07-29 |
Asm International N.V. |
Method for fabricating a seed layer
|
US7700155B1
(en)
*
|
2004-04-08 |
2010-04-20 |
Novellus Systems, Inc. |
Method and apparatus for modulation of precursor exposure during a pulsed deposition process
|
US20050227003A1
(en)
*
|
2004-04-08 |
2005-10-13 |
Carlson Chris M |
Methods of forming material over substrates
|
US20050252449A1
(en)
|
2004-05-12 |
2005-11-17 |
Nguyen Son T |
Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
|
US8119210B2
(en)
|
2004-05-21 |
2012-02-21 |
Applied Materials, Inc. |
Formation of a silicon oxynitride layer on a high-k dielectric material
|
US20060153995A1
(en)
*
|
2004-05-21 |
2006-07-13 |
Applied Materials, Inc. |
Method for fabricating a dielectric stack
|
US20060062917A1
(en)
*
|
2004-05-21 |
2006-03-23 |
Shankar Muthukrishnan |
Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
|
US8323754B2
(en)
*
|
2004-05-21 |
2012-12-04 |
Applied Materials, Inc. |
Stabilization of high-k dielectric materials
|
US20060019033A1
(en)
*
|
2004-05-21 |
2006-01-26 |
Applied Materials, Inc. |
Plasma treatment of hafnium-containing materials
|
US6987063B2
(en)
*
|
2004-06-10 |
2006-01-17 |
Freescale Semiconductor, Inc. |
Method to reduce impurity elements during semiconductor film deposition
|
US20050276922A1
(en)
*
|
2004-06-10 |
2005-12-15 |
Henry Bernhardt |
Method of forming thin dielectric layers
|
KR100589062B1
(ko)
*
|
2004-06-10 |
2006-06-12 |
삼성전자주식회사 |
원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
|
US7241686B2
(en)
*
|
2004-07-20 |
2007-07-10 |
Applied Materials, Inc. |
Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
|
US20060019032A1
(en)
*
|
2004-07-23 |
2006-01-26 |
Yaxin Wang |
Low thermal budget silicon nitride formation for advance transistor fabrication
|
DE102004040797A1
(de)
*
|
2004-08-23 |
2005-10-20 |
Infineon Technologies Ag |
Verfahren zum Abscheiden von Schichten auf einem zu beschichtenden Substrat und Schichtsystem
|
US20060045968A1
(en)
*
|
2004-08-25 |
2006-03-02 |
Metz Matthew V |
Atomic layer deposition of high quality high-k transition metal and rare earth oxides
|
US7081421B2
(en)
|
2004-08-26 |
2006-07-25 |
Micron Technology, Inc. |
Lanthanide oxide dielectric layer
|
US7588988B2
(en)
|
2004-08-31 |
2009-09-15 |
Micron Technology, Inc. |
Method of forming apparatus having oxide films formed using atomic layer deposition
|
US8158488B2
(en)
*
|
2004-08-31 |
2012-04-17 |
Micron Technology, Inc. |
Method of increasing deposition rate of silicon dioxide on a catalyst
|
US7494939B2
(en)
*
|
2004-08-31 |
2009-02-24 |
Micron Technology, Inc. |
Methods for forming a lanthanum-metal oxide dielectric layer
|
US7253084B2
(en)
*
|
2004-09-03 |
2007-08-07 |
Asm America, Inc. |
Deposition from liquid sources
|
US7966969B2
(en)
*
|
2004-09-22 |
2011-06-28 |
Asm International N.V. |
Deposition of TiN films in a batch reactor
|
US7427571B2
(en)
*
|
2004-10-15 |
2008-09-23 |
Asm International, N.V. |
Reactor design for reduced particulate generation
|
US7674726B2
(en)
*
|
2004-10-15 |
2010-03-09 |
Asm International N.V. |
Parts for deposition reactors
|
US20060084283A1
(en)
*
|
2004-10-20 |
2006-04-20 |
Paranjpe Ajit P |
Low temperature sin deposition methods
|
US7560352B2
(en)
*
|
2004-12-01 |
2009-07-14 |
Applied Materials, Inc. |
Selective deposition
|
US7312128B2
(en)
*
|
2004-12-01 |
2007-12-25 |
Applied Materials, Inc. |
Selective epitaxy process with alternating gas supply
|
US7682940B2
(en)
*
|
2004-12-01 |
2010-03-23 |
Applied Materials, Inc. |
Use of Cl2 and/or HCl during silicon epitaxial film formation
|
US7429402B2
(en)
*
|
2004-12-10 |
2008-09-30 |
Applied Materials, Inc. |
Ruthenium as an underlayer for tungsten film deposition
|
US7235501B2
(en)
|
2004-12-13 |
2007-06-26 |
Micron Technology, Inc. |
Lanthanum hafnium oxide dielectrics
|
DE102004061094A1
(de)
*
|
2004-12-18 |
2006-06-22 |
Aixtron Ag |
Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
|
US7560395B2
(en)
|
2005-01-05 |
2009-07-14 |
Micron Technology, Inc. |
Atomic layer deposited hafnium tantalum oxide dielectrics
|
US7235492B2
(en)
|
2005-01-31 |
2007-06-26 |
Applied Materials, Inc. |
Low temperature etchant for treatment of silicon-containing surfaces
|
US7687383B2
(en)
*
|
2005-02-04 |
2010-03-30 |
Asm America, Inc. |
Methods of depositing electrically active doped crystalline Si-containing films
|
US7374964B2
(en)
*
|
2005-02-10 |
2008-05-20 |
Micron Technology, Inc. |
Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
|
US7399666B2
(en)
*
|
2005-02-15 |
2008-07-15 |
Micron Technology, Inc. |
Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
|
WO2006091510A1
(en)
|
2005-02-22 |
2006-08-31 |
Asm America, Inc. |
Plasma pre-treating surfaces for atomic layer deposition
|
US7498247B2
(en)
|
2005-02-23 |
2009-03-03 |
Micron Technology, Inc. |
Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
|
US7629267B2
(en)
*
|
2005-03-07 |
2009-12-08 |
Asm International N.V. |
High stress nitride film and method for formation thereof
|
US20060216548A1
(en)
*
|
2005-03-22 |
2006-09-28 |
Ming Mao |
Nanolaminate thin films and method for forming the same using atomic layer deposition
|
US7687409B2
(en)
|
2005-03-29 |
2010-03-30 |
Micron Technology, Inc. |
Atomic layer deposited titanium silicon oxide films
|
US7365027B2
(en)
*
|
2005-03-29 |
2008-04-29 |
Micron Technology, Inc. |
ALD of amorphous lanthanide doped TiOx films
|
JP3984639B2
(ja)
*
|
2005-03-30 |
2007-10-03 |
松下電器産業株式会社 |
伝送線路
|
AU2006336262B2
(en)
*
|
2005-04-06 |
2011-10-13 |
President And Fellows Of Harvard College |
Molecular characterization with carbon nanotube control
|
US7662729B2
(en)
|
2005-04-28 |
2010-02-16 |
Micron Technology, Inc. |
Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
|
US7390756B2
(en)
*
|
2005-04-28 |
2008-06-24 |
Micron Technology, Inc. |
Atomic layer deposited zirconium silicon oxide films
|
US7572695B2
(en)
|
2005-05-27 |
2009-08-11 |
Micron Technology, Inc. |
Hafnium titanium oxide films
|
US7396415B2
(en)
*
|
2005-06-02 |
2008-07-08 |
Asm America, Inc. |
Apparatus and methods for isolating chemical vapor reactions at a substrate surface
|
US20060272577A1
(en)
*
|
2005-06-03 |
2006-12-07 |
Ming Mao |
Method and apparatus for decreasing deposition time of a thin film
|
JP4456533B2
(ja)
*
|
2005-06-14 |
2010-04-28 |
東京エレクトロン株式会社 |
シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
|
US7648927B2
(en)
*
|
2005-06-21 |
2010-01-19 |
Applied Materials, Inc. |
Method for forming silicon-containing materials during a photoexcitation deposition process
|
US7651955B2
(en)
*
|
2005-06-21 |
2010-01-26 |
Applied Materials, Inc. |
Method for forming silicon-containing materials during a photoexcitation deposition process
|
US20060286774A1
(en)
*
|
2005-06-21 |
2006-12-21 |
Applied Materials. Inc. |
Method for forming silicon-containing materials during a photoexcitation deposition process
|
US20100104755A1
(en)
*
|
2005-06-29 |
2010-04-29 |
Christian Dussarrat |
Deposition method of ternary films
|
US7473637B2
(en)
*
|
2005-07-20 |
2009-01-06 |
Micron Technology, Inc. |
ALD formed titanium nitride films
|
US7927948B2
(en)
|
2005-07-20 |
2011-04-19 |
Micron Technology, Inc. |
Devices with nanocrystals and methods of formation
|
US20070042130A1
(en)
*
|
2005-08-17 |
2007-02-22 |
Applied Materials, Inc. |
Method of treating films using UV-generated active species
|
US20070049043A1
(en)
*
|
2005-08-23 |
2007-03-01 |
Applied Materials, Inc. |
Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
|
DE102006038885B4
(de)
*
|
2005-08-24 |
2013-10-10 |
Wonik Ips Co., Ltd. |
Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
|
US7402534B2
(en)
*
|
2005-08-26 |
2008-07-22 |
Applied Materials, Inc. |
Pretreatment processes within a batch ALD reactor
|
US7393736B2
(en)
*
|
2005-08-29 |
2008-07-01 |
Micron Technology, Inc. |
Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
|
US8110469B2
(en)
*
|
2005-08-30 |
2012-02-07 |
Micron Technology, Inc. |
Graded dielectric layers
|
JP4845455B2
(ja)
*
|
2005-09-01 |
2011-12-28 |
キヤノンアネルバ株式会社 |
薄膜作製装置及び薄膜作製方法
|
US20070054048A1
(en)
*
|
2005-09-07 |
2007-03-08 |
Suvi Haukka |
Extended deposition range by hot spots
|
US20070065576A1
(en)
*
|
2005-09-09 |
2007-03-22 |
Vikram Singh |
Technique for atomic layer deposition
|
US20070087581A1
(en)
*
|
2005-09-09 |
2007-04-19 |
Varian Semiconductor Equipment Associates, Inc. |
Technique for atomic layer deposition
|
US20070065578A1
(en)
*
|
2005-09-21 |
2007-03-22 |
Applied Materials, Inc. |
Treatment processes for a batch ALD reactor
|
US20070082507A1
(en)
*
|
2005-10-06 |
2007-04-12 |
Applied Materials, Inc. |
Method and apparatus for the low temperature deposition of doped silicon nitride films
|
US7464917B2
(en)
*
|
2005-10-07 |
2008-12-16 |
Appiled Materials, Inc. |
Ampoule splash guard apparatus
|
US8993055B2
(en)
|
2005-10-27 |
2015-03-31 |
Asm International N.V. |
Enhanced thin film deposition
|
US20070099422A1
(en)
*
|
2005-10-28 |
2007-05-03 |
Kapila Wijekoon |
Process for electroless copper deposition
|
US7850779B2
(en)
*
|
2005-11-04 |
2010-12-14 |
Applied Materisals, Inc. |
Apparatus and process for plasma-enhanced atomic layer deposition
|
US7658802B2
(en)
*
|
2005-11-22 |
2010-02-09 |
Applied Materials, Inc. |
Apparatus and a method for cleaning a dielectric film
|
JP2009529605A
(ja)
*
|
2005-11-28 |
2009-08-20 |
マセソン トライ−ガス, インコーポレイテッド |
化学蒸着法により形成されたガス貯蔵コンテナーライニング
|
WO2007075369A1
(en)
*
|
2005-12-16 |
2007-07-05 |
Asm International N.V. |
Low temperature doped silicon layer formation
|
US7553516B2
(en)
*
|
2005-12-16 |
2009-06-30 |
Asm International N.V. |
System and method of reducing particle contamination of semiconductor substrates
|
US20070264427A1
(en)
*
|
2005-12-21 |
2007-11-15 |
Asm Japan K.K. |
Thin film formation by atomic layer growth and chemical vapor deposition
|
KR20080089403A
(ko)
*
|
2005-12-22 |
2008-10-06 |
에이에스엠 아메리카, 인코포레이티드 |
도핑된 반도체 물질들의 에피택시 증착
|
KR100668745B1
(ko)
*
|
2005-12-29 |
2007-01-29 |
주식회사 하이닉스반도체 |
반도체 소자의 트랜지스터 형성 방법
|
US7709402B2
(en)
|
2006-02-16 |
2010-05-04 |
Micron Technology, Inc. |
Conductive layers for hafnium silicon oxynitride films
|
US7615061B2
(en)
*
|
2006-02-28 |
2009-11-10 |
Arthrocare Corporation |
Bone anchor suture-loading system, method and apparatus
|
US7964514B2
(en)
*
|
2006-03-02 |
2011-06-21 |
Applied Materials, Inc. |
Multiple nitrogen plasma treatments for thin SiON dielectrics
|
US7678710B2
(en)
*
|
2006-03-09 |
2010-03-16 |
Applied Materials, Inc. |
Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
|
US7645710B2
(en)
*
|
2006-03-09 |
2010-01-12 |
Applied Materials, Inc. |
Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
|
US7837838B2
(en)
*
|
2006-03-09 |
2010-11-23 |
Applied Materials, Inc. |
Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
|
US7674337B2
(en)
*
|
2006-04-07 |
2010-03-09 |
Applied Materials, Inc. |
Gas manifolds for use during epitaxial film formation
|
US7537804B2
(en)
*
|
2006-04-28 |
2009-05-26 |
Micron Technology, Inc. |
ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
|
US7798096B2
(en)
|
2006-05-05 |
2010-09-21 |
Applied Materials, Inc. |
Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
|
US8278176B2
(en)
|
2006-06-07 |
2012-10-02 |
Asm America, Inc. |
Selective epitaxial formation of semiconductor films
|
DE102006027932A1
(de)
*
|
2006-06-14 |
2007-12-20 |
Aixtron Ag |
Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
|
US7855147B1
(en)
|
2006-06-22 |
2010-12-21 |
Novellus Systems, Inc. |
Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
|
US7691757B2
(en)
|
2006-06-22 |
2010-04-06 |
Asm International N.V. |
Deposition of complex nitride films
|
US7645696B1
(en)
|
2006-06-22 |
2010-01-12 |
Novellus Systems, Inc. |
Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
|
US7501355B2
(en)
*
|
2006-06-29 |
2009-03-10 |
Applied Materials, Inc. |
Decreasing the etch rate of silicon nitride by carbon addition
|
WO2008005892A2
(en)
*
|
2006-06-30 |
2008-01-10 |
Applied Materials, Inc. |
Nanocrystal formation
|
US8187679B2
(en)
*
|
2006-07-29 |
2012-05-29 |
Lotus Applied Technology, Llc |
Radical-enhanced atomic layer deposition system and method
|
KR101369355B1
(ko)
*
|
2006-07-31 |
2014-03-04 |
어플라이드 머티어리얼스, 인코포레이티드 |
에피택셜 층 형성 동안에 형태를 제어하는 방법
|
JP5090451B2
(ja)
*
|
2006-07-31 |
2012-12-05 |
アプライド マテリアルズ インコーポレイテッド |
炭素含有シリコンエピタキシャル層の形成方法
|
US8133258B2
(en)
*
|
2006-08-03 |
2012-03-13 |
Arthrocare Corporation |
Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
|
US20080038486A1
(en)
*
|
2006-08-03 |
2008-02-14 |
Helmuth Treichel |
Radical Assisted Batch Film Deposition
|
US7605030B2
(en)
|
2006-08-31 |
2009-10-20 |
Micron Technology, Inc. |
Hafnium tantalum oxynitride high-k dielectric and metal gates
|
US7563730B2
(en)
|
2006-08-31 |
2009-07-21 |
Micron Technology, Inc. |
Hafnium lanthanide oxynitride films
|
US7871678B1
(en)
|
2006-09-12 |
2011-01-18 |
Novellus Systems, Inc. |
Method of increasing the reactivity of a precursor in a cyclic deposition process
|
US8053372B1
(en)
|
2006-09-12 |
2011-11-08 |
Novellus Systems, Inc. |
Method of reducing plasma stabilization time in a cyclic deposition process
|
WO2008039845A2
(en)
*
|
2006-09-26 |
2008-04-03 |
Applied Materials, Inc. |
Fluorine plasma treatment of high-k gate stack for defect passivation
|
KR101427142B1
(ko)
*
|
2006-10-05 |
2014-08-07 |
에이에스엠 아메리카, 인코포레이티드 |
금속 규산염 막의 원자층 증착
|
US7521379B2
(en)
*
|
2006-10-09 |
2009-04-21 |
Applied Materials, Inc. |
Deposition and densification process for titanium nitride barrier layers
|
US20080087890A1
(en)
*
|
2006-10-16 |
2008-04-17 |
Micron Technology, Inc. |
Methods to form dielectric structures in semiconductor devices and resulting devices
|
US8268409B2
(en)
*
|
2006-10-25 |
2012-09-18 |
Asm America, Inc. |
Plasma-enhanced deposition of metal carbide films
|
US20080099436A1
(en)
*
|
2006-10-30 |
2008-05-01 |
Michael Grimbergen |
Endpoint detection for photomask etching
|
US8092695B2
(en)
*
|
2006-10-30 |
2012-01-10 |
Applied Materials, Inc. |
Endpoint detection for photomask etching
|
US7775508B2
(en)
*
|
2006-10-31 |
2010-08-17 |
Applied Materials, Inc. |
Ampoule for liquid draw and vapor draw with a continuous level sensor
|
US7611751B2
(en)
|
2006-11-01 |
2009-11-03 |
Asm America, Inc. |
Vapor deposition of metal carbide films
|
US7510634B1
(en)
|
2006-11-10 |
2009-03-31 |
Novellus Systems, Inc. |
Apparatus and methods for deposition and/or etch selectivity
|
US20080145536A1
(en)
*
|
2006-12-13 |
2008-06-19 |
Applied Materials, Inc. |
METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
|
US7595270B2
(en)
*
|
2007-01-26 |
2009-09-29 |
Asm America, Inc. |
Passivated stoichiometric metal nitride films
|
US7598170B2
(en)
*
|
2007-01-26 |
2009-10-06 |
Asm America, Inc. |
Plasma-enhanced ALD of tantalum nitride films
|
US20080206987A1
(en)
*
|
2007-01-29 |
2008-08-28 |
Gelatos Avgerinos V |
Process for tungsten nitride deposition by a temperature controlled lid assembly
|
US20080182021A1
(en)
*
|
2007-01-31 |
2008-07-31 |
Simka Harsono S |
Continuous ultra-thin copper film formed using a low thermal budget
|
US7682966B1
(en)
|
2007-02-01 |
2010-03-23 |
Novellus Systems, Inc. |
Multistep method of depositing metal seed layers
|
KR20100019414A
(ko)
*
|
2007-03-06 |
2010-02-18 |
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. |
원자층 증착을 위한 기술
|
US20080241387A1
(en)
*
|
2007-03-29 |
2008-10-02 |
Asm International N.V. |
Atomic layer deposition reactor
|
US8236379B2
(en)
*
|
2007-04-02 |
2012-08-07 |
Applied Microstructures, Inc. |
Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
|
US20080268642A1
(en)
*
|
2007-04-20 |
2008-10-30 |
Kazutaka Yanagita |
Deposition of transition metal carbide containing films
|
US8137381B2
(en)
|
2007-04-25 |
2012-03-20 |
Arthrocare Corporation |
Knotless suture anchor having discrete polymer components and related methods
|
US7713874B2
(en)
*
|
2007-05-02 |
2010-05-11 |
Asm America, Inc. |
Periodic plasma annealing in an ALD-type process
|
US7629256B2
(en)
*
|
2007-05-14 |
2009-12-08 |
Asm International N.V. |
In situ silicon and titanium nitride deposition
|
US7897516B1
(en)
|
2007-05-24 |
2011-03-01 |
Novellus Systems, Inc. |
Use of ultra-high magnetic fields in resputter and plasma etching
|
US7922880B1
(en)
|
2007-05-24 |
2011-04-12 |
Novellus Systems, Inc. |
Method and apparatus for increasing local plasma density in magnetically confined plasma
|
US8017182B2
(en)
|
2007-06-21 |
2011-09-13 |
Asm International N.V. |
Method for depositing thin films by mixed pulsed CVD and ALD
|
US7638170B2
(en)
|
2007-06-21 |
2009-12-29 |
Asm International N.V. |
Low resistivity metal carbonitride thin film deposition by atomic layer deposition
|
US20080314311A1
(en)
*
|
2007-06-24 |
2008-12-25 |
Burrows Brian H |
Hvpe showerhead design
|
US20090035946A1
(en)
*
|
2007-07-31 |
2009-02-05 |
Asm International N.V. |
In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
|
US7851307B2
(en)
|
2007-08-17 |
2010-12-14 |
Micron Technology, Inc. |
Method of forming complex oxide nanodots for a charge trap
|
KR20090018290A
(ko)
*
|
2007-08-17 |
2009-02-20 |
에이에스엠지니텍코리아 주식회사 |
증착 장치
|
US7963972B2
(en)
*
|
2007-09-12 |
2011-06-21 |
Arthrocare Corporation |
Implant and delivery system for soft tissue repair
|
US7759199B2
(en)
*
|
2007-09-19 |
2010-07-20 |
Asm America, Inc. |
Stressor for engineered strain on channel
|
US7659197B1
(en)
|
2007-09-21 |
2010-02-09 |
Novellus Systems, Inc. |
Selective resputtering of metal seed layers
|
US7678298B2
(en)
*
|
2007-09-25 |
2010-03-16 |
Applied Materials, Inc. |
Tantalum carbide nitride materials by vapor deposition processes
|
US7585762B2
(en)
*
|
2007-09-25 |
2009-09-08 |
Applied Materials, Inc. |
Vapor deposition processes for tantalum carbide nitride materials
|
US20090087550A1
(en)
*
|
2007-09-27 |
2009-04-02 |
Tokyo Electron Limited |
Sequential flow deposition of a tungsten silicide gate electrode film
|
US7824743B2
(en)
*
|
2007-09-28 |
2010-11-02 |
Applied Materials, Inc. |
Deposition processes for titanium nitride barrier and aluminum
|
US20090149008A1
(en)
*
|
2007-10-05 |
2009-06-11 |
Applied Materials, Inc. |
Method for depositing group iii/v compounds
|
US8383439B2
(en)
*
|
2007-10-25 |
2013-02-26 |
Showa Denko K.K. |
Apparatus for manufacturing group-III nitride semiconductor layer, method of manufacturing group-III nitride semiconductor layer, group-III nitride semiconductor light-emitting device, method of manufacturing group-III nitride semiconductor light-emitting device, and lamp
|
US7939447B2
(en)
*
|
2007-10-26 |
2011-05-10 |
Asm America, Inc. |
Inhibitors for selective deposition of silicon containing films
|
US7655543B2
(en)
*
|
2007-12-21 |
2010-02-02 |
Asm America, Inc. |
Separate injection of reactive species in selective formation of films
|
KR101410930B1
(ko)
*
|
2008-01-17 |
2014-06-23 |
삼성전자주식회사 |
탄소나노튜브 상의 금속 산화막 형성방법 및 이를 이용한탄소나노튜브 트랜지스터 제조방법
|
US7767572B2
(en)
*
|
2008-02-21 |
2010-08-03 |
Applied Materials, Inc. |
Methods of forming a barrier layer in an interconnect structure
|
US7618893B2
(en)
*
|
2008-03-04 |
2009-11-17 |
Applied Materials, Inc. |
Methods of forming a layer for barrier applications in an interconnect structure
|
US7659158B2
(en)
|
2008-03-31 |
2010-02-09 |
Applied Materials, Inc. |
Atomic layer deposition processes for non-volatile memory devices
|
JP5551681B2
(ja)
*
|
2008-04-16 |
2014-07-16 |
エーエスエム アメリカ インコーポレイテッド |
アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
|
US8383525B2
(en)
*
|
2008-04-25 |
2013-02-26 |
Asm America, Inc. |
Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
|
US7666474B2
(en)
|
2008-05-07 |
2010-02-23 |
Asm America, Inc. |
Plasma-enhanced pulsed deposition of metal carbide films
|
KR101436564B1
(ko)
*
|
2008-05-07 |
2014-09-02 |
한국에이에스엠지니텍 주식회사 |
비정질 실리콘 박막 형성 방법
|
US8017523B1
(en)
|
2008-05-16 |
2011-09-13 |
Novellus Systems, Inc. |
Deposition of doped copper seed layers having improved reliability
|
EP2159304A1
(de)
*
|
2008-08-27 |
2010-03-03 |
Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO |
Vorrichtung und Verfahren zur Atomlagenabscheidung
|
US20100062149A1
(en)
*
|
2008-09-08 |
2010-03-11 |
Applied Materials, Inc. |
Method for tuning a deposition rate during an atomic layer deposition process
|
US8491967B2
(en)
*
|
2008-09-08 |
2013-07-23 |
Applied Materials, Inc. |
In-situ chamber treatment and deposition process
|
US8770142B2
(en)
*
|
2008-09-17 |
2014-07-08 |
Veeco Ald Inc. |
Electrode for generating plasma and plasma generator
|
US8851012B2
(en)
*
|
2008-09-17 |
2014-10-07 |
Veeco Ald Inc. |
Vapor deposition reactor using plasma and method for forming thin film using the same
|
US8146896B2
(en)
*
|
2008-10-31 |
2012-04-03 |
Applied Materials, Inc. |
Chemical precursor ampoule for vapor deposition processes
|
US8012876B2
(en)
*
|
2008-12-02 |
2011-09-06 |
Asm International N.V. |
Delivery of vapor precursor from solid source
|
KR20110100618A
(ko)
*
|
2008-12-05 |
2011-09-14 |
로터스 어플라이드 테크놀로지, 엘엘씨 |
향상된 장벽 층 특성을 갖는 얇은 막의 고속 증착
|
US7833906B2
(en)
|
2008-12-11 |
2010-11-16 |
Asm International N.V. |
Titanium silicon nitride deposition
|
US20100151676A1
(en)
*
|
2008-12-16 |
2010-06-17 |
Applied Materials, Inc. |
Densification process for titanium nitride layer for submicron applications
|
US8871628B2
(en)
|
2009-01-21 |
2014-10-28 |
Veeco Ald Inc. |
Electrode structure, device comprising the same and method for forming electrode structure
|
US8557702B2
(en)
|
2009-02-02 |
2013-10-15 |
Asm America, Inc. |
Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
|
CA2653581A1
(en)
|
2009-02-11 |
2010-08-11 |
Kenneth Scott Alexander Butcher |
Migration and plasma enhanced chemical vapour deposition
|
WO2010095901A2
(en)
*
|
2009-02-23 |
2010-08-26 |
Synos Technology, Inc. |
Method for forming thin film using radicals generated by plasma
|
US8486191B2
(en)
*
|
2009-04-07 |
2013-07-16 |
Asm America, Inc. |
Substrate reactor with adjustable injectors for mixing gases within reaction chamber
|
US8568529B2
(en)
*
|
2009-04-10 |
2013-10-29 |
Applied Materials, Inc. |
HVPE chamber hardware
|
US8183132B2
(en)
|
2009-04-10 |
2012-05-22 |
Applied Materials, Inc. |
Methods for fabricating group III nitride structures with a cluster tool
|
US20100266765A1
(en)
*
|
2009-04-21 |
2010-10-21 |
White Carl L |
Method and apparatus for growing a thin film onto a substrate
|
KR20120003493A
(ko)
*
|
2009-04-24 |
2012-01-10 |
어플라이드 머티어리얼스, 인코포레이티드 |
후속하는 고온 그룹 ⅲ 증착들을 위한 기판 전처리
|
US20100273291A1
(en)
*
|
2009-04-28 |
2010-10-28 |
Applied Materials, Inc. |
Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
|
KR20120023040A
(ko)
*
|
2009-04-29 |
2012-03-12 |
어플라이드 머티어리얼스, 인코포레이티드 |
HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
|
US8758512B2
(en)
|
2009-06-08 |
2014-06-24 |
Veeco Ald Inc. |
Vapor deposition reactor and method for forming thin film
|
JP5307072B2
(ja)
*
|
2009-06-17 |
2013-10-02 |
東京エレクトロン株式会社 |
金属酸化物膜の形成方法及び成膜装置
|
US8026157B2
(en)
*
|
2009-09-02 |
2011-09-27 |
Applied Materials, Inc. |
Gas mixing method realized by back diffusion in a PECVD system with showerhead
|
US8367528B2
(en)
|
2009-11-17 |
2013-02-05 |
Asm America, Inc. |
Cyclical epitaxial deposition and etch
|
JP5482196B2
(ja)
*
|
2009-12-25 |
2014-04-23 |
東京エレクトロン株式会社 |
成膜装置、成膜方法及び記憶媒体
|
US8637123B2
(en)
*
|
2009-12-29 |
2014-01-28 |
Lotus Applied Technology, Llc |
Oxygen radical generation for radical-enhanced thin film deposition
|
EP2360293A1
(de)
|
2010-02-11 |
2011-08-24 |
Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO |
Verfahren und Vorrichtung zur Ablagerung atomarer Schichten auf einem Substrat
|
EP2362411A1
(de)
|
2010-02-26 |
2011-08-31 |
Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO |
Vorrichtung und Verfahren zum reaktiven Ionenätzen
|
US20110256692A1
(en)
|
2010-04-14 |
2011-10-20 |
Applied Materials, Inc. |
Multiple precursor concentric delivery showerhead
|
US9611544B2
(en)
|
2010-04-15 |
2017-04-04 |
Novellus Systems, Inc. |
Plasma activated conformal dielectric film deposition
|
US9373500B2
(en)
|
2014-02-21 |
2016-06-21 |
Lam Research Corporation |
Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
|
US9997357B2
(en)
|
2010-04-15 |
2018-06-12 |
Lam Research Corporation |
Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
|
US8637411B2
(en)
|
2010-04-15 |
2014-01-28 |
Novellus Systems, Inc. |
Plasma activated conformal dielectric film deposition
|
US9892917B2
(en)
|
2010-04-15 |
2018-02-13 |
Lam Research Corporation |
Plasma assisted atomic layer deposition of multi-layer films for patterning applications
|
US9257274B2
(en)
|
2010-04-15 |
2016-02-09 |
Lam Research Corporation |
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
|
KR20160068986A
(ko)
*
|
2010-07-22 |
2016-06-15 |
비코 에이엘디 인코포레이티드 |
원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
|
US9685320B2
(en)
|
2010-09-23 |
2017-06-20 |
Lam Research Corporation |
Methods for depositing silicon oxide
|
US8771791B2
(en)
|
2010-10-18 |
2014-07-08 |
Veeco Ald Inc. |
Deposition of layer using depositing apparatus with reciprocating susceptor
|
US8778204B2
(en)
|
2010-10-29 |
2014-07-15 |
Applied Materials, Inc. |
Methods for reducing photoresist interference when monitoring a target layer in a plasma process
|
CN103189964A
(zh)
|
2010-11-04 |
2013-07-03 |
诺发系统公司 |
钽的离子诱导原子层沉积
|
US8969823B2
(en)
*
|
2011-01-21 |
2015-03-03 |
Uchicago Argonne, Llc |
Microchannel plate detector and methods for their fabrication
|
US8921799B2
(en)
|
2011-01-21 |
2014-12-30 |
Uchicago Argonne, Llc |
Tunable resistance coatings
|
US9105379B2
(en)
|
2011-01-21 |
2015-08-11 |
Uchicago Argonne, Llc |
Tunable resistance coatings
|
US8143147B1
(en)
|
2011-02-10 |
2012-03-27 |
Intermolecular, Inc. |
Methods and systems for forming thin films
|
US8877300B2
(en)
|
2011-02-16 |
2014-11-04 |
Veeco Ald Inc. |
Atomic layer deposition using radicals of gas mixture
|
US9163310B2
(en)
|
2011-02-18 |
2015-10-20 |
Veeco Ald Inc. |
Enhanced deposition of layer on substrate using radicals
|
CN103443906B
(zh)
*
|
2011-03-04 |
2016-03-30 |
应用材料公司 |
触点清洁的方法
|
TWI534291B
(zh)
|
2011-03-18 |
2016-05-21 |
應用材料股份有限公司 |
噴淋頭組件
|
US8912096B2
(en)
|
2011-04-28 |
2014-12-16 |
Applied Materials, Inc. |
Methods for precleaning a substrate prior to metal silicide fabrication process
|
US8809170B2
(en)
|
2011-05-19 |
2014-08-19 |
Asm America Inc. |
High throughput cyclical epitaxial deposition and etch process
|
US9636101B2
(en)
|
2011-09-01 |
2017-05-02 |
Arthrocare Corporation |
Bone anchor having an integrated stress isolator
|
US9218961B2
(en)
|
2011-09-19 |
2015-12-22 |
Applied Materials, Inc. |
Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
|
US8961804B2
(en)
|
2011-10-25 |
2015-02-24 |
Applied Materials, Inc. |
Etch rate detection for photomask etching
|
US8808559B2
(en)
|
2011-11-22 |
2014-08-19 |
Applied Materials, Inc. |
Etch rate detection for reflective multi-material layers etching
|
US8927423B2
(en)
|
2011-12-16 |
2015-01-06 |
Applied Materials, Inc. |
Methods for annealing a contact metal layer to form a metal silicidation layer
|
US8900469B2
(en)
|
2011-12-19 |
2014-12-02 |
Applied Materials, Inc. |
Etch rate detection for anti-reflective coating layer and absorber layer etching
|
US8586479B2
(en)
|
2012-01-23 |
2013-11-19 |
Applied Materials, Inc. |
Methods for forming a contact metal layer in semiconductor devices
|
US9034014B2
(en)
|
2012-01-27 |
2015-05-19 |
Arthrocare Corporation |
Free floating wedge suture anchor for soft tissue repair
|
US9198649B2
(en)
|
2012-01-27 |
2015-12-01 |
Arthrocare Corporation |
Rotating locking member suture anchor and method for soft tissue repair
|
US9023083B2
(en)
|
2012-01-27 |
2015-05-05 |
Arthrocare Corporation |
Method for soft tissue repair with free floating suture locking member
|
US9364210B2
(en)
|
2012-01-27 |
2016-06-14 |
Arthrocare Corporation |
Biased wedge suture anchor and method for soft tissue repair
|
US9226742B2
(en)
|
2012-01-27 |
2016-01-05 |
Arthrocare Corporation |
Restricted wedge suture anchor and method for soft tissue repair
|
US9029264B2
(en)
*
|
2012-03-14 |
2015-05-12 |
Applied Materials, Inc. |
Methods for depositing a tin-containing layer on a substrate
|
US9330939B2
(en)
|
2012-03-28 |
2016-05-03 |
Applied Materials, Inc. |
Method of enabling seamless cobalt gap-fill
|
US20140117559A1
(en)
*
|
2012-03-30 |
2014-05-01 |
Paul A. Zimmerman |
Process and material for preventing deleterious expansion of high aspect ratio copper filled through silicon vias (tsvs)
|
US9855028B2
(en)
|
2012-04-06 |
2018-01-02 |
Arthrocare Corporation |
Multi-suture knotless anchor for attaching tissue to bone and related method
|
US9430102B2
(en)
|
2012-07-05 |
2016-08-30 |
Apple |
Touch interface using patterned bulk amorphous alloy
|
DE102012017894A1
(de)
*
|
2012-09-11 |
2014-03-13 |
Hochschule Für Angewandte Wissenschaft Und Kunst Hildesheim/Holzminden/Göttingen |
Verfahren zum Aufbringen einer Oxidschicht auf eine Oberfläche
|
US9805939B2
(en)
|
2012-10-12 |
2017-10-31 |
Applied Materials, Inc. |
Dual endpoint detection for advanced phase shift and binary photomasks
|
SG2013083654A
(en)
|
2012-11-08 |
2014-06-27 |
Novellus Systems Inc |
Methods for depositing films on sensitive substrates
|
US8778574B2
(en)
|
2012-11-30 |
2014-07-15 |
Applied Materials, Inc. |
Method for etching EUV material layers utilized to form a photomask
|
US9018108B2
(en)
|
2013-01-25 |
2015-04-28 |
Applied Materials, Inc. |
Low shrinkage dielectric films
|
US11326255B2
(en)
|
2013-02-07 |
2022-05-10 |
Uchicago Argonne, Llc |
ALD reactor for coating porous substrates
|
US9412602B2
(en)
|
2013-03-13 |
2016-08-09 |
Asm Ip Holding B.V. |
Deposition of smooth metal nitride films
|
US8846550B1
(en)
|
2013-03-14 |
2014-09-30 |
Asm Ip Holding B.V. |
Silane or borane treatment of metal thin films
|
US8841182B1
(en)
|
2013-03-14 |
2014-09-23 |
Asm Ip Holding B.V. |
Silane and borane treatments for titanium carbide films
|
US9353439B2
(en)
|
2013-04-05 |
2016-05-31 |
Lam Research Corporation |
Cascade design showerhead for transient uniformity
|
US9552979B2
(en)
*
|
2013-05-31 |
2017-01-24 |
Asm Ip Holding B.V. |
Cyclic aluminum nitride deposition in a batch reactor
|
US9543163B2
(en)
|
2013-08-20 |
2017-01-10 |
Applied Materials, Inc. |
Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
|
KR102271202B1
(ko)
|
2013-09-27 |
2021-06-30 |
어플라이드 머티어리얼스, 인코포레이티드 |
심리스 코발트 갭-충전을 가능하게 하는 방법
|
US11286557B2
(en)
|
2014-01-24 |
2022-03-29 |
Commissariat A L'energie Atomique Et Aux Engergies Alternatives |
Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
|
FR3016889B1
(fr)
*
|
2014-01-24 |
2016-01-22 |
Commissariat Energie Atomique |
Procede de reaslisation par ald d'une couche mince de formule myx
|
US9394609B2
(en)
|
2014-02-13 |
2016-07-19 |
Asm Ip Holding B.V. |
Atomic layer deposition of aluminum fluoride thin films
|
KR102195139B1
(ko)
*
|
2014-02-20 |
2020-12-24 |
삼성전자주식회사 |
반도체 장치의 제조 방법
|
US9508561B2
(en)
|
2014-03-11 |
2016-11-29 |
Applied Materials, Inc. |
Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
|
DE102014205536A1
(de)
|
2014-03-25 |
2015-10-01 |
Robert Bosch Gmbh |
Mikromechanisches Bauelement mit Antihaftschicht und entsprechendes Herstellungsverfahren
|
US10643925B2
(en)
|
2014-04-17 |
2020-05-05 |
Asm Ip Holding B.V. |
Fluorine-containing conductive films
|
DE102014108352A1
(de)
|
2014-06-13 |
2015-12-17 |
Forschungszentrum Jülich GmbH |
Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
|
US9528185B2
(en)
|
2014-08-22 |
2016-12-27 |
Applied Materials, Inc. |
Plasma uniformity control by arrays of unit cell plasmas
|
US9318319B2
(en)
|
2014-08-27 |
2016-04-19 |
Ultratech, Inc. |
Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
|
KR102216575B1
(ko)
|
2014-10-23 |
2021-02-18 |
에이에스엠 아이피 홀딩 비.브이. |
티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
|
US9564312B2
(en)
|
2014-11-24 |
2017-02-07 |
Lam Research Corporation |
Selective inhibition in atomic layer deposition of silicon-containing films
|
US10566187B2
(en)
|
2015-03-20 |
2020-02-18 |
Lam Research Corporation |
Ultrathin atomic layer deposition film accuracy thickness control
|
US9911591B2
(en)
|
2015-05-01 |
2018-03-06 |
Applied Materials, Inc. |
Selective deposition of thin film dielectrics using surface blocking chemistry
|
US10023959B2
(en)
|
2015-05-26 |
2018-07-17 |
Lam Research Corporation |
Anti-transient showerhead
|
US9941425B2
(en)
|
2015-10-16 |
2018-04-10 |
Asm Ip Holdings B.V. |
Photoactive devices and materials
|
US9786492B2
(en)
|
2015-11-12 |
2017-10-10 |
Asm Ip Holding B.V. |
Formation of SiOCN thin films
|
US9786491B2
(en)
|
2015-11-12 |
2017-10-10 |
Asm Ip Holding B.V. |
Formation of SiOCN thin films
|
KR102149907B1
(ko)
|
2016-03-03 |
2020-08-31 |
어플라이드 머티어리얼스, 인코포레이티드 |
주기적 공기-물 노출에 의한 개선된 자기-조립 단분자층 차단
|
US10192775B2
(en)
|
2016-03-17 |
2019-01-29 |
Applied Materials, Inc. |
Methods for gapfill in high aspect ratio structures
|
JP2019515493A
(ja)
|
2016-04-25 |
2019-06-06 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
自己組織化単分子層処理のための化学物質供給チャンバ
|
KR102378021B1
(ko)
|
2016-05-06 |
2022-03-23 |
에이에스엠 아이피 홀딩 비.브이. |
SiOC 박막의 형성
|
US10358715B2
(en)
|
2016-06-03 |
2019-07-23 |
Applied Materials, Inc. |
Integrated cluster tool for selective area deposition
|
US9773643B1
(en)
|
2016-06-30 |
2017-09-26 |
Lam Research Corporation |
Apparatus and method for deposition and etch in gap fill
|
US10062563B2
(en)
|
2016-07-01 |
2018-08-28 |
Lam Research Corporation |
Selective atomic layer deposition with post-dose treatment
|
US10037884B2
(en)
|
2016-08-31 |
2018-07-31 |
Lam Research Corporation |
Selective atomic layer deposition for gapfill using sacrificial underlayer
|
US10186420B2
(en)
|
2016-11-29 |
2019-01-22 |
Asm Ip Holding B.V. |
Formation of silicon-containing thin films
|
US10847529B2
(en)
|
2017-04-13 |
2020-11-24 |
Asm Ip Holding B.V. |
Substrate processing method and device manufactured by the same
|
US10504901B2
(en)
|
2017-04-26 |
2019-12-10 |
Asm Ip Holding B.V. |
Substrate processing method and device manufactured using the same
|
US11158500B2
(en)
|
2017-05-05 |
2021-10-26 |
Asm Ip Holding B.V. |
Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
|
US10622214B2
(en)
|
2017-05-25 |
2020-04-14 |
Applied Materials, Inc. |
Tungsten defluorination by high pressure treatment
|
CN111095513B
(zh)
|
2017-08-18 |
2023-10-31 |
应用材料公司 |
高压高温退火腔室
|
US10276411B2
(en)
|
2017-08-18 |
2019-04-30 |
Applied Materials, Inc. |
High pressure and high temperature anneal chamber
|
US10269559B2
(en)
|
2017-09-13 |
2019-04-23 |
Lam Research Corporation |
Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
|
KR102585074B1
(ko)
|
2017-11-11 |
2023-10-04 |
마이크로머티어리얼즈 엘엘씨 |
고압 프로세싱 챔버를 위한 가스 전달 시스템
|
KR20200075892A
(ko)
|
2017-11-17 |
2020-06-26 |
어플라이드 머티어리얼스, 인코포레이티드 |
고압 처리 시스템을 위한 컨덴서 시스템
|
KR20190065962A
(ko)
|
2017-12-04 |
2019-06-12 |
에이에스엠 아이피 홀딩 비.브이. |
유전체와 금속 표면 상에 SiOC의 균일한 증착
|
KR102536820B1
(ko)
|
2018-03-09 |
2023-05-24 |
어플라이드 머티어리얼스, 인코포레이티드 |
금속 함유 재료들을 위한 고압 어닐링 프로세스
|
US10950429B2
(en)
|
2018-05-08 |
2021-03-16 |
Applied Materials, Inc. |
Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
|
US10748783B2
(en)
|
2018-07-25 |
2020-08-18 |
Applied Materials, Inc. |
Gas delivery module
|
WO2020117462A1
(en)
|
2018-12-07 |
2020-06-11 |
Applied Materials, Inc. |
Semiconductor processing system
|
US11038153B2
(en)
*
|
2019-01-15 |
2021-06-15 |
Applied Materials, Inc. |
Methods for HMDSO thermal stability
|
US11133178B2
(en)
|
2019-09-20 |
2021-09-28 |
Applied Materials, Inc. |
Seamless gapfill with dielectric ALD films
|
KR102359908B1
(ko)
*
|
2019-10-08 |
2022-02-09 |
주식회사 유진테크 |
박막 증착장치 및 박막 증착방법
|
US11111578B1
(en)
|
2020-02-13 |
2021-09-07 |
Uchicago Argonne, Llc |
Atomic layer deposition of fluoride thin films
|
US11901222B2
(en)
|
2020-02-17 |
2024-02-13 |
Applied Materials, Inc. |
Multi-step process for flowable gap-fill film
|
US11101128B1
(en)
*
|
2020-03-12 |
2021-08-24 |
Applied Materials, Inc. |
Methods for gapfill in substrates
|
US11901169B2
(en)
|
2022-02-14 |
2024-02-13 |
Uchicago Argonne, Llc |
Barrier coatings
|