DE60030369T2 - Rechner integrierte Fertigungstechniken - Google Patents

Rechner integrierte Fertigungstechniken Download PDF

Info

Publication number
DE60030369T2
DE60030369T2 DE60030369T DE60030369T DE60030369T2 DE 60030369 T2 DE60030369 T2 DE 60030369T2 DE 60030369 T DE60030369 T DE 60030369T DE 60030369 T DE60030369 T DE 60030369T DE 60030369 T2 DE60030369 T2 DE 60030369T2
Authority
DE
Germany
Prior art keywords
component
components
manufacturing
factory
framework
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60030369T
Other languages
English (en)
Other versions
DE60030369D1 (de
Inventor
John San Carlos Arackaparambil
Tom San Jose Chi
Billy Menlo Park Chow
Patrick M. Apex D'Souza
Parris Pleasanton Hawkins
Charles Union City Huang
Jett Campbell Jensen
Badri N. Mountain View Krishnamurthy
Pradeep M. Sunnyvale Kulkarni
Prakash M. Sunnyvale Kulkarni
Wen Fong San Jose Lin
Shantha Palo Alto Mohan
Bishnu Union City Nandy
Huey-Shin Cupertino Yuan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE60030369D1 publication Critical patent/DE60030369D1/de
Application granted granted Critical
Publication of DE60030369T2 publication Critical patent/DE60030369T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41845Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by system universality, reconfigurability, modularity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31018Virtual factory, modules in network, can be selected and combined at will
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31202Semiconductor equipment communication standard SECS
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45026Circuit board, pcb
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Description

  • Die vorliegende Erfindung bezieht sich auf Techniken für die durch Computer integrierte Fertigung.
  • Eine Halbleitervorrichtung, beispielsweise ein IC (integrierte Schaltung) hat elektronische Schaltungselemente, beispielsweise Transistoren, Dioden und Widerstände, die integriert auf einem einzigen Körper aus Halbleitermaterial hergestellt sind. Die verschiedenen Schaltungselemente sind durch leitfähige Verbindungen miteinander verbunden, um eine vollständige Schaltung zu bilden, die Millionen einzelner Schaltungselemente enthalten können. Integrierte Schaltungen werden typischerweise von Halbleiterwafern in einem Verfahren hergestellt, das aus einer Sequenz von Verarbeitungsschritten besteht. Dieses Verfahren, das gewöhnlich als Waferherstellung oder Waferfabrikation bezeichnet wird, umfasst Arbeitsgänge wie Oxidation, Ätzmaskenvorbereitung, Ätzen, Materialabscheidung, Planarisierung und Reinigung.
  • Eine Zusammenfassung einer Waferverarbeitung 40 für einen Aluminiumgate-PMOS (P-Kanal-Metalloxid-Halbleitertransistor) ist schematisch in 1 gezeigt, die die hauptsächlichen Verarbeitungsschritte 4173 zeigt, wie in W. R. Runyan et al., Semiconductor Integrated Circuit Processing Technology, Addison-Wesley Publ. Comp. Inc., S. 48, 1994 beschrieben ist. Jeder dieser hauptsächlichen Verarbeitungsschritte umfasst typischerweise mehrere Teilschritte. Beispielsweise ist ein hauptsächlicher Verarbeitungsschritt, beispielsweise die Metallisierung, um eine Metallschicht mit Hilfe einer Sputter-Abscheidung in einer Waferfabrikationskammer zu erhalten, ist in U.S. Pat. No. 5, 108,570 (R. C. Wang, 1992) beschrieben. Das Sputter-Abscheidungsverfahren ist schematisch in den Teilschritten 8197 des Verfahrens 80 gezeigt, siehe 2.
  • Die 1 und 2 zeigen sequentielle Waferfabrikationsverfahren. Es ist auch bekannt, Waferfabrikations-Teilsysteme zu verwenden, die parallele Verarbeitungsschritte liefern. Solche Teilsysteme umfassen typischerweise eines oder mehrere Gruppenwerkzeuge. Ein Gruppen- oder Clustertool, wie es hier definiert ist, umfasst ein System von Kammern und Waferhandhabungsausrüstungen, worin Wafer in den Gruppenwerkzeugskammern verarbeitet werden, ohne eine kontrollierte Gruppenwerkzeugsumgebung, beispielsweise ein Vakuum, zu verlassen. Ein Beispiel eines Gruppenwerkzeugs ist in U.S. Pat. No. 5,236,868 (J. Nulman, 1993) offenbart, das eine Vakuumvorrichtung verwendet, die eine zentrale Kammer und vier Verarbeitungskammern hat. Ein Waferhandhabungsroboter in der zentralen Kammer hat Zugriff zu dem Inneren von jeder der Verarbeitungskammern, um Wafer von der zentralen Kammer in jede der Kammern zu transferieren, während die Wafer in einer Vakuumumgebung gehalten werden.
  • In einem Beispiel werden die Wafer in der '868-Gruppe zuerst in eine Reinigungskammer zur Verarbeitung transferiert, dann in eine PVD (physikalische Dampfabscheidung)-Kammer, gefolgt von einem Transfer in eine Temperungskammer und danach in eine Entgasungskammer, so dass ein sequentielles Verfahren verwendet wird. Es ist auch bekannt, Gruppenwerkzeuge, wie die, die in dem '868-Patent offenbart sind, zur Verarbeitung von Wafern in Kammern zu benutzen, die parallel benutzt werden. Wenn auf einen langsamen Verarbeitungsschritt ein schneller Verarbeitungsschritt folgt, können beispielsweise drei Kammern parallel für den langsamen Prozess verwendet werden, während vierte Kammer für den schnellen Prozess verwendet wird.
  • Ein wirksames Waferinventarmanagement ist erforderlich, um die Inventare der unverarbeiteten oder teilweise verarbeiteten Wafer auf einem Minimum zu halten und dadurch die Einheitskosten der Halbleitervorrichtung auf ein Minimum herabzusetzen, die in der Waferfabrikation hergestellt werden. Auf ein Minimum herabgesetzte Waferinventare in dem Verfahren hat auch einen Vorteil bei der Waferausbeute, weil es bekannt ist, dass, je länger Wafer in dem Verfahren sind, desto geringer die Ausbeute ist. Das Waferinventramanagement verwendet typischerweise Zeitplanungstechniken, um die Ausrüstungskapazitäten im Hinblick auf den Bedarf für verarbeitete Wafer auf ein Maximum zu bringen, beispielsweise durch die Zeitplanung von parallelen und seriellen Verarbeitungsschritten, um Verarbeitungs-Flaschenhälse zu vermeiden. Es ist dem Durchschnittsfachmann bekannt, dass das während des Prozesses stattfindendes Waferinventarmanagement durch während des Prozesses stattfindende Waferüberwachung beziehungsweise -verfolgung erleichtert wird, beispielsweise die Überwachung von Waferchargen und Waferkassetten über die gesamte Waferfabrikation hinweg. Ein effektives Inventarmanagement einer Waferfabrikation erfordert auch eine geringe Anzahl von Flaschenhälsen oder Unterbrechungen aufgrund nicht geplanter Abschaltzeiten, die beispielsweise durch nicht geplante Wartungsarbeiten, Unterbrechungen, die sich aus Verarbeitungsparametern ergeben, die außerhalb ihrer vorgegebenen Grenzen sind, durch nicht Verfügbarkeit von erforderlichen Materialien, beispielsweise Prozessgass, durch nicht zur Verfügung stellen notwendiger Wartungsersatzteilen oder durch die nicht Verfügbarkeit eines Verarbeitungswerkzeuges, beispielsweise einer Kammer.
  • Viele Komponenten oder Teilsysteme einer Waferfabrikation werden automatisiert, um ein hohes Maß an Verarbeitungszuverlässigkeit und Reproduzierbarkeit zu erreichen, und um die Ausbeuten auf ein Maximum zu bringen. Waferfabrikationswerkzeuge, beispielsweise Kammern, werden typischerweise durch einen Computer kontrolliert, der einen Satz von Befehlen verwendet, die im allgemeinen als Rezept zum Betreiben eines Verfahrens bekannt sind, das durch das Werkzeug durchgeführt wird. Es ist jedoch anerkannt, dass ein hohes Maß an Automation, wobei verschiedene Prozesse und Methoden integriert werden, aufgrund der Komplexität und der inneren Abhängigkeit von vielen der Waferfabrikationsprozesse schwer zu erreichen ist, siehe beispielsweise Peter van Zandt, Microchip Fabrication, 3rd ed., McGraw-Hill, S. 472–478, 1997. Herstellungssysteme, beispielsweise eine Waferfabrikation, benutzen bekanntlich Software, die eine MES (Manufacturing executions systems = Produktionsausführungssysteme)-Funktion bereitstellt. Wunschgemäß sollte ein Waferfabrikations-MES für eine gesamte Waferfabrikations integriert sein, um ein(e) zentralisierte(s) Waferfabrikationsmanagement und -kontrolle zu erreichen. Es ist dem Durchschnittsfachmann jedoch bekannt, dass eine kommerzielle Waferfabrikation typischerweise Halbleiterverarbeitungswerkzeuge von unterschiedlichen Ausrüstungsherstellern umfassen, was Schwierigkeiten der Werkzeugkompatibilität zur Folge hat, wenn Versuche unternommen werden, ein integriertes MES zu entwickeln. Ein anderer Nachteil von gegenwärtig zur Verfügung stehenden Waferherstellungs-MES ist die Notwendigkeit für eine extensive Softwareprogrammierung für jede Prozessänderung in der Waferfabrikation, wie sie beispielsweise zum Ändern eines Rezeptes, zum Hinzufügen oder Ersetzen eines Werkzeuges oder zum Ändern der Waferfabrikation, ein anderes Waferprodukt zu machen, erforderlich ist.
  • Es ist dem Durchschnittsfachmann bekannt, dass die Funktionen der Halbleiterherstellungsausrüsten, einschließlich beispielsweise einer Waferfabrikation, in Basis-Ausrüstungszuständen, beispielsweise sechs Zuständen definiert werden kann, die schematisch in 3 gezeigt sind, siehe SEMI E10-96, Standard for Definition and Measurement of Equipment Reliability, Availability, and Maintainability (RAM), veröffentlicht von Semiconductor Equipment and Materials Int. (SEMI), S. 1–23, 1996. Die Halbleiterindustrie verwendet typischerweise diese sechs Ausrichtungszustände, um Ausrüstungs-RAM (Reliability, Availability and Maintainability = Zuverlässigkeit, Verfügbarkeit und Wartungsfähigkeit) auf der Grundlage von funktionalen Ausrüstungsfragen zu messen und auszudrücken, die unabhängig davon sind, wer die Funktion ausführt. Diese sechs Basisausrüstungszustände umfassen nicht geplante Zeit 102 (3), nicht geplante Abschaltzeit 104, geplante Abschaltzeit 106, Ingenieurleistungszeit 108, Standby-Zeit 110 und Produktionszeit 112. Die nicht geplante Zeit 102 stellt die Zeitdauer dar, in der die Verwendung der Ausrüstung nicht geplant ist, beispielsweise eine arbeitsfreie Schicht. Ungeplante Abschaltzeit 104 betrifft Zeitperioden, in denen die Ausrüstung nicht in einem Zustand ist, eine beabsichtigte Funktion durchzuführen, beispielsweise während der Reparatur der Ausrüstung. Die geplante Abschaltung 106 tritt auf, wenn die Ausrüstung in der Lage ist, ihre Funktion auszuführen, jedoch nicht zur Verfügung steht, dies zu tun, beispielsweise beim Einrichten eines Verfahrens oder bei einer vorbeugenden Wartung. Die Ingenieurleistungszeit 108 betrifft die Zeitdauer, in der die Ausrüstung betrieben wird, um Ingenieurtests durchzuführen, beispielsweise die Bewertung der Ausrüstung. Die Standby-Zeit 110 ist eine Zeitdauer, während der die Ausrüstung nicht betrieben wird, obwohl sie in einem Zustand ist, um ihre beabsichtigte Funktion durchzuführen, und in der Lage ist, ihre Funktion auszuführen, beispielsweise, wenn kein Bedienungspersonal zur Verfügung steht oder wenn keine Eingabe von relevanten Informationssystemen vorhanden ist. Der Produktionszustand 112 stellt die Zeitdauer dar, in der die Ausrüstung ihre beabsichtigte Funktion durchführt, beispielsweise eine reguläre Produktion und Nachbearbeitung.
  • Die gesamte Zeitperiode 114, siehe 3, ist die gesamte Zeit, während der die Zeit gemessen wird; dies umfasst die sechs Ausrüstungszustände 102, 104, 106, 108, 110 und 112. Die Betriebszeit 116 betrifft die gesamte Zeitperiode der Zustände 104, 106, 108, 110 und 112. Die Betriebszeit 116 umfasst die Ausrüstungsabschaltzeit 118, die aus den Zuständen 104 und 106 besteht, und die Ausrüstungseinschaltzeit 120. Die Ausrüstungseinschaltzeit 120 umfasst die Ingenieurleistungszeit 108 und die Herstellungszeit 122, die aus der Standby-Zeit 110 und der Produktionszeit 112 besteht.
  • Die 4 und 5 liefern mehr detaillierte, schematische Darstellungen der sechs Ausrüstungszustände, die in 3 gezeigt sind, siehe SEMI E10-96, auf den S. 1–6. Wie in 4 gezeigt ist, besteht die Gesamtzeit 114 aus nicht geplanter Zeit 102 und Betriebszeit 116. Die nicht geplante Zeit 102 umfasst arbeitsfreie Schichten 130, die Ausrüstungs-Installation, -Modifikation, -Neuerstellung oder -Aufwertung 132, Offline-Training 134 und eine Abschalt- oder Start-Zeitperiode 136. Die Betriebszeit 116 besteht, wie schematisch in 5 gezeigt ist, aus Ausrüstungsabschaltzeit 118 und Ausrüstungseinschaltzeit 120. Die Ausrüstungsabschaltzeit 118 besteht aus der ungeplanten Abschaltzeit 104 und der geplanten Abschaltzeit 106. Die ungeplante Abschaltzeit 104 umfasst die Abschaltzeit für Wartungsverzögerung 140, die Reparaturzeit 142, den Ersatz von Verbrauchsmaterialien/Chemikalien 114, die außerhalb der Spezifizierung liegende Eingabe 146 oder mit den Betriebsanlagen verbundene Abschaltzeit 148. Die geplante Abschaltzeit 106 betrifft die Abschaltzeit für Wartungsverzögerung 150, Produktionstests 152, vorbeugende Wartung 154, Austausch von Verbrauchsmaterialien/Chemikalien 156, die Einrichtung 158 oder eine mit der Betriebsausrüstung zusammenhängende Zeit 159.
  • Die Ausrüstungseinschaltzeit 120, die in 5 gezeigt ist, besteht aus Ingenieurleistungszeit 108 und Herstellungszeit 122. Die Ingenieurleistungszeit 108 umfasst Prozessexperimente 160 und Ausrüstungsexperimente 162. Die Herstellungszeit 110 besteht aus der Standby-Zeit 110 und der Produktionszeit 112. Die Standby-Zeit 110 umfasst die Zeit, während der keine Bedienungsperson zur Verfügung steht 180, kein Produkt vorhanden ist 182, kein Unterstützungswerkzeug vorhanden ist 184 oder wenn ein zugeordneter Gruppen-Modul abgeschaltet ist 186. Die Produktionszeit 112 betrifft eine Zeitperiode, während der eine reguläre Produktion stattfindet 190, eine Arbeit für eine dritte Partei erledigt wird 192, eine Nachbearbeitung 194 oder Ingenieurleistungslauf 196 durchgeführt wird. Verschiedene Ausrüstungszustände, die im Zusammenhang mit den 13 beschrieben werden, liefern eine Basis zur Übermittlung und Bewertung von RAM-bezogener Ausrüstungsinformationen in der Halbleiterindustrie. RAM-bezogene Ausrüstungsinformationen umfasst Punkte, die dem Durchschnittsfachmann bekannt sind, beispielsweise die Ausrüstungszuverlässigkeit, die Ausrüstungsverfügbarkeit, die Ausrüstungswartungsfähigkeit und die Ausrüstungsausnutzung, siehe beispielsweise SEMI E10-96 auf den S. 6–11. Im Allgemeinen können MES-Funktionen verwendet werden, um die Informationen, die die Ausrüstungszustände in Herstellungssystemen, beispielsweise einer Waferfabrikation, zu verfolgen.
  • Fortschritte in den Halbleitermaterialien, der Verarbeitung und den Testtechniken haben dazu geführt, dass die Gesamtgröße der IC-Schaltungselemente reduziert wurde während ihre Anzahl auf einem einzigen Körper erhöht wurde. Dies erfordert ein hohes Maß an Produkt- und Prozess-Steuerung für jeden Verarbeitungsschritt und für Kombinationen oder Sequenzen von Verarbeitungsschritten. Es ist daher erforderlich, Verunreinigungen und Teilchenkontamination in den Verarbeitungsmaterialien, beispielsweise den Prozessgasen, zu steuern. Es ist auch erforderlich, die Prozessparameter, beispielsweise Temperatur, Druck, Gasflussraten, Verarbeitungszeitintervalle und Sputter-Eingangsleistung zu steuern. Wie in den 1 und 2 gezeigt ist, umfasst eine Waferfabrikation eine komplexe Sequenz von Verarbeitungsschritten, wobei das Ergebnis von einem speziellen Verarbeitungsschritt typischerweise in Abhängigkeit von einem oder mehreren vorhergehenden Verarbeitungsschritten hoch ist. Wenn beispielsweise ein Fehler in der Überlagerung oder der Ausrichtung von Ätzmasken für Verbindungsmittel in nebeneinander liegenden IC-Schichten vorhanden ist, sind die resultierenden Verbindungsmittel nicht an ihrer ordnungsgemäßen Designstelle. Das kann Verbindungsmittel zur Folge haben, die zu dicht gepackt sind, wodurch elektrische Kurzschlussfehler zwischen diesen Verbindungsmitteln gebildet werden. Es ist auch bekannt, dass zwei unterschiedliche Verarbeitungsprobleme einen kumulativen Effekt haben können. Beispielsweise kann eine Fehlausrichtung der Verbindungs-Ätzmasken, die nicht groß genug ist, um zu einem elektrischen Kurzschluss zu führen, dennoch dazu beitragen, einen elektrischen Kurzschluss zu erzeugen, wenn das Verfahren geringfügig außerhalb der Spezifikation zum Erlauben (oder nicht detektieren) von Teilchenkontamination ist, die eine Teilchengröße haben, die keinen elektrischen Kurzschluss erzeugt hätten, wenn die Verbindungsmasken in einer guten Ausrichtung gewesen wären.
  • Verarbeitungs- und/oder Materialdefekte, wie sie beispielsweise oben beschrieben werden, verursachen im allgemeinen eine verminderte Waferfabrikationsausbeute, wobei die Ausbeute als Prozentsatz der annehmbaren Wafer definiert ist, die in einer speziellen Fabrikation hergestellt werden. In dem Prozess stattfindende Tests und eine Überwachung der Prozessparameter werden verwendet, um festzustellen, ob ein vorgegebenes, im Prozess befindliches Produkt oder ein Prozessproblem oder ein Fehler anzeigt, dass ein Eingriff in den Prozesslauf notwendig ist, beispielsweise die Durchführung einer Prozess-Neueinstellung oder das Verwerten des Laufes. Folglich werden Produkt- und Prozess-Steuertechnik während einer Waferfabrikation extensiv verwendet. Wenn möglich, werden Ausbeuteprobleme zu einem speziellen Produkt oder zu speziellen Verarbeitungsproblemen oder Fehlern zurückverfolgt, um schließlich die Ausbeute der Waferfabrikation zu verbessern. Hohe Ausbeuten sind erwünscht, um die Herstellungskosten für jedes verarbeitete Wafer auf ein Minimum herabzusetzen und um die Ausnützung der Ressourcen, beispielsweise des elektrischen Stroms, der Chemikalien und des Wassers, auf ein Maximum zu bringen, während die Nachbearbeitung von Ausschuss oder deren Entsorgung auf ein Minimum herabgesetzt wird.
  • Es ist bekannt, SPC-(statistical process control = statistische Prozesskontrolle) und SQC (statistical quality control = statistische Qualitätskontrolle)-Verfahren zu verwenden, um geeignete Waferfabrikations-Kontrollgrenzen zu bestimmen, und um den Prozess innerhalb dieser Grenzen zu halten, siehe beispielsweise R. Zorich, Handbook Of Quality Integrated Circuit Manufacturing, Academic Press Inc., S. 464–498, 1991. SPC- und SQC-Methoden, die für eine Waferfabrikation geeignet sind, umfassen die Verwendung von Kontrollplänen, siehe beispielsweise R. Zorich auf S. 475–498. Wie dem Durchschnittsfachmann bekannt ist, ist ein Kontrollplan eine graphische Darstellung von einem oder mehreren ausgewählten Verfahrens- oder Produktvariablen, beispielsweise Kammerdruck, die über die Zeit hinweg gemessen werden. Der Zielwert einer speziellen Variablen und ihrer oberen und unteren Kontrollgrenzen sind auf dem Plan angegeben, wobei bekannte, statistische Mess- und Rechenverfahren verwendet werden. Es wird angenommen, dass der Prozess außer Kontrolle ist, wenn der beobachtete Wert der Variablen oder der statistisch abgeleitete Wert, beispielsweise der Mittelwert von mehreren, beobachteten Werten, sich außerhalb der vorher festgelegten Kontrollgrenzen befindet. Die Kontrollgrenzen werden typischerweise bei einem Vielfachen der Standardabweichung des Mittelwerts des Zielwerts eingestellt, beispielsweise 2s oder 3s. Der Zielwert wird aus dem Testlauf oder einem Produktionslauf abgeleitet, der Waferfabrikations-Designkriterien wie Ausbeute, Prozesskontrolle und Produktqualität, erfüllt. SPC und SQC sind synonym, wenn sie in dem obigen Zusammenhang verwendet werden, siehe R. Zorich auf S. 464.
  • US-A-5,657,254 zeigt ein System zur automatischen Herstellung von Halbleiterprodukten und hat einen Abschnitt zur Vorbereitung eines Prozessflusses, der eine Serie von Prozessen und Prozessbedingungen enthält, um unterschiedliche Halbleiterprodukte mit unterschiedlichen Mengen in einer Produktions linie zu erzeugen; einen Abschnitt zur Simulation der Produktion von Halbleiterprodukten gemäß dem Prozessfluss; einen Abschnitt zum Zuführen des Ergebnisses der Simulation zurück zu dem Prozessfluss-Vorbereitungsabschnitt, der den Prozessfluss gemäß dem simulierten Ergebnis optimiert; und einen Abschnitt zur Erzeugung von Halbleiterprodukten gemäß dem optimierten Prozessfluss.
  • US-A-5,495,417 zeigt ein System, das eine Vielzahl von Gasfluss-Steuereinheiten in Kammern aufweist, die miteinander verbunden sind, um Prozessgas nach Bedarf an eine Vielzahl von Nutzungsstellen, die als „Werkzeug"-Stellen in einer Halbleiterherstellungsfabrik bekannt sind, zu verteilen. Wandler werden in dem System verwendet, um Druck- und andere Kontrollparameter zu messen. Eine Nullkalibrierung der Wandler ist vorgesehen, indem jeder Wandler einer Referenz-Computerroutine unterworfen wird, um den Unterschied zwischen dem Standardwert und dem Wandlerausgang zu berechnen und den Unterschied als „Versatz" gegenüber dem richtigen Ausgang des Wandlers zu speichern. Auf diese Weise wird eine Neukalibrierung einfach und schnell bei verhältnismäßig niedrigen Arbeitskosten und mit einer relativ geringen Abschaltzeit des Systems durchgeführt.
  • Folglich gibt es einen Bedarf für Verfahren und Techniken, die eine verbesserte computerimplementierte Integration von Halbleiterherstellungstechniken bereitstellen, um die Prozesskontrolle, die Qualität, die Ausbeute und die Kostenreduktion zu optimieren. Es gibt auch einen Bedarf dafür, dass Waferfabrikationsmanagement und die Kontrolle durch ein computerintegriertes Herstellungssystem zu zentralisieren, wodurch Verarbeitungs- und Ausrüstungsänderungen ohne extensive Softwareprogrammierung erleichtert wird.
  • Diese Aufgaben werden durch ein Verfahren zum Managen eines Prozesses gemäß Anspruch 1 und ein computerimplementiertes System nach dem Anspruch 16 gelöst.
  • Die vorliegende Erfindung stellt neuartige Techniken für die durch Computer integrierte Herstellung zur Verfügung, insbesondere für die Herstellung von integrierten Schaltungsstrukturen, beispielsweise Halbleiterwafern. Diese neuartigen Techniken liefern die geforderten Verbesserungen in der Computerintegration.
  • In einem Ausführungsbeispiel der vorliegenden Erfindung wird ein neuartiger Fabrikations-Automatisierungslebenszyklus bereitgestellt, der SW für Lebenszyklusaktivitäten zum Entwickeln und Integrieren, Installieren und Verwalten, für die Fabrikmodellierung, die Herstellungsplanung, die Herstellungskontrolle-Überwachung und Verfolgung, und Lebenszyklusaktivitäten zum Analysieren der Herstel lungsergebnisse umfasst. Die Ausgabe von einer die Herstellungsresultate analysierenden Lebenszyklusaktivität kann eine Eingabe zu anderen Lebenszyklusaktivitäten, beispielsweise zu der Fabrikmodellierungs-Lebenszyklusaktivität, liefern. Rahmenwerkkomponenten sind den verschiedenen Lebenszyklusaktivitäten zugeordnet.
  • In einem anderen Ausführungsbeispiel der vorliegenden Erfindung wird ein neuartiges Verfahren zum Managen eines Fertigungssystems bereitgestellt, welches die Verwendung von Rahmenwerk-Softwarecomponenten, Anwendungssoftware-Komponenten und Software-Herstellungsblocks umfasst. Die Anwendungskomponenten liefern Befehle für das Managen des Systems, während die Rahmenwerkkomponenten verwendet werden, um die Anwendungskomponenten zu managen, die Rahmenwerkkomponenten definieren gemeinsame Regeln und Dienstleistungen, die von den Anwendungskomponenten verwendet werden. Die Herstellungsblocks sind dafür geeignet, Rahmenwerk- und Anwendungskomponenten aufzubauen oder zu modifizieren. Ein Fabrikautomations-Lebenszyklus umfasst die Rahmenwerkkomponenten. Eine neuartige Werkzeugintegrationskomponente wird von dem neuartigen Verfahren verwendet, um Befehle an die Verarbeitungswerkzeuge des Systems zu übermitteln. Die Werkzeugintegrationskomponente umfasst ein Werkzeugschnittstellenprogramm und einen Werkzeugintegrationskomponenten-Adapater. Die Befehle zum Managen des Systems können durch Eingabe von Daten modifiziert werden.
  • In einem anderen Ausführungsbeispiel der vorliegenden Erfindung umfasst ein neuartiges Verfahren zum Verarbeiten eines Produktes die Bestimmung der Spezifikationen für die Verarbeitung des Produktes und sodann das Managen des Verfahrens mit Hilfe eines neuartigen, verteilten Fabriksystem-Rahmenwerks, welches Rahmenwerkkomponenten, Anwendungskomponenten und SW-Herstellungsblocks umfasst. Das neuartige, verteilte Fabriksystem kann, wenn erforderlich, durch Eingabe von Daten modifiziert werden. Die computerimplementierten Befehle zum Managen werden durch Anwendungskomponenten gebildet. Diese Befehle werden zu dem Verfahren zur Herstellung eines Produktes übermittelt, beispielsweise durch Verwendung einer Werkzeugintegrationskomponenten. Die Befehle werden dann in dem Verfahren implementiert, beispielsweise zur Herstellung von integrierten Schaltungsstrukturen. In einem anderen Ausführungsbeispiel der Erfindung umfasst ein neuartiges Verfahren zum Starten eines Waferfabrikationslaufes das Bestimmen der Sequenz der Verarbeitungsschritte und danach die Ausbildung eines Arbeitsablaufes, der diese Sequenz in einer visuellen Arbeitsablaufkomponenten definiert. Die visuelle Arbeitsablaufkomponente ist in einem neuartigen, verteilten Fabrikationssystem-Rahmenwerk enthalten, das Rahmenwerkkomponenten und Anwendungskompo nenten aufweist. Eine Anfrage wird dann mit Hilfe einer Managementkomponenten für in Ausführung befindliche Arbeiten an die visuelle Arbeitsablaufkomponente gemacht, um den Lauf zu starten.
  • In einem anderen Ausführungsbeispiel der vorliegenden Erfindung ist eine Einrichtung vorgesehen, die eine Produktverarbeitungsausrüstung, eine zentrale Verarbeitungseinheit, eine Verbindung, um die Verarbeitungsausrüstung und die zentrale Verarbeitungseinheit miteinander zu verbinden, einen Speicher zum Speichern digital kodierter Datenstrukturen und Datenstrukturen umfasst, die einen neuen Fabrikautomations-Lebenszyklus aufweisen. Die vorliegende Erfindung liefert auch Datenstrukturen einschließlich der Anwendungskomponenten und der Herstellungsblockkomponenten.
  • In einem anderen Ausführungsbeispiel der vorliegenden Erfindung wird ein verteiltes Fabriksystem-Rahmenwerk bereitgestellt, um ein Verarbeitungssystem zu managen, das eine digital kodierte, erste Datenstruktur, die die Rahmenwerkkomponenten umfasst, eine zweite Datenstruktur, die die Anwendungskomponenten umfasst, und eine Verbindung zur Kommunikation digital kodierter Befehle an das Verarbeitungssystem umfasst.
  • In noch einem anderen Ausführungsbeispiel der vorliegenden Erfindung wird eine neuartige Vorrichtung bereitgestellt, die Verarbeitungsausrüstung und ein neuartiges, verteiltes Fabriksystem-Rahmenwerk zum Managen eines Verarbeitungssystems aufweist.
  • In zusätzlichen Ausführungsbeispielen der vorliegenden Erfindung sind neuartige Datenspeichervorrichtungen vorgesehen, die Datenstrukturen aufweisen, beispielsweise neuartige Fabrikautomations-Lebenszyklusaktivitätsdatenstrukturen, Rahmenwerkkomponenten-Datenstrukturen, Anwendungskomponenten-Datenstrukturen und Herstellungsblock-Datenstrukturen.
  • Gemäß einem Aspekt der Erfindung ist ein Verfahren zum Definieren eines durch Computer implementierten Fabrikautomations-Lebenszyklus vorgesehen, wobei das Verfahren umfasst;
    • a) Definieren, Installieren und Verwalten von Lebenszyklusaktivitäts-Rahmenwerkkomponenten;
    • b) Definieren von Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten; und
    • c) Definieren von Herstellungskontrolle, Überwachung und Verfolgung von Lebenszyklusaktivitäts-Rahmenwerkkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem das Definieren der Verwaltung von Lebenszyklusaktivitäts-Rahmenwerkkomponenten das Definieren von einer oder mehreren Rahmenwerkkomponenten umfasst, die ausgewählt sind aus der Gruppe bestehend aus einer Sicherheitskomponenten, einer GUI-Konsolen-Komponenten, einer Performance- und Lizenz-Managementkomponenten und einer saga-Managementkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem das Definieren der Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten -das Definieren von einer oder mehreren Rahmenwerkkomponenten umfasst, die ausgewählt sind aus der Gruppe bestehend aus einer Kontext-Lösungskomponenten, einer Konfigurationsmanagementkomponenten und einer Kalenderkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Definieren der Herstellungskontrolle-Überwachungs- und Verfolgungs-Lebenszyklusaktivitäts-Rahmenkomponenten das Definieren von einer oder mehreren Rahmenkomponenten umfasst, die ausgewählt sind aus der Gruppe bestehend aus einer visuellen Arbeitsablaufkomponenten, einer Ressourcen-Koordinationskomponenten, einer Ereignisüberwachungskomponenten und einer Ressourcenlistenkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, das zusätzlich ein Verfahren zum Definieren von einer oder mehreren Lebenszyklusaktivitäts-Rahmenwerkkomponenten zum Analysieren der Herstellungsergebnisse aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem das Definieren von einer Lebenszyklusaktivitäts-Rahmenwerkkomponenten zum Analysieren der Herstellungsergebnisse das Definieren einer Datenmanager-Komponenten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, das zusätzlich ein Verfahren zum Definieren der Wechselwirkungen zwischen der einen oder den mehreren Herstellungsresultat-Lebenszyklusaktivitäts-Rahmenwerkkomponenten und Komponenten definiert, die ausgewählt sind aus der Gruppe bestehend aus Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, das zusätzlich eine SW-Entwicklungs- und Integrations-Lebenszyklusaktivität definiert.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, das zusätzlich eine Herstellungs-Planungs-Lebenszyklusaktivität definiert.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Definieren eines Fabrikautomations-Lebenszyklus das Definieren eines Fabrikautomations-Lebenszyklus für die Verarbeitung einer integrierten Schaltungsstruktur aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Definieren des Fabrikautomations-Lebenszyklus zusätzlich das Definieren von Rahmenwerkkomponenten umfasst, so dass die Rahmenwerkkomponenten geeignet sind, mit einer Werkzeugintegrationskomponenten zu kommunizieren, wobei die Rahmenwerkkomponenten ausgewählt sind aus der Gruppe bestehend aus Installierungs- und Verwaltungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten, Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten und Herstellungskontroll-, Überwachungs- und Verfolgungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung wird ein Verfahren bereitgestellt, bei dem das Definieren der Herstellungskontroll-, Überwachungs- und Verfolgungs-Lebenszyklusaktivitätskomponenten das Definieren einer visuellen Arbeitsablaufkomponenten umfasst.
  • Gemäß einem Aspekt der Erfindung wird ein Fabrikautomations-Lebenszyklus zum Betreiben der Fabrik bereitgestellt, der eine oder mehrere Rahmensoftwarekomponenten umfasst, mit Hilfe von einem oder mehreren Computern, wobei das Verfahren umfasst:
    • a) Ausführen eines Fabrikautomations-Lebenszyklus, der eine oder mehrere Rahmenwerksoftwarekomponenten aufweist, mit Hilfe von einem oder mehreren Computern;
    • b) Ausführen von einer oder mehreren Anwendungssoftware-Komponenten, um einen oder mehrere durch Computer implementierte Befehle zum Managen des Systems zu liefern, worin die eine oder die mehreren Rahmenwerkkomponenten geeignet sind, die Anwendungskomponenten zu managen;
    • c) Bestimmen, ob der eine oder die mehreren Befehle modifiziert werden müssen;
    • d) Übermitteln des einen oder der mehreren Befehle an das System, wenn die Befehle nicht modifiziert werden müssen;
    • e) Modifizieren der Befehle, wenn sie modifiziert werden müssen, mit Hilfe von einer oder mehreren Rahmenwerkkomponenten, wobei modifizierte Befehle gebildet werden; und
    • f) Übermitteln der modifizierten Befehle an das System.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, das zusätzlich das Management des Verarbeitungssystems durch Ausführen von einem oder mehreren Befehlen in dem System umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem das Ausführen von einer oder mehreren Rahmenkomponenten umfasst:
    das Ausführen von einer oder mehreren Komponenten, die ausgewählt sind aus der Gruppe bestehend aus einer Sicherheitskomponenten, einer GUI-Konsolenkomponenten, einer Performance- und Lizenz-Managementkomponenten, einer saga-Managementkomponenten, einer Kontextauflösungskomponenten, einer Konfigurations-Managementkomponenten, einer Kalenderkomponenten, einer visuellen Arbeitsablaufkomponenten, einer Ressourcen-Koordinationskomponenten, einer Ereignis-Monitorkomponenten, einer Ressourcenlisten-Komponenten und einer Datenmanagement-Komponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Ausführen der einen oder mehreren Anwendungskomponenten umfasst:
    Ausführen von einer oder mehreren Komponenten ausgewählt aus der Gruppe bestehend aus einer Qualitätsmanagement-Komponenten, einer Werkzeugintegrations-Komponenten, einer Ausrüstungsmanagement-Komponenten, einer Rezeptmanagement-Komponenten, einer Absende- und Planungs-Komponenten, einer Material-Handhabungskomponenten, einer Komponenten für in Ausführung befindliche Arbeiten und eine Bestandssystem-Schnittstellenkomponente.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem die Kommunikation die Kommunikation mit Hilfe einer Werkzeugintegrations-Komponenten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem die Kommunikation die Kommunikation mit Hilfe eines Werkzeug-Schnittstellenprogramms und einen Werkzeugintegrationskomponenten-Adapter aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem der/die eine oder mehrere, durch Computer implementierte Befehl(e) visuelle Rahmenwerkkomponentenbefehle sind.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, das zusätzlich die Ausbildung von einer oder mehreren Rahmenwerkkomponenten mit Hilfe von einem oder mehreren Software-Herstellungsblocks aufweist, die aus der Gruppe ausgewählt sind bestehend aus:
    einem Serveraufbau-Herstellungsblock, einem Persistenz-Herstellungsblock, einem Herstellungsblock für gemeinsame GUI-Kontrollen, einem Veröffentlichungs- und Abonnierungs-Benachrichtungs-Herstellungsblock, einem dynamischen API-Erkennungs-Herstellungsblock, einem Verknüpfungs-Block, einem Historien-Herstellungsblock, einem allgemeine Dienstleistungen ausführenden Herstellungsblock, einem Klassifizierungs-Herstellungsblock, einem Herstellungsblock für Kunden definierte Attribute, einen Zustandsmodell-Herstellungsblock, einen Namenraum-Herstellungsblock, einen Zeitplanungs-/Tagbuch-Herstellungsblock, einen Templates-Herstellungsblock, einem Herstellungsblock für variierte Objekte und einem Navigations-Herstellungsblock.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Ausführen der einen oder mehreren Rahmenwerkkomponenten zusätzlich das Kommunizieren einer Datenstruktur von der/den einer oder mehreren Rahmenwerkkomponente(n) an eine Datenstruktur der einen oder mehreren Komponenten umfasst, die ausgewählt sind aus der Gruppe bestehend aus Rahmenwerkkomponenten und Anwendungskomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem das Modifizieren der Befehle das Eingeben von Daten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Managen des Verarbeitungssystems das Managen eines Systems zur Verarbeitung einer integrierten Schaltungsstruktur umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das System eines oder mehrerer Waferfabrikationswerkzeuge umfasst.
  • Gemäß einem Aspekt der Erfindung ist ein Verfahren vorgesehen, um ein Softwarerahmenwerk mit einer Vorrichtung zu verknüpfen, wobei das Verfahren das Verknüpfen mit Hilfe einer Werkzeugintegrationskomponenten umfasst, die ein Werkzeugschnittstellenprogramm und einen Werkzeugintegrationskomponenten-Adapter umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem die Verknüpfung das Austauschen von Meldungen zwischen der einen oder mehreren Rahmenkomponenten, dem Werkzeugintegrations-Komponentenadapter und dem Werkzeugschnittstellenprogramm umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem die eine oder mehrere Rahmenkomponenten eine visuelle Arbeitsablaufkomponente umfassen.
  • Gemäß einem Aspekt der Erfindung ist ein Verfahren vorgesehen, um ein Produkt zu verarbeiten, wobei das Verfahren das Bestimmen der Spezifikationen zur Verarbeitung des Produkts und das Managen der Verarbeitung mit Hilfe eines verteilten Fabriksystem-Rahmenwerks aufweist, umfassend:
    • (1) einen automatisierten Fabriklebenszyklus, der eine oder mehrere Rahmenwerkkomponenten hat, und
    • (2) eine oder mehrere Anwendungskomponenten, wobei die Rahmenwerkkomponenten geeignet sind, die Anwendungskomponenten zu managen.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Managen zusätzlich das Bestimmen umfasst, ob das verteilte Fabriksystem-Rahmenwerk modifiziert werden muss, um die Spezifikationen zu erfüllen, und das Modifizieren von einer oder mehreren Anwendungskomponenten, wenn das verteilte Fabriksystemnetzwerk modifiziert werden muss.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Modifizieren das Eingeben von Daten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Managen zusätzlich das Ausbilden von einer oder mehreren Rahmenkomponenten mit Hilfe von einem oder mehreren der Software-Herstellungsblöcke umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Managen zusätzlich umfasst:
    • a) Herstellen von einer oder mehreren, durch Computer implementierten Befehle zum Managen mit Hilfe von einer oder mehreren Anwendungskomponenten;
    • b) Übermitteln des/der einen oder mehreren Befehls/Befehle an die Ausrüstung zum Verarbeiten des Produkts; und
    • c) Ausführen des/der einen oder mehreren Befehls/Befehle auf der Ausrüstung.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, bei dem das Übermitteln das Übermitteln mit Hilfe einer Werkzeugintegrations-Komponenten umfasst, wobei die Werkzeug-Integrationskomponente umfasst:
    • (1) einen Werkzeug-Integrations-Komponentenadapter und
    • (2) ein Werkzeug-Schnittstellenprogramm.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist ein Verfahren vorgesehen, in dem das Verarbeiten eines Produkts das Verarbeiten einer integrierten Schaltungssstruktur umfasst.
  • Gemäß einem Aspekt der Erfindung ist ein Verfahren vorgesehen, um einen Waferfabrikationslauf zu starten, wobei das Verfahren umfasst:
    • a) Bestimmen einer Sequenz von Verarbeitungsschritten zum Verarbeiten des Waferfabrikationslaufes;
    • b) Ausbilden eines Arbeitsablaufs, der eine Sequenz definiert, in einer visuellen Arbeitsablaufkomponenten, die in einem verteilten Fabriksystem-Rahmenwerk enthalten ist, das aufweist:
    • (1) Rahmenwerkkomponenten und
    • (2) Anwendungskomponenten; und
    • c) Auffordern der visuellen Arbeitsablaufkomponenten, den Lauf mit Hilfe einer Dienstleistung zu starten, die eine Managementkomponente für in Ausführung befindliche Arbeiten oder eine GUI innerhalb einer GUI-Konsolenkomponente ist.
  • Gemäß einem Aspekt der Erfindung ist eine Vorrichtung vorgesehen, um ein Produkt zu verarbeiten, wobei die Vorrichtung umfasst:
    • a) eine Produktverarbeitungsausrüstung;
    • b) wenigstens eine zentrale Verarbeitungseinheit zur Verarbeitung elektronischer Daten;
    • c) eine Verbindung zur wirksamen Verbindung der zentralen Verarbeitungseinheit mit der Produktverarbeitungausrüstung;
    • d) einen Speicher zum Speichern digital kodierter Datenstrukturen, worin der Speicher wirksam mit der wenigstens einen, zentralen Verarbeitungseinheit verbunden ist; und
    • e) eine digital kodierte, erste Datenstruktur, die in dem Speicher gespeichert ist, wobei die Datenstruktur einen Fabrikautomations-Lebenszyklus aufweist, der umfasst:
    • (1) eine Verwaltungs-Lebenszyklusaktivitäts-Rahmenwerkkomponente,
    • (2) Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten und
    • (3) Herstellungskontrolle- und Verfolgungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Verwaltungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten eine oder mehrere Rahmenwerkkomponenten umfassen, die ausgewählt sind aus der Gruppe bestehend aus einer Sicherheitskomponenten, einer GUI-Konsolenkomponenten, einer Performance- und Lizenz-Managementkomponenten und einer saga-Managementkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten eine oder mehrere Rahmenwerkkomponenten umfassen, die ausgewählt sind aus der Gruppe bestehend aus einer Kontextauflösungskomponenten, einer Konfigurations-Managementkomponenten und einer Kalenderkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Herstellungskontroll- und Verfolgungs-Lebenszyklusaktivitäts-Rahmenwerkkomponente eine oder mehrer Rahmenwerkkomponenten aufweisen, die ausgewählt sind aus der Gruppe bestehend aus einer visuel len Arbeitsablaufkomponenten, einer Ressourcen-Koordinationskomponenten, einer Ereignis-Monitorkomponenten und einer Ressourcenlisten-Komponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine oder mehrere Lebenszyklusaktivitäts-Rahmenwerkkomponenten zum Analysieren von Herstellungsresultaten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, bei der die eine oder mehrere Rahmenwerkkomponenten zum Analysieren der Herstellungsresultate eine Datenmanagerkomponente umfassen.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine digital kodierte, zweite Datenstruktur aufweist, die Anwendungskomponenten enthält, worin die erste Datenstruktur geeignet ist, die zweite Datenstruktur zu managen.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine digital kodierte, dritte Datenstruktur aufweist, die Software-Herstellungsblocks zum Herstellen von einer oder mehreren Rahmenwerkkomponenten aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die erste Datenstruktur umfasst:
    • a) eine digital kodierte, vierte Datenstruktur, die eine GUI-Konsolenkomponente umfasst; und
    • b) eine digital kodierte, fünfte Datenstruktur, die eine Konfigurationsmanagementkomponente umfasst.
  • Gemäß einem bevorzugten Ausführungsbeispiel der Erfindung ist eine Vorrichtung vorgesehen, in der die vierten und fünften Datenstrukturen geeignet sind, miteinander in Wechselwirkung zu treten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, bei der die Verbindung eine Werkzeug-Integrationskomponente umfasst, die aufweist:
    • (1) einen Werkzeug-Integrations-Komponentenadapter und
    • (2) ein Werkzeug-Schnittstellenprogramm.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die eine Vorrichtung zum Verarbeiten einer integrierten Schaltungsstruktur umfasst.
  • Gemäß einem Aspekt der Erfindung ist eine Vorrichtung vorgesehen, um ein Produkt zu verarbeiten, wobei die Vorrichtung umfasst:
    • a) eine Produktverarbeitungsausrüstung;
    • b) wenigstens eine zentrale Verarbeitungseinheit zur Verarbeitung elektronischer Daten;
    • c) eine Verbindung zum wirksamen Verbinden der zentralen Verarbeitungseinheit mit der Produktverarbeitungsausrüstung;
    • d) einen Speicher zum Speichern der digital kodierten Datenstrukturen, worin der Speicher wirksam mit der wenigstens einen, zentralen Verarbeitungseinheit verbunden ist; und
    • e) ein verteiltes Fabriksystem-Rahmenwerk zum Managen der Produktverarbeitung, wobei das verteilte Fabriksystem-Rahmenwerk umfasst:
    • (1) eine digital kodierte, erste Datenstruktur, die einen Fabrikautomations-Lebenszyklus aufweist, der digital kodierte Rahmenwerkkomponenten einschließt,
    • (2) eine digital kodierte, zweite Datenstruktur, die Anwendungskomponenten aufweist, die für die Übermittlung der digital kodierten Befehle zu der Verarbeitungsausrüstung geeignet ist, wobei die erste Datenstruktur in der Lage ist, die zweite Datenstruktur zu managen, und
    • (3) eine Verbindung zum Übermitteln der digital kodierten Befehle an die Verarbeitungsausrüstung.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die eine Vorrichtung zum Verarbeiten einer integrierten Schaltungsstruktur aufweist.
  • Gemäß einem Aspekt der Erfindung ist ein verteiltes Fabriksystemnetzwerk zum Managen eines Verarbeitungssystems vorgesehen, wobei das verteilte Fabriksystem-Rahmenwerk umfasst:
    • a) eine digital kodierte, erste Datenstruktur, die digital kodierte Rahmenwerkkomponenten umfasst;
    • b) eine digital kodierte, zweite Datenstruktur, die Anwendungskomponenten umfasst, die geeignet sind, um digital kodierte Befehle an das Verarbeitungssystem zu übermitteln, worin die erste Datenstruktur geeignet ist, die zweite Datenstruktur zu managen; und
    • c) eine Verbindung zum Übermitteln der digital kodierten Befehle an das Verarbeitungssystem.
  • Gemäß einem bevorzugten Aspekt der Erfindung wird ein verteiltes Fabriksystem-Rahmenwerk zur Verfügung gestellt, bei dem die Rahmenwerkkomponenten eine oder mehrere Komponenten ausgewählt aus der Gruppe bestehend aus einer Sicherheitskomponenten, einer GUI-Konsolenkomponenten, einer Performance- und Lizenz-Managementkomponenten, einer saga-Managementkomponenten, einer Kontext-Auflösungskomponenten, einer Konfigurations-Managementkomponenten, einer Kalenderkomponenten, einer visuellen Arbeitsablaufkomponenten, einer Ressourcenkoordinations-Komponenten, einer Ereignis-Monitorkomponenten, einer Ressourcenlisten-Komponenten und einer Datenmanagement-Komponenten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung wird ein verteiltes Fabriksystem-Rahmenwerk bereitgestellt, bei dem die Anwendungskomponenten eine oder mehrere Komponenten ausgewählt aus der Gruppe bestehend aus einer Qualitätsmanagement-Komponenten, einer Werkzeugintegrations-Komponenten, einer Ausrüstungsmanagement-Komponenten, einer Rezeptmanagement-Komponenten, einer Absende- und Zeitplanungs-Komponenten, einer Materialhandhabungs-Komponenten, einer Komponenten für in der Ausführung befindliche Arbeiten und einer Bestandssystem-Schnittstellenkomponenten aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung wird ein verteiltes Fabriksystem-Rahmenwerk zur Verfügung gestellt, bei dem die Verbindung eine vierte Datenstruktur aufweist, die eine Werkzeug-Integrationskomponente umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung wird ein verteiltes Fabriksystem-Rahmenwerk bereitgestellt, bei dem die vierte Datenstruktur eine fünfte Werkzeug-Schnittstellenprogramm-Datenstruktur und eine sechste Werkzeug-Integrations-Komponentenadapter-Datenstruktur umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung wird ein verteiltes Fabriksystem-Rahmenwerk bereitgestellt, das zusätzlich einen oder mehrere Software-Herstellungsblocks aufweist, die ausgewählt sind aus der Gruppe bestehend aus einem Serveraufbau-Herstellungsblock, einem Persistance-Herstellungsblock, einem Herstellungsblock für gemeinsame GUI-Kontrollen, einen Veröffentlichungs- und Abonnierungs-Benachrichtungs-Herstellungsblock, einem dynamischen API-Erkennungs-Herstellungsblock, einem Verknüpfungs-Herstellungsblock, einem Historien-Herstellungsblock, einem allgemeine Serviceleistungen ausführenden Herstellungsblock, einem Klassifizierungs-Herstellungsblock, einem Herstellungsblock für Kunden definierte Attribute, einem Statusmodell- Herstellungsblock, einem Namenraum-Herstellungsblock, einem Zeitplanungs-/Datenbuch-Herstellungsblock, einem Templates-Herstellungsblock, einem Herstellungsblock für variierte Objekte und einen Navigations-Herstellungsblock aufweist.
  • Gemäß einem Aspekt der Erfindung wird eine Datenspeichervorrichtung bereitgestellt, die eine digital kodierte, erste Datenstruktur umfasst, die einen Fabrikautomations-Lebenszyklus einschließt, der aufweist:
    • a) Verwalten von Lebenszyklusaktivitäts-Rahmenwerkkomponenten;
    • b) Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten; und
    • c) Herstellungskontroll- und Überwachungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Verwaltungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten eine oder mehrere Rahmenwerkkomponenten aufweist, die ausgewählt sind aus der Gruppe bestehend aus einer Sicherheitskomponenten, einer GUI-Konsolenkomponenten, einer Performance- und Lizenz-Managementkomponenten und einer saga-Managementkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Fabrikmodellierungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten eine oder mehrere Rahmenwerkkomponenten umfassen, die ausgewählt sind aus der Gruppe bestehend aus einer Kontext-Auflösungskomponenten, einer Konfigurations-Managementkomponenten und einer Kalenderkomponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Herstellungskontroll- und Verfolgungs-Lebenszyklusaktivitäts-Rahmenwerkkomponenten eine oder mehrere Rahmenkomponenten umfassen, die ausgewählt sind aus der Gruppe bestehend aus einer visuellen Arbeitsablaufkomponenten, einer Ressourcen-Koordinationskomponenten, einer Ereignis-Monitorkomponenten und einer Ressourcenlisten-Komponenten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine oder mehrere Lebenszyklusaktivitäts-Rahmenwerkkomponenten zum Analysieren der Herstellungsergebnisse umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, bei der die eine oder mehrere Lebenszyklusaktivitäts-Rahmenwerkkomponenten zum Analysieren der Herstellungsresultate eine Daten-Managerkomponente umfassen.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die eine Vielzahl von Rahmenwerkkomponenten umfasst, die geeignet sind, mit einer GUI-Konsolen-Rahmenwerkkomponenten in Wechselwirkung zu treten.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine digital kodierte, zweite Datenstruktur aufweist, die Anwendungskomponenten umfasst, wobei die erste Datenstruktur geeignet ist, die zweite Datenstruktur zu managen.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine digital kodierte, dritten Datenstruktur aufweist, die Software-Herstellungsblocks zur Herstellung von einer oder mehreren Rahmenwerkkomponenten umfasst.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, bei der die erste, zweite und dritte Datenstruktur geeignet sind, um eine integrierte Schaltungsstruktur zu verarbeiten.
  • Gemäß einem Aspekt der Erfindung ist eine Datenspeichervorrichtung vorgesehen, die eine digital kodierte, erste Datenstruktur aufweist, die einen Fabrikautomations-Lebenszyklus aufweist, der digital kodierte Rahmenwerkkomponenten umfasst; und eine digital kodierte, zweite Datenstruktur umfasst, die Anwendungskomponenten aufweist, worin die erste Datenstruktur geeignet ist, die zweite Datenstruktur zu modifizieren.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Rahmenwerkkomponenten eine oder mehrere Komponenten ausgewählt aus der Gruppe bestehend aus einer Sicherheitskomponenten, einer GUI-Konsolenkomponenten, einer Performance- und Lizenz-Managementkomponenten, einer saga-Managementkomponenten, einer Kontextauflösungs-Komponenten, einer Konfigurations-Managementkomponenten, einer Kalenderkomponenten, einer visuellen Arbeitsablaufkomponenten, einer Ressourcenkoordinationskomponenten, einer Ereignismonitor-Komponenten, einer Ressourcenlisten-Komponenten und einer Datenmanagement-Komponenten aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, in der die Anwendungskomponenten eine oder mehrere Komponenten ausgewählt aus der Gruppe bestehend aus einer Qualitätsmanagement-Komponenten, einer Werkzeugintegrations-Komponenten, einer Ausrüstungsmanagement-Komponenten einer Rezeptmanagement-Komponenten, einer Absende- und Zeitplanungs-Komponenten, einer Materialhandhabungskomponenten, einer Komponenten für in Ausführung befindliche Arbeit und eine Bestandssystem-Schnittstellenkomponenten aufweist.
  • Gemäß einem bevorzugten Aspekt der Erfindung ist eine Vorrichtung vorgesehen, die zusätzlich eine digital kodierte, dritte Datenstruktur aufweist, die eine oder mehrere Software-Herstellungsblocks umfasst, die ausgewählt sind aus der Gruppe bestehend aus einem Serveraufbau-Herstellungsblock, einem Persistance-Herstellungsblock, einem Herstellungsblock für gemeinsame GUI-Kontrollen, einem Veröffentlichungs- und Abonnierungs-Benachrichtungs-Herstellungsblock, einem dynamischen API-Erkennungs-Herstellungsblock, einem Verknüpfungs-Herstellungsblock, einem Historien-Herstellungsblock, einem allgemeine Dienstleistung ausführenden Herstellungsblock, einem Klassifizierungs-Herstellungsblock, einem Herstellungsblock für Kunden definierte Attribute, einen Statusmodell-Herstellungsblock, einen Namenraum-Herstellungsblock, einen Zeitplanungs-, Datenbuch-Herstellungsblock, einen Templates-Herstellungsblock, einen Herstellungsblock für variierte Objekte und einen Navigations-Herstellungsblock aufweist.
  • Ein bevorzugtes Ausführungsbeispiel der Erfindung ist in dem Hauptanspruch beansprucht, während weitere, bevorzugte Ausführungsbeispiele der Erfindung und Merkmale davon in den beigefügten Ansprüchen und Unteransprüchen angegeben sind.
  • Es ist zu beachten, dass die beigefügten Zeichnungen nur typische Ausführungsbeispiele dieser Erfindung zeigen und daher nicht als einschränkend für den Schutzumfang zu betrachten sind, da die Erfindung andere, in gleichem Maße wirkungsvolle Ausführungsbeispiele zulassen kann.
  • 1 ist ein Flussdiagramm, das schematisch ein Waferfabrikationsverfahren nach dem Stand der Technik zeigt.
  • 2 ist ein Flussdiagramm, das schematisch ein Waferfabrikations-Sputter-Metallisierungsverfahren nach dem Stand der Technik zeigt.
  • 3 ist eine Stapelkarte, die Ausrüstungs-Zeitzustände nach dem Stand der Technik schematisch darstellt.
  • 4 ist ein Blockdiagramm, das Ausrüstungszeitzustände der in 3 gezeigten Stapelkarte nach dem Stand der Technik schematisch zeigt.
  • 5 ist ein Blockdiagramm, das die Ausrüstungszeitzustände der in 3 gezeigten Stapelkarte nach dem Stand der Technik zeigt.
  • 6 ist ein Blockdiagramm, das einen Fabrikautomations-Lebenszyklus der vorliegenden Erfindung schematisch zeigt.
  • 7 ist ein schematisches Diagramm, das die Wechselwirkung zwischen den Rahmenwerkkomponenten der vorliegenden Erfindung zeigt.
  • 8 ist ein Blockdiagramm, das Komponentenserver der vorliegenden Erfindung schematisch zeigt.
  • 9 ist ein Blockdiagramm, das eine Werkzeug-Integrationskomponente der vorliegenden Erfindung zeigt.
  • 10 ist ein schematisches Diagramm, das eine Sequenz von Benachrichtigungen von einer visuellen Arbeitsablauf-Komponenten an ein Werkzeug-Schnittstellenprogramm der vorliegenden Erfindung zeigt.
  • Während die Erfindung und ihre Ausführungsbeispiele beschrieben werden, wird eine gewisse Technologie zum Zwecke der Klarheit verwendet. Es ist beabsichtigt, dass solch eine Technologie die beschriebenen Ausführungsbeispiele und auch alle Äquivalente umfasst.
  • In einem Ausführungsbeispiel der Erfindung ist eine DFS/F (distributed factory system framework = verteiltes Fabriksystem-Rahmenwerk) in SW-(Software)-Umgebung vorgesehen, um Fabrik MES (manufacturing execution system(s) = Zeichenherstellungs-Ausführungssystem(e)) zu automatisieren, integrieren und koordinieren, die Ausrüstungsschritte, Entscheidungsschritte und Datenschritte umfassen, die in einem Verarbeitungs-, Herstellungs- oder Fabrikationssystem oder einer Fabrik, beispielsweise einer Waferfabrik zur Verarbeitung oder Herstellung von Halbleiterstrukturen, beispielsweise IC (integrierte Schaltung)-Strukturen vorhanden sein kann. Die Ausdrücke „FS" (Framework = Rahmenwerk), wie er hier definiert ist, umfasst eine Auswahl von miteinander verknüpften SW-Strukturen-Komponenten oder -Klassen, die eine Funktionalität oder einen Satz von Dienstleistungen liefern. Der Ausdruck „MES" wie er hier definiert ist, umfasst eine Ansammlung von SW-Datenstrukturen, um eine Verarbeitung zu starten, die mit Aufgabenstellungen, dem Management und/oder der Kontrolle der in der Ausführung befindlichen Arbeit und der Vereinfachung der Verwendung von Ressourcen, beispielsweise Materialien, Ausrüstung, Information und historische Daten zur Ausführung der Verarbeitung/Herstellung/Fabrikationsaufgaben bezogen ist, die wahlweise Test- und Datensammelaufgaben umfassen. Der Ausdruck „IC-Strukturen", wie er hier definiert ist, umfasst vollständig hergestellte IC's und teilweise hergestellte IC's.
  • Das DFS/F der vorliegenden Erfindung umfasst einen neuartigen FALC (factory automation lifecycle = Fabrikautomatisierungs-Lebenszyklus) 200, der in 6 gezeigt ist, um eine Gesamtstruktur eines integrierten Fabrikautomatisierungs-MES zu bilden. Der FALC 200 ist geeignet, verschiedene mit der Herstellung zusammenhängende Aspekte eines Verarbeitungs-, Herstellungs- oder Fabrikationssystems oder einer Einrichtung zu integrieren, automatisieren, managen oder kontrollieren, wodurch ein Fabrikmodell gebildet wird. Diese Aspekte können eine mit der Waferfabrikation zusammenhängende Ausrüstung, beispielsweise Waferfabrikationswerkzeuge, Verfahren, beispielsweise Waferfabrikationsverarbeitungsprozeduren, Materialien, beispielsweise Waferfabrikations-Prozessgase, die Inventarkontrolle, beispielsweise eine während des Prozesses stattfindende Wafer-Inventarkontrolle, die Statusbestimmungen von in der Ausführung befindlicher Arbeit, die Ermittlung von im Prozess vorhandenen Testdaten, die Überwachung Ausrüstungsfunktionen und Qualitätsmanagementmerkmale, beispielsweise SPC (statistical process control = statistische Prozesskontrolle) umfassen. Der neuartige Lebenszyklus vereinfacht zusätzlich die Integration von Werkzeugen, Ausrüstung oder Software von verschiedenen Werkzeugen, der Ausrüstung oder von Softwarelieferungen, um eine koordinierte Herstellungs- oder Fabrikationseinrichtung bereitzustellen, in der mehrere Werkzeuge integriert sind.
  • Der neuartige FALC 200, der in 6 gezeigt ist, umfasst eine SW-Entwicklungs- und Integrations-Lebenszyklusaktivität 210, eine Installations- und Verwaltungs-Lebenszyklusaktivität 220, eine Fabrikmodellierungs-Lebenszyklusaktivität 230, eine Herstellungs-Planungs-Lebenszyklusaktivität 240, eine Herstellungskontroll-Überwachungs- und Verfolgungs-Lebenszyklusaktivität 250 und eine Lebenszyklusaktivität 260 zum Analysieren der Herstellungsresultate. Wie schematisch in 6 gezeigt ist, kann ein ausgewählter Ausgang von der Lebenszyklusaktivität 260 zum Analysieren der Herstellungsresultate eine Rückkopplung zu anderen Lebenszyklusaktivitäten des Lebenszyklusaktivität liefern, beispielsweise der SW-Entwicklungs- und Integrations-Lebenszyklusaktivität 210, der Fabrikmodellierungs-Lebenszyklusaktivität 230 und der Herstellungsplanungs-Lebenszyklusaktivität 240. Die Ausgabe- und Eingabe-Wechselwirkungen zwischen diesen Lebenszyklusaktivitäten werden in mehr Detail im Zusammenhang mit der Beschreibung der Lebenszyklusaktivität 260 zum Analysieren der Herstellungsresultate beschrieben.
  • Die verschiedenen Lebenszyklusaktivitäten des FALC 200 der vorliegenden umfassen SW. Hardwareteile, Ausrüstung und Anordnungen sind erforderlich, um die SW zu unterstützen, betreiben oder zu verwenden, die die Funktionalität der sechs Lebenszyklusaktivitäten des FALC 200 liefert. Die Software des FALC 200 umfasst FW-SW-Komponenten. Die FW-Komponenten definieren gemeinsame System- oder Fabrikbetriebsregeln und -Dienstleistungen und sie werden verwendet, um Dienstleistungen für die Anwendungs-SW-Komponenten bereitzustellen, die die Verarbeitungsfunktionen oder die Systeme managen/kontrollieren, beispielsweise die Fabriken einschließlich der Fabrikausrüstungen und verschiedener Kombinationen von Waferfabrikationswerkzeugen, durch die Wechselwirkung mit dem Kontrollsystem der Verarbeitungsausrüstung, beispielsweise bordseitige Waferfabrikations-Werkzeugcontroller. Die Anwendungskomponenten sind in der Lage, die spezifischen Erfordernisse des Verfahrens und der Verarbeitungsausrüstung zu erfüllen, beispielsweise ein Waferfabrikationsrezept. In anderen Worten erfordern wiederholte Verarbeitungsläufe desselben Produkttyps in derselben Ausrüstung unter Verwendung derselben Prozessbedingungen eine Modifikation der Anwendungskomponenten. Eine Änderung in den Materialien, den Produkten, der Ausrüstung oder der Verarbeitungsbedingungen erfordert jedoch typischerweise eine Modifikation der Daten von einer oder mehreren Anwendungskomponenten. Beispielsweise erfordert eine Änderung in einer Verarbeitungsbedingung eine entsprechende Änderung in einer oder mehreren Anwendungskomponenten, um die veränderten Befehle an die Ausrüstung zu liefern. FW-Komponenten liefern die Dienstleistungen, die einen Benutzer in die Lage versetzen, eine oder mehrere Anwendungskomponenten zu modifizieren, um das neuartige DFS/F auf neue Verarbeitungsbedingungen oder auf ein unterschiedliches Material oder ein Werkzeug abzustimmen. Der neuartige FALC, beispielsweise der FALC 200, kann an neue Verarbeitungsbedingungen, Materialien oder Ausrüstung durch eine Dateneingabe angepasst werden, vorausgesetzt, dass die Anpassung nicht eine Änderung zu einem Verarbeitungssystem erforderlich macht, welches substantiell unterschiedlich ist. Beispielsweise ist der SW-Code von mehreren Anwendungskomponenten eines Waferfabrikations-FALC unterschiedlich von dem SW-Code der entsprechenden Komponenten eines FALC für ein Chargen-Herstellungsverfahren eines pharmazeutischen Produkts. Die Anwendungskomponenten des neuartigen DFS/S stehen mit der Ausrüstung, beispielsweise individuellen Waferfabrikationswerkzeugen, durch Protokolle und Schnittstellen in Kommunikation wie mehr im Einzelnen im Zusammenhang mit TIC (tool integration component = Werkzeugintegrationskomponente) beschrieben wird.
  • FW- und Anwendungs-SW-Elemente werden als Komponenten bezeichnet, weil sie separate SW-Einheiten jede mit ihrer eigenen Datenbank, ihrem Server und ihrer Standard-GUI sind. Die Komponenten arbeiten durch einen öffentlichen Satz von Kommunikationsstandards, beispielsweise DCOM (MICROSOFT® – Microsoft ist eine eingetragene Marke der Microsoft Corporation, Redmond, Washington – distribute common object model = verteiltes gemeinsames Objektmodell)-APIs (application programming interface = Anwendungsprogrammierungs-Schnittstelle) oder CORBA (common object request broker architecture = Brokerarchitektur mit gemeinsamer Objektanfrage) zusammen arbeiten.
  • Gemeinsame SW-Herstellungsblocks werden in dem DFS/F vorgesehen, um die Erzeugung von neuer FW- und Anwendungskomponenten zu erleichtern und um existierende FW- und Anwendungskomponente abzuwandeln. Diese Herstellungsblocks umfassen typischerweise GUI (graphical user interface = graphische Benutzerschnittstelle), Server- und DB (database = Datenbank)-Elemente. Typischerweise werden die FS/F- und FALC 200-Komponenten und SW-Herstellungsblocks durch eine oder mehrere zentrale Verarbeitungseinheiten zur Datenverarbeitung von einer oder mehreren Komponenten verarbeitet. Zentrale Verarbeitungseinheiten und Computer, die für die Ausführungsbeispiele der vorliegenden Erfindung geeignet sind, sind dem Durchschnittsfachmann bekannt.
  • Die sechs Lebenszyklusaktivitäten des FALC 200, siehe 6, umfassen die folgenden Funktionen. Die SW-Entwicklungs- und Integrations-Lebenszyklusaktivität 210 ist in der Lage, eine gemeinsame Struktur für Fabrikobjekte und Server zu definieren. Diese gemeinsame Struktur vereinfacht die Herstellung von DFS/F verträglichen Anwendungen. Die Installation- und Verwaltungs-Lebenszyklusaktivität 220 installiert MES-Anwendungen. Wenn es notwendig ist, die Kapazitäten der MES-Anwendungen mit dem DFS/F zu registrieren, um es zu ermöglichen, dass die MES-Anwendungen zusammen mit den Kapazitäten der anderen Anwendungen integriert werden können. Die Lebenszyklusaktivität 220 überwacht und steuert auch die Software, die die MES der Fabrik aufweist. Zusätzlich regelt sie den Zugriff auf die MES-Kapazitäten, wobei sie eine gemeinsame Sicherheitsdienstleistung bereitstellt. Die Fabrikmodellierungs-Lebenszyklusaktivität 230 ist in der Lage, die Herstellung eines konsistenten Fabrikmodells in mehrfachen, mit der Herstellung zusammenhängenden Anwendungsfällen herzustellen. Beispielsweise erfordert die Einführung eines neuen Produkts typischerweise Änderungen in mehreren Anwendungen, beispielsweise das Hinzufügen des Produkts sowohl in einer WIP (work in process = in der Ausführung befindliche Arbeit)-Anwendung als auch in der Planungsanwendung. Die Lebenszyklusaktivität 230 ist auch in der Lage, die Art und Weise zu definieren, in der mehrfache Anwendungen zusammenarbeiten, beispielsweise um zu definieren, wie eine WIP-Anwendung und eine Ausrüstungsanwendung zusammenarbeiten können, um sicherzustellen, dass die richtige Ausrüstung verwendet wird, um ein vorgegebenes Produkt herzustellen. Die SW der Fabrikmodellierungs-Lebenszyklusaktivität 230 ist in der Lage, die Herstellung zu planen, kontrollieren und zu verfolgen, sobald ein Modell der MES-Funktionen der Fabrik unter Verwendung von fabrikmodellierungsbezogener SW aufgebaut worden ist.
  • Die Herstellungs-Planungs-Lebenszyklusaktivität 240, die in 6 gezeigt ist, sammelt Statusinformationen von mehreren Anwendungen, um eine Planungs-Anwendungskomponente bereitzustellen. Die Lebenszyklusaktivität 240 entwickelt und verteilt zusätzliche Herstellungspläne/-Zeitabläufe für die Anwendungskomponenten, die die Fabrikressourcen managen. Die Herstellungskontroll-, Überwachungs- und Verfolgungs-Lebenszyklusaktivität 250 ist vorgesehen, um die Funktion der Herstellungs-Anwendungskomponenten in der Ausführung des Herstellungsplans/-Zeitablaufs zu koordinieren, um Produkte gemäß den Schritten zu erzeugen, die in dem Fabrikmodell definiert sind. Die Lebenszyklusaktivität 260 zum Analysieren der Herstellungsresultate ist in der Lage, Informationen von den Anwendungskomponenten zwecks Analyse zu kombinieren. Sie setzt Daten in unterschiedlichen Anwendungskomponenten zur Datenanalyse in Korrelation und definiert, detektiert und antwortet auf spezifische Fabrikereignisse. Diese Lebenszyklusaktivität ist in der Lage, die tatsächliche Produktion mit der geplanten Produktion zu vergleichen und anzuzeigen, wenn ein auf den neuesten Stand gebrachter Plan durch Eingaben in die SW-Entwicklungs- und Integrations-Lebenszyklusaktivität 210, in die Fabrikmodellierungs-Lebenszyklusaktivität 230 und/oder die Herstellungsplanungs-Lebenszyklusaktivität 240 erforderlich ist. Wie in 6 gezeigt ist, gilt in Rückkopplungsschleifen von der Lebenszyklusaktivität 260 zu diesen Lebenszyklusaktivitäten FALC 200-Rückkopplungszyklen wie folgt. Die Rückkopplung von der Lebenszyklusaktivität 260 zu der Lebenszyklusaktivität 210 bildet einen SW-Entwicklungszyklus 270, während die Rückkopplung von der Lebenszyklusaktivität 260 zu der Lebenszyklusaktivität 280 einen Modellierungszyklus 280 liefert. Ein Ausführungszyklus 290 wird in der Rückkopplungsschleife von der Lebenszyklusaktivität 260 zu der Lebenszyklusaktivität 240 gebildet. Während die sechs Lebenszyklusaktivitäten des neuartigen FALC 200 in ähnlicher Weise gezeigt und beschrieben wurden, ist zu verstehen, dass die SW, die mit jedem dieser Lebenszyklusaktivitäten zusammenhängt, typischerweise gleichzeitig mit einem oder mehreren der anderen Lebenszyklusaktivitäten abläuft.
  • Der FALC 200 der vorliegenden Erfindung, der oben beschrieben wurde, ist eine Facette des neuartigen DFS/F. Zwei andere Facetten des DFS/F sind definiert als: Systemschichten und N-Etagen. Der Systemschichtaspekt des neuartigen DFS/F ist in Tabelle I beschrieben.
  • Tabelle I
  • DFS/F Systemschichten
  • 1. Basistechnologie
  • Diese umfasst die Basistechnologie-Herstellungsblocks für das DFS/F, beispielsweise Messverfahren, den Aufbau einer graphischen Benutzerschnittstelle, (GUI), die Verwendung von beispielsweise MTS (Microsoft transaction manager = Microsoft Transaktionsmanager) für den Serveraufbau, und das Mappen von Objekten auf eine Relationsdatenbank für die Persistenz.
  • 2. Gemeinsame Herstellungsblocks
  • Dies umfasst gemeinsame Objekte, beispielsweise benutzerdefinierte Attribute, Versionierungs-, Historie- und Klassifizierungsschemata. Typische gemeinsame Herstellungsblocks sind in Tabelle II aufgelistet und beschrieben.
  • 3. Rahmenwerkkomponenten
  • FW-Komponenten managen den Gesamtbetrieb des Fabriksystems durch das Verfahren des Aufbaus eines Fabrikmodells, die Herstellung von Produkten entsprechend dem Modell, und dann die Beurteilung des Ergebnisses, um die Notwendigkeit für Verbesserungen festzustellen. Der FALC 200 umfasst diese Komponenten. Typische FW-Komponenten sind der Tabelle III aufgelistet und beschrieben.
  • 4. Anwendungskomponenten
  • Diese Komponenten umfassen die Fabrikressourcen-Managemenfunktionalität, beispielsweise das Materialmanagement, das Ausrüstungsmanagement und die Werkzeugintegration, beispielsweise VFEI (virtual factory equipment interface = virtuelle Fabrikausrüstungs-Schnittstelle)-Niveaukommunikationen mit Werkzeugen. Typische Anwendungskomponenten sind in der Tabelle IV aufgelistet und beschrieben.
  • Die gemeinsamen Herstellungsblocks des neuartigen DFS/F werden typischerweise verwendet, um FW- und Anwendungskomponenten herzustellen oder zu modifizieren. Repräsentative Herstellungsblocks sind in der Tabelle II gezeigt.
  • Tabelle II
  • Gemeinsame Herstellungsblocks
    • 1. Serveraufbau-Herstellungsblock, der eine Schnittstelle zwischen dem Server API (application programming interface = Anwendungsprogrammierungs-Schnittstelle) und Fabrikobjekterstellung (construction of an object instance = Aufbau eines Objektereignisses).
    • 2. Persistenz-Herstellungsblock zur Erzeugung eines Mappings von SQL/ODBC (structure query language/open DB connection = strukturierte Abfragesprache/offene DB-Verbindung).
    • 3. DFS/F-gemeinsame GIU-Kontroll-Herstellungsblock, um die gemeinsamen Kontrollen für den Aufbau der GUIs bereitzustellen.
    • 4. Veröffentlichungs- und Abonnierungs-Benachrichtungs-Herstellungsblock, um Abonnierungsmeldungen zu veröffentlichen, die sich von synchronen DCOM-Meldungen unterscheiden.
    • 5. Dynamischer API-Erkennungs-Herstellungsblock, der von den FW-Komponenten verwendet wird, um Dienstleistungen, die von den DFS/F-Komponenten geliefert werden, zu entdecken.
    • 6. Verknüpfungs-Herstellungsblock, um Objekte über die FS/F-Komponenten hinweg zu verbinden. Repräsentative Nutzungsfälle umfassen die Verknüpfung von Modelldaten um „wo benutzt" – Fragen zu beantworten und MES-Ausführungsinformationen, beispielsweise Qualitätsdaten mit der Ausrüstungshistorie zu verknüpfen.
    • 7. Historie-Herstellungsblock, um eine gemeinsame Dienstleistung bereitzustellen, um die Historie von Fabrikereignissen zu speichern und abzurufen.
    • 8. Allgemeine Serviceleistungen ausführender Herstellungsblock, um unterschiedliche Typen von DFS/F-Dienstleistungen auszuführen einschließlich: (1) synchrone Dienstleistungen, (2) langlaufende Dienstleistungen, die durch ein Vollendungs-Rückrufprotokoll implementiert sind und (3) auf GUI basierende Dienstleistungen, die durch eine Verknüpfung zwischen anderen Dienstleistungen und einem Zielcomputerdesktop implementiert sind.
    • 9. Klassifizierungsherstellungsblock, der eine gemeinsame Dienstleistung liefert, um Fabrikobjekte für Abfragen und Analysen zu klassifizieren.
    • 10. Herstellungsblock für Kundendefinierte Attribute, um die auf der DFS/F-Komponente beruhenden Objektmodelle auszuweiten. DFS/F liefert typischerweise von dem Benutzer erweiterbare Attributenmodelle, die die Ausdehnung des Modells auf der Basis von Benutzerbedürfnissen unterstützen.
    • 11. Ein Statusmodell-Herstellungsblock umfasst eine Dienstleistung, um Statusmodelle zu definieren und dann zu betreiben, beispielsweise einen Verfolgungsstatus für die Ausrüstung, das Material und ECNs (engineering change notice = Ingenieurleistungs-Änderungsmeldung). Ein Statusmodell-Herstellungsblock kann so definiert sein, dass er eine ungeplante Abschaltzeit von verschiedenen Kammern eines Gruppenwerkzeugs verfolgt.
    • 12. Ein Namensraum-Herstellungsblock definiert Managementbereiche innerhalb von DFS/F-Datenmodellen.
    • 13. Zeitplanungs-/Datenbuch-Herstellungsblock, um die Zeitplanung zu unterstützen und die Kapazität für jedes Fabrikobjekt hinzuzufügen, um ein Datenbuch zu erhalten, das zukünftige Ereignisse und Historien-Verbesserungen zeigt, um anzuzeigen, wie ein spezieller Zeitablauf befolgt worden ist.
    • 14. Ein Template-Herstellungsblock liefert Dienstleistungen, um Basisdefinitionen zu definieren, die von Fabrikobjekten gemeinsam benutzt werden können. Beispielsweise kann dieser Herstellungsblock verwendet werden, um gemeinsame Charakteristiken für alle Speicherprodukte zu definieren.
    • 15. Ein Herstellungsblock für variierte Objekte umfasst Dienstleistungen, um Änderungen in den Fabrikmodellobjekten während der Zeit zu managen und zu verfolgen, beispielsweise das Planen und die Verfolgung von Änderungen in der Definition eines speziellen Produkts über die Zeit.
    • 16. Navigations-Herstellungsblock, um die Beziehung zwischen den Fabrikobjekten zu sehen, beispielsweise eine Materialvorratshistorie.
  • FW-Komponenten, die in dem neuartigen FALC 200 verwendet werden, definieren gemeinsame Regeln und Dienstleistungen, die von den Anwendungskomponenten verwendet werden, siehe Tabelle IV, durch Schritte in dem FALC 200. Beispiele geeigneter SW-Komponenten sind in der Tabelle III enthalten.
  • Beschriftung der Zeichnungen
  • 1 (Stand der Technik)
  • 41
    Reinige Wafer
    43
    Ziehe Feldoxid
    45
    Source-Drain-Oxid entfernen
    47
    Source-Drain-B-Diffusion
    49
    Gateoxid entfernen
    51
    Reinige Gatevorläufer
    53
    Ziehe Gateoxid
    55
    Einstellung des Ionen-Implantierungsschwellenwerts
    57
    Kontaktoxid entfernen
    59
    Metallisieren
    61
    Bemustere Metall
    63
    Ätze Metall
    65
    Tampern
    67
    Scheide Schutzschicht ab
    69
    Bemustere Schutzschicht
    71
    Ätze Schutzschicht
    73
    Mehrfachprobenwafer
  • 2 (Stand der Technik)
  • 81
    Heize die Waferlagerplattform vor
    83
    Positioniere das Wafer auf der Plattform
    85
    Klemme das Wafer in der Position fest
    87
    Evakuiere die Kammer auf ein vorgegebenes Vakuum
    89
    Heize das Wafer auf eine vorgegebene Temperatur auf
    91
    Lasse Sputtergas in die Kammer mit einem vorgegebenen Strömungsbereich und Druckbereich einströmen
    93
    Führe eine erste Sputterabscheidung bei vorgegebenen, ersten Sputterabscheidungsbedingungen durch
    95
    Führe eine zweite Sputterabscheidung bei vorgegebenen, zweiten Sputterabscheidungsbedingungen durch
    97
    Führe wahlweise eine dritte Sputterabscheidung an vorgegebenen, dritten Sputterabscheidungsbedingungen durch
  • 3 (Stand der Technik)
  • 102
    nicht-geplante Zeit
    104
    ungeplante Abschaltzeit
    106
    geplante Abschaltzeit
    108
    Ingenieurleistungszeit
    110
    Standby-Zeit
    112
    Produktionszeit
  • 4 (Stand der Technik)
  • 102
    nicht-geplante Zeit
    114
    Gesamtzeit
    116
    Betriebszeit
    130
    Arbeitsfreie Schichten
    132
    Installation, Aufbau oder auf den neuesten Stand bringen
    134
    Offline-Training
    136
    Abschalt/Start-Vorgang
  • 5 (Stand der Technik)
  • 104
    ungeplante Abschaltzeit
    106
    geplante Abschaltzeit
    108
    Ingenieurleistungszeit
    110
    Standby-Zeit
    112
    Produktionszeit
    116
    Betriebszeit
    120
    Ausrüstungs-Einschaltzeit
    122
    Herstellungszeit
    140
    Wartungsverzögerung
    142
    Reparaturzeit
    144
    Verbrauchsmaterial/Chemikalienaustausch
    146
    Eingabe außerhalb der Spezifikation
    148
    auf Ausstattung bezogen
    150
    Wartungsverzögerung
    152
    Produktionstest
    154
    vorbeugende Wartung
    156
    Verbrauchsmaterialien/Chemikalienaustausch
    158
    Aufbau
    159
    Auf Einrichtung bezogen
    160
    Prozessexperimente
    162
    Ausrüstungsexperimente
    180
    Keine Bedienungsperson
    182
    Kein Produkt
    184
    Kein Unterstützungswerkzeug
    186
    Probenmodul abgeschaltet
    190
    Reguläre Produktion
    192
    Arbeit für eine dritte Partei
    194
    Nachbearbeitung
    196
    Ingenieurleistungslauf
  • 6
  • 210
    SW-Entwicklung und -Integration
    220
    Installation und Verwaltung
    230
    Fabrikmodellierung
    240
    Herstellungsplanung
    250
    Herstellungskontrolle, -verfolgung und -überwachung
    260
    Analyse von Herstellungsergebnissen
  • 7
  • 316
    Öffne ECN
    318
    ECN-Daten
    320
    Editiere VWC-Arbeitsablauf
    322
    Zeige VWC-WF-Editor an
    324
    VWC-WF-Änderungen
    326
    Füge revidierte VWC-WF hinzu
  • 8
  • 410
    Konfigurationsmanagement-Komponente
    412
    visuelle Arbeitsablaufkomponente
    414
    Datenmanagerkomponente
    416
    Ereignismonitorkomponente
    418
    GUI-Konsolenkomponente
    419
    Sicherheitskomponente
    420
    Ausrüstungsmanagement-Komponente
    422
    WIP-Managementkomponente
    424
    Absende- und Zeitplanungs-Komponente
    426
    Qualitätsmanagement-Komponente
    428
    Werkzeugintegrationskomponente
    429
    Gateway-Komponente
    430
    Gemeinsame Serviceprotokolle
  • 9
  • 510
    DFS/F-Komponente
    522
    TIC-Adapterknoten A
    532
    VFEI-Protokoll auf DCOM
    534
    TIC-Auftritt auf Knoten B
    536
    SECS-Protokoll
    538
    Werkzeug
    542
    VFEI-Protokoll auf DCOM
    544
    TIP-Auftritt auf Knoten C
    546
    SECS-Protokoll
    548
    Werkzeug
  • 10
  • 612
    TIC-Adapter
    614
    TIP-Auftritt
    616
    Ereignisaufbau-Anfrage
    618
    Routing-Ereignis-Aufbauanfrage
    626
    Bestätige Aufbauanfrage
    622
    Ereignisberichtanfrage
    614
    Bestätige Berichtanfrage
    616
    Übermittle Ereignisbericht
    628
    Liefere Bericht ab
    630
    Bestätige Berichtlieferung
  • Tabelle III
  • Rahmenwerkkomponenten
    • 1. Eine SC (security component = Sicherheitskomponente) liefert eine grundlegende Sicherheit, die drei Sicherheitsmodi hat: (1) Definition von Benutzerrollen, (2) Zuordnung von Benutzern zu Rollen und (3) Definieren des Zugriffs zu DFS/F-Objekten und Verfahren durch Rolle.
    • 2. GCC (GUI console component = GUI-Konsolenkomponente) unterstützt die Navigation und die Anzeigen von Daten zwischen DFS/F und GUIs. Kunden- und/oder drittseitige Anwendungen können zu der Konsolen-Werkzeugleiste hinzugefügt werden. Eine Kundenumgebung kann durch Hinzufügen von GUIs und Fabrikobjekten erzeugt werden. Die Konsole kann eine Transaktionsdarstellung, d. h. einen vollen Bildschirm, eine Kaskade, Fenster und Symbole umfassen. Ein GUI-Konsolen-Navigator gestattet das Durchsuchen und Auswählen von DFS/F-Fabrikobjekten und hat eine Sucheigenschaft, die auf von dem Server gelieferten Suchkriterien beruht. Sie liefert beispielsweise die Navigation und die gemeinsame Datennutzung zwischen aktiv X-GUIs.
    • 3. PLMC (performance & license management component = Performance & Lizenzmanagement-Komponente) zum Verfolgen und Regeln der Systemausnutzung von Komponenten.
    • 4. SMC (saga management component = Saga-Managementkomponente) liefert eine Unterstützung für „langlaufende" Transaktionen, die als Einheit behandelt werden sollten, jedoch zu lange dauern, bis dass man sich auf Standard DB-Verriegelungstechniken verlassen könnte.
    • 5. CRC (context resolution component = Kontextauflösungskomponente) unterstützt die MES-Ausführung durch Verknüpfung von Kontext zu Resultaten, wobei sichergestellt wird, dass die richtigen Befehle zu einer beliebigen Ressource dadurch geliefert werden, dass es dem Benutzer gestattet wird, den Aufbau von Ressourcenauswahlen flexibel zu modellieren.
    • 6. CMC (configuration management component = Konfigurationsmanagementkomponente) liefert das Management von Fabrikmodelländerungen über Komponenten hinweg.
    • 7. CC (calender component = Kalenderkomponente) liefert Kalender- und Verschiebedefinitionen für die Zeitplanung und die Berichterstattung.
    • 8. VWC (visual workflow component = visuelle Arbeitsablaufkomponente) definiert und führt aus die Herstellungsprozesse und ist in der Lage, vorgegebene Geschäftsprozesse auszuführen. VWC definiert Geschäftsprozesse graphisch als Sequenz/Netzwerk von Dienstleistungsaufrufen von einer Palette von DFS/F-Dienstleistungen. Andere DFS/F-Komponenten verwenden die VWC für Prozessdefinitionen. Beispielsweise verwendet die WIP-Managementkomponente die VWC-Dienstleistungen, um zu definieren, wie Produkte hergestellt werden, und sie benutzt sie, um die Verarbeitung von Materialvorräten auszuführen. Die VWC-Prozessdefinitionsfähigkeit umfasst den Austausch von Daten zwischen den Dienstleistungsaufrufen und den Kontrollstrukturen, um den Pfad oder die Pfade durch vorgegebene Geschäftsverfahren zu bestimmen/auszuwählen. Die FWC ist in der Lage, Geschäftsprozesse autonom auszuführen, d. h. unabhängig von anderen SW-Komponenten zu funktionieren, und sie ist in der Lage, auf automatisierte Eingaben und auch auf Benutzereingaben zu antworten.
    • 9. RCC (resource coordination component = Ressourcenkoordinations-Komponente) ist verantwortlich dafür, dass aktive Ressourcen an Abgabestationen zur Verfügung stehen. Sie stimmt die Ressourcen auf gemeinsame Arbeiten/Chargen ab, die BRC verwenden. Zusammen mit BRC koordiniert sie die Zusammenführung von aktiven und passiven Ressourcen
    • 10. EVMC (event monitor component = Ereignismonitorkomponente) überwacht/sammelt Ereignisse, die von den DFS/F-Dienstleistungen veröffentlicht werden. Eine DFS/F-Dienstleistung kann ausgeführt werden (einschließlich des Starts eines VWC-Jobs), wenn ein überwachtes Ereignis auftritt. Die VMC unterstützt die beaufsichtigte Herstellung durch die Bereitstellung von Fabrikmonitoren.
    • 11. BRC (bill of resources component = Resourcenlisten-Komponente) baut die Ressourcen über mehrere DFS/F-Komponenten auf, die benötigt werden, um ein Chargenverfahren zu starten, d. h. ein Chargenverfahren, das die koordinierte Zusammenwirkung von mehreren Ressourcen beinhaltet.
    • 12. DMC (data manager component = Datenmanagerkomponente) konsolidiert Daten von den FW-Komponenten und den Anwendungskomponenten für die Berichterstattung und die Analyse. Sie basiert auf einer DW (data warehouse = Datenwarenhaus)-Technologie und kann Muster-DW-Sternschemata und Berichte liefern. DMC kann auf DBs zur umstrukturierten Datenanalyse zugreifen.
  • Anwendungskomponenten liefern die MES-Befehle an die Herstellungsausrüstung, um spezielle Werkzeuge und Prozesse zu managen und zu kontrollieren. Beispiele geeigneter Anwendungskomponenten sind in Tabelle IV beschrieben.
  • Tabelle IV
  • Anwendungskomponenten
    • 1. QMC (quality management component = Qualitätsmanagement-Komponente) liefert eine Qualitätsanalyse und eine flexible Datensammlung. Sie ist in der Lage, korrigierende Herstellungstaktiken zu bestimmen, um eine Übereinstimmung mit vorgegebenen Geschäftsregeln sicherzustellen.
    • 2. TIC (tool integration component = Werkzeugintegrations-Komponente) liefert Zweiweg-Kommunikation zwischen der DFS/F und verschiedenen Ausrüstungstypen. Sie ist in der Lage, durch Werkzeugprotokolle, beispielsweise SECS (SEMI – Semiconductor Equipment and Materials International – Equipment Communication Standard = SEMI – Internationaler Ausrüstungskommunikationsstandard für Halbleiterausrüstung und Materialien), GEM (generic equipment model = allgemeines Ausrüstungsmodell) und VFEI (virtual factory equipment interface = virtuelle Fabrikausrüstungs-Schnittstelle) zu kommunizieren. SECS, GEM und VFEI sind Werkzeugprotokolle, die dem Durchschnittsfachmann bekannt sind.
    • 3. EMC (equipment managemt component = Ausrüstungsmanagementkomponente) löst die unterschiedlichen Ausrüstungszustände in SEMI-E10-Zustände auf, die ein neuartiges Hierarchiemodell verwenden, um einzelne Werkzeuge in den Kammern in Gruppenwerkzeugen zu verfolgen.
    • 4. RMC (recipe management component = Rezeptmanagementkomponente) liefert die Definition, die Auswahl und die Verteilung von Rezepten an die Ausrüstung, beispielsweise die Fabrikationswerkzeuge.
    • 5. DSC (dispatching and scheduling component = Absende- und Zeitplanungskomponente) zum Zeitplanen und Absenden von Fabrikaufgaben einschließlich der Verarbeitung und der Wartung.
    • 6. MHC (material handling component = Materialhandhabungskomponente) als Stichstelle mit der Materialhandhabungsausrüstung.
    • 7. WMC (WIP – work in progress-management component = WIP-Managementkomponente für in Ausführung befindlicher Arbeit) ist vorgesehen, um die Verfolgung von Wafern, Serien, Chargen und Trägern bereitzustellen, wodurch Eigeninitiative-Geschäftsentscheidungen, beispielsweise: „Wenn, dann" unterstützt werden. WIP bietet eine Gruppenwerkzeugseinrichtung und -kontrolle, wodurch MES an Waferchargen in dem Gruppenwerkzeug bereitgestellt wird.
    • 8. Altbestandsystemschnittstelle, die eine Komponente ist, um auf existierende Fabriksoftware zuzugreifen.
  • Ein dritte Facette von DFS/F der vorliegenden Erfindung umfasst verschiedene Etagen, die in FW-Komponenten, Anwendungskomponenten und gemeinsamen Herstellungsblocks vorhanden sein können. Beispielsweise kann dies eine drei Etagen-Facette sein wie folgt. Eine erste Etage umfasst Klienten, die die Dienstleistungen von anderen SW-Programmen oder -Komponenten, beispielsweise visuelle WF-Jobs, GUIs und Kundenprogramme, beispielsweise VB (virtual Basic = virtuelles Basic) verwenden. Eine zweite Etage umfasst Anwendungen oder FW-Server, die beispielsweise MTS/DCOM für die Kommunikation mit DCOM verwenden. Eine dritte Etage umfasst eine DB-Maschine, beispielsweise Oracle, die eine ODB (open database connectivity = Connectivity einer offenen Datenbank)-Schnittstelle verwendet. Alle DFS/F-Komponenten verwenden diese drei Etagen, während gemeinsame Herstellungsblocks in einer oder mehreren dieser Etagen je nach der Struktur und der Funktion des Herstellungsblocks verwendet werden können.
  • FW-Komponenten sind verschiedenen Lebenszyklusaktivitäten des FALC 200 zugeordnet. Repräsentative Beispiele für diese Zuordnungen sind in Tabelle IV gezeigt. Tabelle V FW-Komponenten, die FALC – 200 – Lebenszyklusaktivitäten zugeordnet sind
    FALC 200 FW-Komponente
    Lebenszyklusaktivität
    220 SC, GCC, PLMC, SMC
    230 CRC, CMC, CC
    250 VWC, RCC, EVMC, BRC
    260 DMC
  • FW-Komponenten eines FALC der vorliegenden Erfindung, beispielsweise des FALC 200, sind in der Lage, miteinander in Wechselwirkung zu treten, so dass sie zusammen arbeiten. Beispielsweise kann ein Fabrikmodell revidiert werden, wie in 7 gezeigt ist, indem ein Austausch von Nachrichten zwi schen einer GCC (GUI – console component = GUI-Konsolenkomponente) 310, einer CMC (configuration management component = Konfigurationsmanagement-Komponente) 312 und einer VWC (visual workflow component = visuelle Arbeitsablaufkomponente) 314 verwendet wird. Änderungen in dem Fabrikmodell werden gesammelt, um eine ECM (engineering change notice = Ingenieurleistung-Änderungsnotiz) in einer CMC, beispielsweise der CMC 312, die in 7 gezeigt ist, herzustellen. Die ECM 316 ist offen (7), um Änderungen in dem Fabrikmodell zu sammeln. Die resultierenden ECM-Daten 318 werden von der GCC 310 während der Ausgabe des VWC-Arbeitsablaufs 320 verwendet. Der Arbeitsablauf wird durch eine in der GCC 31 enthaltene GUI 322 angezeigt und editiert. Die Änderung des VWC-Arbeitsablaufs wird an die VWC in dem Schritt 324 zurückgeführt, und die VWC 314 fügt dann den veränderten Arbeitsablauf zu der CMC-ECM in dem Schritt 326 hinzu.
  • Eine beliebige Anwendungskomponente des neuartigen DFS/F kann an dem DFS/F teilnehmen, indem die benötigten Dienstleistungen von der geeigneten FW-Komponenten implementiert werden, wodurch ein FW-Rahmenwerk vom Typ „Einschalten und Spielen" verbildet wird, wie in 8 schematisch gezeigt ist, wobei FW- oder Anwendungsserver verwendet werden, die durch den Austausch von Nachrichten miteinander arbeiten. Wie in 8 gezeigt ist, verwenden FW-Komponenten, die FW-Komponentenserver für CMC 410, VWC 412, DMC 414, EMC 416, GCC 418 und SC 419 verwenden, gemeinsame Serviceprotokolle, um Serviceleistungen an Anwendungskomponenten zu liefern, die Anwendungskomponentenserver für EMC 420, WMC 422, DSC 424, QMC 426, TIC 428 und eine Gateway-Komponente 429 verwenden. Diese Serviceleistungen werden durch gemeinsame Serviceprotokolle 430 unter Verwendung von beispielsweise DCOM-Kommunikation weitergeleitet. Diese SW-Technik der vorliegenden Erfindung ermöglicht es einem Benutzer, die Verarbeitungs- und Ausrüstungs-MES-Befehle durch Dateneingaben zu verändern, statt durch Kodierung, so dass die Notwendigkeit für zeitaufwändige Programmierungsänderungen, die spezielle Fertigkeiten erfordern, eliminiert wird. Die Anwendungskomponenten erfordern eine wirksame Kommunikation mit integrierten Teilen der Ausrüstung, beispielsweise den Waferfabrikationswerkzeugen und der Materialhandhabungsausrüstung, um die MES-Befehle an die Controller der Waferfabrikationswerkzeuge und/oder an andere Ausrüstungen auszuführen. Typische Controller umfassen Prozessoren, beispielsweise Mikroprozessoren, beispielsweise On-board-angeordnete Rechner, Computer betriebene Software und mechanische/elektrische Controller, beispielsweise Schalter und elektrische Schaltungen, die beispielsweise einen variablen Widerstand, beispielsweise einen Potentiometer, verwenden.
  • DFS/F der vorliegenden Erfindung umfasst eine neuartige TIC-Anwendungskomponente (Tabelle IV), um die Kommunikation zwischen den verschiedenen DFS/F-Komponenten unter Ausrüstung, beispiels weise den Waferfabrikationswerkzeugen, dadurch zu vereinfachen, dass grundlegende Ausrüstungskontroll-Feststellungsblocks bereitgestellt werden, die in einem VWC (Tabelle III)-Arbeitsablauf assembliert werden können, um eine Maschine zu steuern. TIC liefert Serviceleistungen, die das Versenden und Empfangen von VFEI-Meldungen oder Befehlen zu und von der Ausrüstung umfassen. Eine Sequenz dieser Befehle oder Nachrichten stellt eine Geschäftslogik dar, beispielsweise Befehle zur Steuerung eines Werkzeuges. Diese Art von Sequenzen kann in einem VWC-Arbeitsablauf definiert werden. TIC liefert die Herstellungsblocks, die verwendet werden, um Befehle oder Meldungen an ein Werkzeug zu senden und um Meldungen oder Informationen von dem Werkzeug zu empfangen, und um die empfangenden Meldungen oder die empfangene Information an andere DFS/F-Komponenten weiterzugeben. TIC ist Teil der Kommunikationsverbindung zwischen DFS/F und der Ausrüstung, beispielsweise den Waferfabrikationswerkzeugen.
  • Die TIC der vorliegenden Erfindung umfasst eine neuartige Kombination von einem TIP (tool interface program = Werkzeug-Schnittstellenprogramm) und einem neuartigen TIC-Adapter. TIP wird für jede Maschine oder jeden Werkzeugtyp bereitgestellt, um VFEI-Befehle oder -Meldungen an eine Schnittstelle, beispielsweise SECS, einer Maschine und deren Steuerung, beispielsweise die Steuerungs-SW weiterzugeben. Ausrüstung, die geeignet ist, um mit dem neuartigen DFS/F zu kommunizieren, hat einen TIP-Auftritt, d. h. einen auf die Ausrüstung abgestimmten SW-Prozess, der als Vermittler zwischen der Ausrüstung und dem DFS/F läuft. Ein Beispiel eines geeigneten Kommunikationsprotokolls zwischen einem TIP-Auftritt für eine Maschine und DFS/F ist ein VFEI-Protokoll auf DCOM. Zusätzlich ist daran gedacht, ein neuartiges VFEI+-Protokoll auf DCOM bereitzustellen, bei dem VFEI+-Verbesserungen für die Verwaltung, für die Modellierung und für die RPC (remote procedure call = Fern-Prozeduraufruf)-Natur des DCOM umfasst. Es ist daran gedacht, TEP auf verschiedenen Computern zu verteilen, beispielsweise, wenn diese Computer in durch Computer integrierten Waferfabrikationswerkzeugen, die TIP-SW verwenden, verwendet werden.
  • Ein TIC-Adapter der vorliegenden Erfindung ist ein Vermittler zwischen DFS/F und TIPs, indem er beispielsweise gestattet, dass andere DFS/F-Komponenten auf Werkzeugkapazitäten durch generalisierte Befehle zugreift, die der Adapter dann auf die Bedürfnisse der spezifischen TIP-Vorgänge des Werkzeuges anpasst. Dies ist in dem folgenden Beispiel zum Sammeln von Messungen unter Verwendung eines Methodologie-Werkzeuges in der Waferfabrikation beschrieben, die unterschiedliche Werkzeuge zum Sammeln von Messungen zur Verfügung hat. Diese neuartigen Techniken sind geeignet, um das Sammelverfahren in einem VWC-WF einzubitten, welches dann einen Benutzer, beispielsweise einen Prozesstechniker oder einen Ingenieur in die Lage versetzt, identische Messanfragen an die TIC zu senden. Der TIC-Adapter übersetzt dann die Anfrage in Werkzeugspezifische VFEI-Anfragen basierend auf dem speziellen Werkzeug, das beim Lauf ausgewählt ist. Diese Techniken der vorliegenden Erfindung sind in der Lage, beispielsweise einen einzigen QMC (quality management component = Qualitätsmanagement-Komponente) Datensammelplan zu definieren, der dann in unterschiedlichen Werkzeugen verwendet werden kann, die dieselben Arten von Daten sammeln, weil der TIC-Adapter die Datenparameternamen von dem allgemeinen Namen in dem QMC-Plan in spezielle Namen übersetzen kann, die durch spezifische Ausrüstungstypen oder Werkzeuge gefordert werden.
  • Vorteilhafterweise kann ein TIC-Adapter auch eine Protokollumsetzung zwischen Kommunikationen im Stil von DFS/F und TIPs durchführen. Dies ist eine nützliche Funktion, weil viele DFS/F-Komponenten unter Verwendung von MTS aufgebaut sind und so ausgelegt sind, dass sie durch direkte Datenverarbeitung und zustandslos funktionieren. Beispielsweise sind in DFS/F-Servern sowohl Anfrage- als auch Verarbeitungsserviceleistungen „synchron", d. h. Serviceleistungen, von denen erwartet wird, dass sie in einer vorhersagbaren, kurzen Verarbeitungszeit von einer Sekunde oder weniger abgeschlossen sind, oder Serviceleistungen, die als langlaufend betrachtet werden und die ein LRSP (long running service protocol = lang laufendes Serviceprotokoll) verwenden. Wie er hier verwendet wird, umfasst der Begriff „lang laufende Serviceleistung" Serviceleistungen, die eine Gesamtlaufzeit haben, die nicht vorhergesagt werden kann und die daher für DB-Verriegelungstechniken ungeeignet sind, die typischerweise im Zusammenhang mit herkömmlichen Serviceleistungen, beispielsweise synchronen Serviceleistungen, verwendet werden. Es ist daran gedacht, die Dienstleistung durch einen Server auf MTS-Basis unter Verwendung eines verhältnismäßig kurzlebigen MTS-Threads zu verarbeiten, wobei jeglicher, langfristiger Status in einer DB gesichert wird. Es ist daran gedacht, dass Serviceleistungsanfragen auf einem Modell der DCOM-RCM-Art begründet werden kann, wo eine Serviceleistung angefordert wird, auf die dann eine Rückantwort folgt. Der TIC-Adapter ist ein Vermittler zwischen DFS/F und TIPs.
  • Ein Beispiel einer neuartigen TIC ist in 9 gezeigt, die ein neuartiges DFS/F 500 mit einer TIC 520 der vorliegenden Erfindung zeigt. In dem vorliegenden Beispiel wurde ein verteilter Rechner mit Knoten A, B und C verwendet. Die DFS/F-Komponenten 510 hatten eine Kommunikation mit den Fabrikationswerkzeugen 538 und 548 mit Hilfe der TIC 520. MES-Befehle für die Werkzeuge 538 und 548 werden von den Komponenten 510 an den neuartigen TIC-Adapter 522 der TIC 520 gesendet. Beispielsweise können Befehle zum Starten der Verarbeitung eines Materials von einer DFS/F-Komponenten 510, beispielsweise einem VWC-Arbeitsablauf, durch die TIC 520 an das Werkzeug 538 übermittelt werden. Auf den TIC-Adapter wurde durch einen MTS-Server an dem Knoten A zugegriffen. Die Befehle für das Werkzeug 538 werden von dem TIC-Adapter 522 über ein VFEI-Protokoll auf TCOM 532 an einen TIP- Auftritt 534 auf dem Knoten B übermittelt. Der TIP-Auftritt 534 hat dann diese Befehle an das Werkzeug 538 unter Verwendung eines SECS-Protokolls 537 des Werkzeugs 538 übertragen. Auf ähnliche Weise wurden die Befehle für das Werkzeug 548 von dem TIC-Adapter 522 an einen TIP-Auftritt 544 an dem Knoten C durch ein VFEI-Protokoll auf DCOM 542 übermittelt. Der TIP-Auftritt 544 hat die Befehle an das Werkzeug 548 unter Verwendung eines SECS-Protokolls 536 des Werkzeugs 548 übermittelt. Auch eine DB (nicht gezeigt) kann vorgesehen sein, auf die durch den TIC-Adapter 522 zugegriffen werden kann, um TIC-Daten, beispielsweise Netzwerkorte spezifischer TIPs, unter Verwendung solcher Verfahren und Techniken, wie sie dem Durchschnittsfachmann bekannt sind, zu speichern und abzurufen.
  • Die TICs der vorliegenden Erfindung verwenden Microsoft-TCOM-Benachrichtigungstechnologie, um Benachrichtigungen zwischen DFS/F-Komponenten der vorliegenden Erfindung und einem TIC-Adapter und zwischen einem TIC-Adapter und den Werkzeugschnittstellenprogramm-Objekten gemäß der vorliegenden Erfindung zu senden. Ein TIC-Adapter ist unter Verwendung gemeinsamer Herstellungsblocks der vorliegenden Erfindung, wie die in Tabelle II beschriebenen, aufgebaut. Ein erfindungsgemäßer TIC-Adapter kann unter Verwendung eines Microsoft-Transaktionsservers aufgebaut sein. Der Adapter ist in der Lage, gleichzeitig Nachrichten von vielen DFS/F-Komponenten und vielen TIP-Vorgängen gleichzeitig zu managen. Ein TIC-Adapter der vorliegenden Erfindung ist in der Lage, Informationen, beispielsweise anhängige Ereignisanfragen zu sichern, d. h. die Befehle zu einem TIP, die eine Anfrage betreffen, dass das Werkzeug einen bestimmten Status oder ein Betriebsereignis melden sollte. Diese Information kann beispielsweise unter Verwendung von Oracle-Datenbanktechnologien gesichert werden, die dem Durschnittsfachmann bekannte Techniken verwendet.
  • 10 zeigt eine Sequenz von Benachrichtigungen von einem VWC 610, der ein Geschäftsverfahren ausführt, das in einem VWC-WF definiert ist, an einen TIC-Adapter 612 und dann weiter zu einem TIP-Auftritt 614. Dieser TIP-Auftritt umfasst ein Werkzeug-Schnittstellenprogramm, um eine Schnittstelle mit einer Verarbeitungsausrüstung (nicht gezeigt), beispielsweise einem Waferfabrikationswerkzeug zum Herstellen einer integrierten Schaltungsstruktur, herzustellen. Das VWC-Geschäftsverfahren sendet einen Befehl an den TIC-Adapter 612, der einen Ereignisaufbau 616 anfordert, welches eine Anfrage zum Berichten eines Ausrüstungsereignisses ist, beispielsweise der Bericht über den Abschluss eines Waferfabrikationsverfahrens. Die Ereignis-Aufbauanfrage wird dann über 618 zu dem TIP-Auftritt 614 weitergeleitet, was danach der VWC 610 bestätigt wird 620. Die VWC fordert dann einen Ereignisbericht 622 an, der die Ereignis-Aufbauanfrage von dem TIC-Adapter 612 betrifft. Die Ereignis-Berichtanfrage 622 wird in dem Schritt 624 bestätigt. Wenn das angeforderte Ereignis an der Ausrüstung auftritt, wird ein Bericht, der das Ereignis betrifft, von dem TIP-Auftritt 614 zu dem TIC-Adapter 612 mitgeteilt 626, der dann eine Überprüfung macht, um festzustellen, ob es eine anstehende Berichtanforderung gibt. Wenn es eine anstehende Berichtanforderung gibt, beispielsweise die Anforderung 622, liefert der TIC-Adapter 612 den angeforderten Bericht 628 an die VWC-WF und bestätigt die Lieferung 630 des Ereignisberichts an den TIP-Auftritt 614. Wahlweise kann die VWC-Geschäfts-WF eine Schleife ausführen, wobei zusätzliche Ereignisberichte angefordert werden. Sobald die angeforderten Berichte von dem Werkzeug empfangen worden sind, endet die Schleife, und die VWC-WF sendet einen Abschlussbefehl an die TIC, um das Werkzeug über seine TIP zu benachrichtigen, dass die angeforderten Ereignisberichte nicht weiterhin gesendet werden sollen. Es ist zu beachten, dass der TIP-Auftritt 614 ein Protokoll benötigen könnte, beispielsweise SECS (nicht gezeigt), um mit der Ausrüstung in Kommunikation zu treten.
  • Wie in den vorstehenden Beispielen gezeigt ist, stehen Anwendungskomponenten, beispielsweise TIC, mit FW-Komponenten, beispielsweise VWC (Tabelle III) in Kommunikation und benutzen diese. Zusätzlich kann eine spezielle FW-Komponente mit einer anderen FW-Komponenten kommunizieren und ihre Serviceleistungen ausnutzen. Beispielsweise können alle FW-Komponenten die gemeinsame Sicherheits-FW-Komponente benutzen, um den Zugriff auf Serviceleistungen zu regeln, die diese FW-Komponenten bereitstellen können, wie in 8 gezeigt.
  • Die Fähigkeit zu „Einschalten und Spielen" einer FWC werden beispielsweise durch WFs gezeigt, bei denen die VWC eine Technik zum Definieren eines Geschäftsprozesses ist, beispielsweise eine WV, die später als ein Job ausgeführt wird. WFs sind graphische Darstellungen von Geschäftsprozessen, die für die Herstellung eines Produkts definiert werden, beispielsweise einer Halbleiterstruktur, die typischerweise viele Verarbeitungsschritte, Ausrüstungsschritte, Bewegungsschritte, Entscheidungsschritte und Datenschritte umfasst. Jeder dieser Schritte ist als ein Schritt in einer WF definiert. WFs können Bewegungen, Entscheidungen, Qualitätsaktivitäten und Ausrüstungsbefehle umfassen. WFs werden unter Verwendung von Techniken von „Ziehen und Ablegen" in einer graphischen Computerumgebung unter Verwendung von Techniken hergestellt, die dem Durchschnittsfachmann bekannt sind. Sobald sie definiert ist, wird eine WF als Job ausgeführt. Beispielsweise kann eine WF ausgeführt werden, um eine Charge eines Produkts zu erzeugen.
  • Das DFS/F der vorliegenden Erfindung kann verwendet werden, um die Produktion, beispielsweise einen Waferfabrikationslauf, mit Hilfe der WIP-Management-Anwendungskomponenten (Tabelle IV) wie folgt zu starten. Ein Benutzer, beispielsweise ein Prozessingenieur, definiert, wie ein spezielles Produkt gemacht wird, indem eine WF in der VWC erzeugt wird, die die Sequenz der Schritte definiert, die zur Herstellung des Produkts erforderlich sind. Diese Sequenz kann beispielsweise ein Waferfabrikationsrezept umfassen. Der Benutzer startet dann die Charge unter Verwendung der Serviceleistung der WIP-Management-Anwendungskomponenten oder der GUI, wodurch die VWC aufgefordert wird, die WF für die Charge zu starten. Zusammenfassend verwendet die WIP die VMC als eine Dienstleistung, um die Verarbeitungsschritte zu definieren, und danach, um tatsächlich Produktionschargen herzustellen/fabrizieren.
  • Die Herstellungsblocks liefern eine gemeinsame Funktionalität für die neuartigen DFS/F-Techniken, um den Aufbau einer Anwendung und der FW-Komponenten zu erleichtern. Beispielsweise kann ein DFS/F-Klassifizierungs-Herstellungsblock (Tabelle II) in der EMC-Anwendungskomponenten (Tabelle IV) verwendet werden, um Ausrüstung zu klassifizieren. Sie kann auch in der WIP-Management-Anwendungskomponenten (Tabelle IV) verwendet werden, um Produkte zu klassifizieren. Dieser Herstellungsblock umfasst drei DFS/F-Etagen. Eine erste Etage verwendet DFS/F-GUI in der GCC, um die Klassifizierungsinformationen zu betrachten und zu modifizieren oder auf den neuesten Stand zu bringen. Eine zweite Etage umfasst SW-Code/Logik, die in dem Komponentenserver, beispielsweise EMC- oder WMC-Server, bereitgestellt wird. Diese spezielle SW definiert, wie die Klassifizierungen spezifiziert sind. Beispielsweise versetzt diese SW einen Benutzer in die Lage, ein spezielles Waferfabrikationswerkzeug, beispielsweise eine Ätzvorrichtung, zu klassifizieren. Die Klassifizierungs-Herstellungsblock-SW wird in den Komponentenservern durch beispielsweise C++-Fähigkeit, d. h. die Fähigkeit, Ressourcen und Attribute von den Komponentenservern nach unten zu den spezifischen Merkmalen eines speziellen Produktes, Verfahrens oder einer Maschine weiterzugeben. Eine dritte Etage umfasst eine DB-Tabellendefinition, die von der Komponenten-Serverlogik verwendet wird, um die Klassifizierungsinformation zu speichern und abzurufen.
  • Digital kodierte Datenstrukturen oder Informationen des neuartigen DFS/F oder seiner Komponenten und Herstellungsblocks können auf einem abnehmbaren, elektronischen Datenspeichermedium oder einer derartigen Vorrichtung, beispielsweise Computerdisketten, entfernbare Computerfestplatten, Magnetbänder und optischen Platten, gespeichert werden, um die Verwendung desselben Typs an unterschiedlichen Verarbeitungsstellen zu erleichtern. Alternativ kann die Datenstruktur oder die Information auf einem nicht-flüchtigen, elektronischen Datenspeichermedium, einschließlich einem Medium, das an einer Stelle angeordnet ist, die von dem Werkzeug entfernt liegt, unter Verwendung solcher Speichervorrichtungen gespeichert werden, die dem Durchschnittsfachmann bekannt sind. Die Datenstrukturen oder die Information kann von einer entfernt liegenden Stelle zu einer zentralen Datenverarbeitungseinheit oder zu einem Computer unter Verwendung von Kommunikationstechniken übertragen werden, die dem Durchschnittsfachmann bekannt sind, einschließlich fest verdrahtete Anschlüsse, drahtlose Anschlüsse und Datenkommunikationsverfahren, die eines oder mehrere Modems oder Techniken verwenden, die einen oder mehrere Computer, die gewöhnlich als Server bekannt sind, verwenden. Sobald das DFS/F oder seine Komponenten oder Herstellungsblocks installiert sind, werden sie gemeinsam in einer Datenspeichervorrichtung oder einem Speicher eines dedizierten Computers oder einem verteilten Computersystem gespeichert, das mit dem Verarbeitungssystem und seiner Ausrüstung integriert ist, wobei solche Datenspeichertechniken verwendet werden, die dem Durchschnittsfachmann bekannt sind.
  • Es ist daran gedacht, neuartige Produkte bereitzustellen, die digital kodierte Datenstrukturen oder Informationen eines neuartigen DFS/F oder seiner Komponenten oder Herstellungsblocks umfassen, die in einem Speicher, beispielsweise einem abnehmbaren, elektronischen Datenspeichermedium oder einer solchen Vorrichtung gespeichert sind. Es ist daran gedacht, eine neue, durch Computer integrierte Vorrichtung mit einem Speicher oder einer Datenspeichervorrichtung zum Speichern elektronischer oder digitaler Daten, eine zentrale Verarbeitungseinheit oder einen Computer und Verarbeitungsausrüstung freizustellen, in dem das DFS/F oder seine Komponenten oder Herstellungsblocks in der Datenspeichervorrichtung gespeichert sind.

Claims (30)

  1. Verfahren zum Managen eines Fertigungssystems, wobei das Verfahren die Schritte umfasst: Bereitstellen von Anwendungssoftware-Komponenten, die Instruktionen zum Entwickeln und Integrieren (21), Installieren und Verwalten (220), Fabrik-Modellieren (230), Herstellungsplanen, Herstellungskontrollieren, Überwachen und Verfolgen (250) und zum Analysieren von Herstellungsresultaten (260) bereit stellen; Verwendung von Rahmenwerkkomponenten, um die Anwendungssoftware-Komponenten zu managen, wobei die Rahmenwerkkomponenten gemeinsame Regeln und Serviceleistungen definieren, die von den Anwendungssoftware-Komponenten verwendet werden; und Bereitstellen und Anpassen von Software-Herstellungsblocks zum Ausbilden oder Modifizieren der Anwendungssoftware-Komponenten und der Rahmenwerkkomponenten.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Anwendungssoftware-Komponenten ausgewählt sind aus der Gruppe bestehend aus einer Qualitätsmanagementkomponenten (QMC, 426), einer Werkzeugintegrations-Komponenten (TIC, 428), einer Ausrüstungsmanagement-Komponenten (EMC, 420), einer Rezeptmanagement-Komponenten (RMC), einer Absende- und Zeitplanungs-Komponenten (DSC, 424), einer Materialhandhabungs-Komponenten (MHC), einer Management-Komponenten für in Ausführung befindliche Arbeiten (WMC, 422) und einer Bestandssystem-Schnittstellen-Komponenten.
  3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Rahmenwerkkomponenten ausgewählt sind aus der Gruppe bestehend aus einer Sicherheitskomponenten (SC, 419), einer GUI-Konsolenkomponenten (GCC, 418) einer Performance- und Lizenz-Managementkomponenten (PLMC), einer Saga-Managementkomponenten (SMC), einer Kontext-Auflösungskomponenten (CRC), einer Konfigurations-Managementkomponenten (CMC, 410), einer Kalenderkomponenten (CC), einer visuellen Arbeitsablaufkomponenten (VWC, 412), einer Resourcenkoordinations-Komponenten (RCC), einer Ereignis-Überwachungskomponenten (EVMC, 416), einer Resourcenlisten-Komponenten (BRC) und einer Datenmanagerkomponenten (DMC, 414).
  4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass die Software-Herstellungsblocks ausgewählt sind aus der Gruppe bestehend aus einem Server-Konstruktions-Herstellungsblock, einem Persistenz-Herstellungsblock, einem Herstellungsblock für gemeinsame GUI- Kontrollen, einem Veröffentlichungs- und Abonnierungs-Benachrichtigungs-Herstellungsblock, einem dynamischen API-Erkennungs-Herstellungsblock, einem Verknüpfungs-Herstellungsblock, einem Historien-Herstellungsblock, einem allgemeine Serviceleistungen ausführenden Herstellungsblock, einem Klassifizierungs-Herstellungsblock, einen Herstellungsblock für Kunden definierte Attribute, einen Statusmodell-Herstellungsblock, einen Namenraum-Herstellungsblock, einen Zeitplanungs-/Datenbuch-Herstellungsblock, einen Templates-Herstellungsblock, einen Herstellungsblock für variierte Objekte und einen Navigations-Herstellungsblock.
  5. Verfahren nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, dass das Verfahren verwendet wird für a) das Entwickeln und Installieren von Kontrollsoftware für die Automation der Fabrik (210, 220); b) das Modellieren von Werkzeugen und Herstellungsprozessen in der Fabrik (230, 240); c) das Erzeugen eines Plans zur Herstellung von einem oder mehreren Halbleiterbauteilen (240); d) das Steuern, Verfolgen und Überwachen der Herstellung der Halbleiterbauteile entsprechend dem Plan (259); e) das Analysieren der Herstellungsresultate (260), wobei wenigstens eine der Tätigkeiten a), b) und c) auf der Basis der Resultate modifiziert wird; und f) das Konstruieren von einer oder mehreren Rahmenwerkkomponenten, die konfiguriert sind, um es einem Benutzer zu gestatten, die Tätigkeiten a)–e) auszuführen, wobei die Rahmenwerkkomponenten gemeinsame Regeln und Serviceleistungen definieren, die von den Anwendungskomponenten verwendet werden.
  6. Verfahren nach Anspruch 5, ferner umfassend den Schritt: g) Gestatten der einen oder mehreren Rahmenwerkkomponenten, auf Merkmale der Werkzeuge und der Herstellungsprozesse in der Fabrik mit einem oder mehreren, generalisierten Befehlen zuzugreifen.
  7. Verfahren nach Anspruch 6, ferner umfassend den Schritt: h) Bereitstellen einer Schnittstelle zwischen der einen oder mehreren Rahmenwerkkomponenten und den Werkzeugen der Fabrik, worin das Bereitstellen der Schnittstelle die Tätigkeit der Übersetzung des einen oder der mehreren generalisierten Befehle in Befehle umfasst, die geeignet sind, das eine oder die mehreren Werkzeug(e) der Fabrik zu steuern.
  8. Verfahren nach Anspruch 5, ferner umfassend den Schritt: Bereitstellen gemeinsamer Serviceprotokolle unter einer Vielzahl von Komponenten der einen oder mehreren Rahmenwerkkomponenten.
  9. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Konfigurieren der einen oder mehreren Rahmenwerkkomponenten, um sie in die Lage zu versetzen, eine oder mehrere von – einer Konfigurations-Managementkomponenten (CMC, 410); – einer Visuell-/Arbeitsablauf-Komponenten (VWC, 812); – einer Datenmanagementkomponenten (DMC, 414); – einer Ereignisüberwachungs-Komponenten (EVMC, 416); – einer GUI-Konsolenkomponenten (GCC, 418); und – einer Sicherheitskomponenten (SC, 419) zu umfassen.
  10. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Konfigurieren einer Konfigurations-Managementkomponenten (CMC, 412), um die Tätigkeit von (b) Modellierung von Werkzeugen und der Herstellungsprozesse der Fabrik zu managen.
  11. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Bereitstellen einer Visuell-/Arbeitsablaufs-Komponenten (VWC, 412), um den Schritt d) Kontrollieren, Verfolgen und Überwachen der Herstellung der Halbleiterbauteile gemäß dem Plan zu managen.
  12. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Bereitstellen einer Datenmanagement-Komponenten (DMC, 414), um den Schritt e) Analysieren der Herstellungsresultate zu managen, wobei wenigstens einer der Schritte a), b) und c) auf der Basis des Resultats modifiziert wird.
  13. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Bereitstellen einer Ereignis-Monitorkomponenten (EVMC, 416), um den Schritt d) Kontrollieren, Verfolgen und Überwachen der Herstellung der Halbleitervorrichtungen gemäß dem Plan zu managen.
  14. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Bereitstellen einer GUI-Konsolenkomponenten (GCC, 418), um die Schritte a) Entwickeln und Installieren einer Kontrollsoftware für die Automation der Fabrik und f) Konstruieren von einer oder meh reren Rahmenwerkkomponenten zu managen, die konfiguriert sind, um es einem Benutzer zu gestatten, die Schritte a)–e) auszuführen.
  15. Verfahren nach Anspruch 8, ferner umfassend den Schritt: Konfigurieren von einer oder mehreren Rahmenwerkkomponenten, um in der Lage zu sein, eine oder mehrere von – einer Konfigurations-Managementkomponenten (CMC, 410); – einer Visuell-/Arbeitsablauf-Komponenten (VWC, 412); – einer Datenmanagement-Komponenten (DMC, 414); – einer Ereignis-Monitorkomponenten (EVMC, 416); – einer GUI-Konsolenkomponenten (GCC, 418); – einer Sicherheitskomponenten ist (SC, 419); – einer Ausrüstungsmanagement-Komponenten (EMC, 420); – einer Managementkomponenten für in Ausführung befindliche Arbeiten (WMC, 422); – einer Absende- und Zeitplanungs-Komponenten (DSC, 424); – einer Qualitätsmanagementkomponenten (QMC, 426); – einer Werkzeugintegrations-Komponenten (TIC, 428); und – einer Gateway-Komponenten (429) zu umfassen.
  16. Durch Computer implementiertes System zum Automatisieren einer Fabrik zur Herstellung von Halbleitervorrichtungen, wobei das System umfasst: Anwendungssoftware-Komponenten, die Befehle liefern zum Entwickeln und Integrieren (210), Installieren und Verwalten (220), Fabrikmodellieren (230), Herstellungsplanen (240), Herstellungskontrollieren, Überwachen und Verfolgen (250) und zum Analysieren der Herstellungsresultate (260); Rahmenwerkkomponenten, um die Anwendungssoftware-Komponenten zu managen, wobei die Rahmenwerkkomponenten gemeinsame Regeln und Serviceleistungen definieren, die von den Anwendungssoftware-Komponenten verwendet werden; und Software-Herstellungsblocks zur Erstellung und Modifizierung der Anwendungssoftware-Komponenten und der Rahmenwerkkomponenten.
  17. Durch Computer implementiertes System von Anspruch 16, dadurch gekennzeichnet, dass die Anwendungssoftware-Komponenten eine oder mehrere Komponenten aufweisen, die ausgewählt sind aus der Gruppe bestehend aus einer Qualitätsmanagement-Komponenten (QMC, 426), einer Werkzeu gintegrations-Komponenten (TIC, 428), einer Ausrüstungsmanagement-Komponenten (EMC, 420), einer Rezeptmanagement-Komponenten (RMC) einer Absende- und Zeitplanungs-Komponenten (DMC, 424), einer Material-Handhabungskomponenten (MHC), einer Managementkomponenten für in Ausführung befindliche Arbeiten (WMC, 422) und einer Altsystem-Schnittstellenkomponenten.
  18. Durch Computer implementiertes System nach Anspruch 16 oder 17, dadurch gekennzeichnet, dass die Rahmenwerkkomponenten einer oder mehrerer Komponenten umfassen, die ausgewählt sind aus der Gruppe bestehend aus einer Sicherheitskomponenten (SC, 419), einer GUI-Konsolenkomponenten (GCC, 418), einer Performance- und Lizenz-Managementkomponenten (PLMC), einer Saga-Managementkomponenten (SMC), einer Kontextauflösungskomponenten (CRC), einer Konfigurations-Managementkomponenten (CMC, 410), einer Kalenderkomponenten (CC), einer visuellen Arbeitsablaufskomponenten (VWC, 412), einer Resourcenkoordinations-Komponenten (RCC), einer Ereignis-Monitorkomponenten (EVMC, 416) einer Resourcenlisten-Komponenten (BRC) und einer Datenmanagement-Komponenten (DMC, 414).
  19. Durch Computer implementiertes System nach einem der Ansprüche 16–18, dadurch gekennzeichnet, dass die Software-Herstellungsblöcke ausgewählt werden aus der Gruppe bestehend aus Server-Konstruktions-Herstellungsblock, einem Persistenz-Herstellungsblock, einem Herstellungsblock für gemeinsame GUI-Kontrollen, einem Veröffentlichungs- und Abonnierungs-Benachrichtigungs-Herstellungsblock, einem dynamischen API-Erkennungs-Herstellungsblock, einem Verknüpfungs-Herstellungsblock, einem Historien-Herstellungsblock, einem allgemeine Serviceleistungen ausführenden Herstellungsblock, einem Klassifizierungs-Herstellungsblock, einen Herstellungsblock für von Kunden definierte Attribute, einen Statusmodell-Herstellungsblock, einen Namenraum-Herstellungsblock, einen Zeitplanungs-/Datenbuch-Herstellungsblock, einen Templates-Herstellungsblock, einen Herstellungsblock für variierte Objekte und einen Navigations-Herstellungsblock.
  20. Durch Computer implementiertes System nach einem der Ansprüche 16–19, dadurch gekennzeichnet, dass das durch Computer implementierte System ein Softwarerahmenwerk umfasst, das konfiguriert ist, um eine Vielzahl von Arbeitszyklusaktivitäten durchzuführen, wobei das Softwarerahmenwerk Rahmenwerkkomponenten umfasst, die gemeinsame Regeln und Serviceleistungen definieren, die von den Anwendungskomponenten verwendet werden, wobei das Softwarerahmenwerk umfasst: a) Mittel zum Entwickeln und Integrieren der Kontrollsoftware zum Automatisieren der Fabrik (210); b) Mittel zum Installieren und Verwalten der Kontrollsoftware (220); c) Mittel zum Modellieren der Werkzeuge und der Herstellungsprozesse der Fabrik (230); d) Mittel zum Modellieren der Herstellungsprozesse der Fabrik; e) Mittel zum Erzeugen eines Plans, um Halbleitervorrichtungen herzustellen (240); f) Mittel zum Kontrollieren, Verfolgen und Überwachen der Herstellung der Halbleitervorrichtungen entsprechend dem Plan (250); und g) Mittel zum Analysieren der Herstellungsresultate (260), wobei wenigstens einer der Schritte a), c) und d) auf der Basis der Resultate modifiziert wird.
  21. System nach Anspruch 20, ferner umfassend: h) eine Schnittstelle, die konfiguriert ist, um es dem Softwarerahmenwerk zu gestatten, auf Merkmale der Werkzeuge der Fabrik mit einem oder mehreren generalisierten Befehlen zuzugreifen.
  22. System nach Anspruch 21, worin die Schnittstelle konfiguriert ist, um die generalisierten Befehle in Befehle zu übersetzen, die geeignet sind, eines oder mehrere der Werkzeuge der Fabrik zu steuern.
  23. System nach Anspruch 20, ferner umfassend gemeinsame Serviceprotokolle unter einer Vielzahl von Komponenten des Softwarerahmenwerks.
  24. System nach Anspruch 23, worin das Softwarerahmenwerk in der Lage ist, eine oder mehrere von: – einer Konfigurations-Managementkomponenten (CMC, 410); – einer Visuell-/Arbeitsablaufs-Komponenten (VWC, 412); – einer Datenmanagement-Komponenten (DMC, 414); – einer Ereignis-Monitorkomponenten (EVMC, 416); – einer GUI-Konsolenkomponenten (GCC, 418); und – einer Sicherheitskomponenten (SC, 419) zu umfassen.
  25. System nach Anspruch 23, ferner umfassend: eine Konfigurations-Managementkomponente (CMC, 410), die konfiguriert ist, um den Schritt (b) Modellieren der Werkzeuge und Herstellungsprozesse der Fabrik zu managen.
  26. System nach Anspruch 23, ferner umfassend: eine Visuell-/Arbeitsablauf-Komponente (VWC, 412) die konfiguriert ist, um den Schritt d) Steu erung, Verfolgung und Überwachung der Herstellung der Halbleitervorrichtungen gemäß dem Plan zu managen.
  27. System nach Anspruch 23, ferner umfassend: eine Daten-Managementkomponente (DMC, 414), die konfiguriert ist, um den Schritt e) Analysieren der Herstellungsresultate zu managen, wobei wenigstens einer der Schritte a), b) und c) auf der Basis der Resultate modifiziert wird.
  28. System nach Anspruch 23, ferner umfassend: eine Ereignis-Monitorkomponente (EVMC, 416), die konfiguriert ist, um den Schritt d) Kontrollieren, Verfolgen und Überwachen der Herstellung der Halbleitervorrichtung gemäß dem Plan zu managen.
  29. System nach Anspruch 23, ferner umfassend: eine GUI-Konsolenkomponente (GCC, 418), die konfiguriert ist, um die Schritte a) Entwickeln und Installieren der Kontrollsoftware zum Automatisieren der Fabrik und f) des Herstellens eines Softwarerahmens zu managen, der konfiguriert ist, um es einem Benutzer zu gestatten, die Schritte a)–e) auszuführen.
  30. System nach Anspruch 23, worin der Softwarerahmen in der Lage ist, eine oder mehrere von: – einer Konfigurations-Managementkomponenten (CMC, 410); – einer Visuell-/Arbeitsablauf-Komponente (VWC, 412); – einer Daten-Managementkomponente (DMC, 414); – einer Ereignis-Monitorkomponenten (EVMC, 416); – einer GUI-Konsolenkomponenten (ECC, 418); – einer Sicherheitskomponenten (SC, 419); – einer Ausrüstungmanagement-Komponenten (EMC, 420); – einer Managementkomponenten für in Ausführung befindliche Arbeiten (WMC, 422); – einer Absende- und Zeitplanungs-Komponenten (DSC, 424); – einer Qualitätsmanagement-Komponenten (QMC, 426); – einer Werkzeugintegrations-Komponenten (TIC, 428); und – einer Gateway-Komponenten (429) zu umfassen.
DE60030369T 1999-07-29 2000-07-19 Rechner integrierte Fertigungstechniken Expired - Lifetime DE60030369T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US363966 1999-07-29
US09/363,966 US7069101B1 (en) 1999-07-29 1999-07-29 Computer integrated manufacturing techniques

Publications (2)

Publication Number Publication Date
DE60030369D1 DE60030369D1 (de) 2006-10-12
DE60030369T2 true DE60030369T2 (de) 2007-08-30

Family

ID=23432479

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60030369T Expired - Lifetime DE60030369T2 (de) 1999-07-29 2000-07-19 Rechner integrierte Fertigungstechniken

Country Status (7)

Country Link
US (2) US7069101B1 (de)
EP (1) EP1072967B1 (de)
JP (1) JP2001143981A (de)
KR (1) KR100779436B1 (de)
DE (1) DE60030369T2 (de)
SG (1) SG85207A1 (de)
TW (1) TW514771B (de)

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US7403984B2 (en) * 2000-02-01 2008-07-22 Asyst Technologies, Inc. Automated tool management in a multi-protocol environment
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US8028049B1 (en) * 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US7873428B2 (en) * 2005-04-15 2011-01-18 PEER Intellectual Property, Inc. Automated job management
CA2353238C (en) 2000-07-21 2013-10-08 Ricoh Company Ltd. Component management system and method
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
EP1328890A2 (de) * 2000-10-20 2003-07-23 Siemens Aktiengesellschaft System und verfahren zum verwalten von softwareapplikationen, insbesondere mes-applikationen
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7487182B2 (en) * 2001-01-23 2009-02-03 Conformia Software, Inc. Systems and methods for managing the development and manufacturing of a drug
US7801777B2 (en) * 2001-01-23 2010-09-21 Oracle International Corporation System and method for managing the development and manufacturing of a beverage
US20020165806A1 (en) * 2001-01-23 2002-11-07 Kataria Anjali Rani System and method for managing a regulated industry
US6801817B1 (en) * 2001-02-20 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for integrating multiple process controllers
US6782343B2 (en) * 2001-02-28 2004-08-24 Asm International N.V. Resource consumption calculator
US20020152046A1 (en) * 2001-04-13 2002-10-17 Velichko Sergey A. Concurrent control of semiconductor parametric testing
US6999164B2 (en) * 2001-04-26 2006-02-14 Tokyo Electron Limited Measurement system cluster
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7031783B2 (en) * 2001-06-29 2006-04-18 Agilent Technologies, Inc. Virtualized generic equipment model data and control router for factory automation
US7756963B2 (en) * 2001-07-05 2010-07-13 PEER Intellectual Property, Inc. Automated tool management in a multi-protocol environment
US6970758B1 (en) * 2001-07-12 2005-11-29 Advanced Micro Devices, Inc. System and software for data collection and process control in semiconductor manufacturing and method thereof
DE10161064A1 (de) * 2001-12-12 2003-07-03 Siemens Ag System und Verfahren zur Kommunikation zwischen Softwareapplikationen, insbesondere MES-Applikationen
DE10161111A1 (de) * 2001-12-12 2003-07-03 Siemens Ag System und Verfahren zur Projektierung von Transformationen von Objektbäumen
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
WO2003089995A2 (en) * 2002-04-15 2003-10-30 Invensys Systems, Inc. Methods and apparatus for process, factory-floor, environmental, computer aided manufacturing-based or other control system with real-time data distribution
JP2003308366A (ja) * 2002-04-15 2003-10-31 Mitsubishi Electric Corp 製造管理方法
US7006880B2 (en) * 2002-04-19 2006-02-28 Phred, Llc Method for controlling a device with a control system
WO2003092044A2 (en) * 2002-04-23 2003-11-06 Tokyo Electron Limited Method and apparatus for simplified system configuration
US7162386B2 (en) 2002-04-25 2007-01-09 Micron Technology, Inc. Dynamically adaptable semiconductor parametric testing
US6907305B2 (en) * 2002-04-30 2005-06-14 Advanced Micro Devices, Inc. Agent reactive scheduling in an automated manufacturing environment
US6701199B1 (en) * 2002-08-22 2004-03-02 Chartered Semiconductor Manufactoring Ltd. Methodology to obtain integrated process results prior to process tools being installed
US7234132B2 (en) 2002-08-29 2007-06-19 International Business Machines Corporation Application integration model for dynamic software component assembly within an application at runtime
US6957113B1 (en) * 2002-09-06 2005-10-18 National Semiconductor Corporation Systems for allocating multi-function resources in a process system and methods of operating the same
US20040059616A1 (en) * 2002-09-20 2004-03-25 Chih-Kuang Chang System and method for managing measurement work flow
CN1720490B (zh) 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US7010451B2 (en) * 2003-04-17 2006-03-07 Micron Technology, Inc. Dynamic creation and modification of wafer test maps during wafer testing
JP4732726B2 (ja) * 2003-09-09 2011-07-27 セイコーインスツル株式会社 半導体装置の製造方法
US20050086120A1 (en) * 2003-10-16 2005-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of managing subcontracting for backend outsourcing business
GB0406663D0 (en) * 2004-03-24 2004-04-28 Cavendish Kinetics Ltd Information management and tracking system (IMTS)
US7127314B2 (en) 2004-03-25 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication monitoring system
US20070150511A1 (en) * 2004-04-16 2007-06-28 Ruben Laguna-Macias Method and apparatus for handling user's attributes sharing between service providers
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7571078B2 (en) * 2004-05-20 2009-08-04 Sap Ag Time dependent process parameters for integrated process and product engineering
US7603262B2 (en) * 2004-05-20 2009-10-13 Sap Ag Time dependent process parameters and engineering change number conflict report
GB0414336D0 (en) * 2004-06-28 2004-07-28 Mood Internat Ltd Method and apparatus for managing and synchronising variant business structures
US8321545B2 (en) 2004-07-15 2012-11-27 Symbol Technologies, Inc. Service oriented platform architecture for a wireless network
US8108470B2 (en) * 2004-07-22 2012-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Message management system and method
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7206652B2 (en) 2004-08-20 2007-04-17 International Business Machines Corporation Method and system for intelligent automated reticle management
US7359768B2 (en) 2004-10-29 2008-04-15 International Business Machines Corporation Route input system
US7305278B2 (en) * 2004-11-15 2007-12-04 International Business Machines Corporation Enterprise factory control method and system
US20060107898A1 (en) * 2004-11-19 2006-05-25 Blomberg Tom E Method and apparatus for measuring consumption of reactants
AT501642A1 (de) * 2005-03-25 2006-10-15 Ams Engineering Sticht Ges M B Verfahren zur steuerung einer fertigungsanlage
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
US20060271223A1 (en) * 2005-05-27 2006-11-30 International Business Machines Corporation Method and system for integrating equipment integration software, equipment events, mes and rules databases
US20070033180A1 (en) * 2005-08-05 2007-02-08 Mitsubishi Electric Corporation Apparatus and method for searching for software units for use in the manufacturing industry
US7908164B1 (en) * 2005-08-09 2011-03-15 SignalDemand, Inc. Spot market profit optimization system
JP4957226B2 (ja) * 2005-12-15 2012-06-20 富士通セミコンダクター株式会社 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ
DE102006001257A1 (de) * 2005-12-30 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Automatisiertes Zustandabschätzungssystem für Cluster-Anlagen und Verfahren zum Betreiben des Systems
DE102006004408B4 (de) * 2006-01-31 2010-03-18 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
US8266095B2 (en) 2006-06-19 2012-09-11 Hitachi Kokusai Electric, Inc. Substrate processing system and operation inspecting method
US7801689B2 (en) * 2006-07-17 2010-09-21 Oracle International Corporation Systems and methods for tracking the composition of distilled spirits in production and storage
JP2008078630A (ja) * 2006-08-24 2008-04-03 Hitachi Kokusai Electric Inc 基板処理システム
JP5045042B2 (ja) * 2006-09-19 2012-10-10 富士通株式会社 業務フロー編集プログラム、業務フロー編集装置および業務フロー編集方法
US8078296B2 (en) * 2006-09-29 2011-12-13 Rockwell Automation Technologies, Inc. Dynamic procedure selection
US20080082577A1 (en) * 2006-09-29 2008-04-03 Rockwell Automation Technologies, Inc. Module classification and searching for industrial control systems
US9217998B2 (en) * 2006-09-29 2015-12-22 Rockwell Automation Technologies, Inc. Management and development of an industrial environment
US8818757B2 (en) * 2008-09-30 2014-08-26 Rockwell Automation Technologies, Inc. Modular object and host matching
US8265775B2 (en) * 2008-09-30 2012-09-11 Rockwell Automation Technologies, Inc. Modular object publication and discovery
US9261877B2 (en) * 2006-09-29 2016-02-16 Rockwell Automation Technologies, Inc. Multiple machine interface
US7912560B2 (en) * 2006-09-29 2011-03-22 Rockwell Automation Technologies, Inc. Module and controller operation for industrial control systems
US8041435B2 (en) * 2008-09-30 2011-10-18 Rockwell Automation Technologies, Inc. Modular object dynamic hosting
US8732658B2 (en) * 2006-09-29 2014-05-20 Rockwell Automation Technologies, Inc. Layered interface in an industrial environment
US7856279B2 (en) * 2006-09-29 2010-12-21 Rockwell Automation Technologies, Inc. Module structure and use for industrial control systems
US7835805B2 (en) * 2006-09-29 2010-11-16 Rockwell Automation Technologies, Inc. HMI views of modules for industrial control systems
US9058032B2 (en) * 2006-09-29 2015-06-16 Rockwell Automation Technologies, Inc. Hosting requirements for services
US8776092B2 (en) 2006-09-29 2014-07-08 Rockwell Automation Technologies, Inc. Multiple interface support
WO2008049133A2 (en) * 2006-10-20 2008-04-24 Fei Company Method for creating s/tem sample and sample structure
US8357913B2 (en) 2006-10-20 2013-01-22 Fei Company Method and apparatus for sample extraction and handling
US7610177B2 (en) 2006-10-27 2009-10-27 Hewlett-Packard Development Company, L.P. Manufacturing execution system, equipment interface and method of operating a manufacturing execution system
TWI323016B (en) * 2006-12-25 2010-04-01 Ind Tech Res Inst Real-time fault detection and classification system in use with a semiconductor fabrication process
US8738410B2 (en) * 2007-05-31 2014-05-27 The Boeing Company Methods and systems for managing electronic work instructions for manufacture of product
US20080301012A1 (en) * 2007-05-31 2008-12-04 Cogswell Thomas A Methods and systems for distributing computer modeled product design and manufacture data to peripheral systems
WO2009028537A1 (ja) * 2007-08-29 2009-03-05 Tokyo Electron Limited 製造装置、情報処理方法、及びプログラム
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
US20090157216A1 (en) * 2007-12-14 2009-06-18 Chandrashekar Krishnaswamy Automated scheduling of test wafer builds in a semiconductor manufacturing process flow
US8555206B2 (en) * 2007-12-21 2013-10-08 Fisher-Rosemount Systems, Inc. Methods and apparatus to present recipe progress status information
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US20100057507A1 (en) * 2008-08-29 2010-03-04 International Business Machines Corporation Real-Time Manufacturing Routing Optimization Using Content and Quality Level
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US9335761B2 (en) * 2008-09-30 2016-05-10 Rockwell Automation Technologies, Inc. Procedure classification for industrial automation
US8151814B2 (en) * 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
TWI394089B (zh) * 2009-08-11 2013-04-21 Univ Nat Cheng Kung 虛擬生產管制系統與方法及其電腦程式產品
US8429671B2 (en) * 2009-10-21 2013-04-23 Exxonmobil Upstream Research Company Integrated workflow builder for disparate computer programs
US9014827B2 (en) * 2010-01-14 2015-04-21 International Business Machines Corporation Dynamically generating a manufacturing production work flow with selectable sampling strategies
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
KR101522478B1 (ko) * 2010-09-28 2015-05-21 인터내셔널 비지네스 머신즈 코포레이션 복수의 요소를 그룹화하는 방법, 프로그램 및 장치
US20120095585A1 (en) * 2010-10-15 2012-04-19 Invensys Systems Inc. System and Method for Workflow Integration
US8745634B2 (en) 2010-10-15 2014-06-03 Invensys Systems, Inc. System and method for integrated workflow scaling
US9354630B2 (en) 2011-05-19 2016-05-31 Universal Laser Systems, Inc. Flexible laser manufacturing systems and associated methods of use and manufacture
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US8713517B2 (en) * 2011-07-21 2014-04-29 Lam Research Corporation Data architecture and user interface for plasma processing related software applications
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9551983B2 (en) * 2011-11-15 2017-01-24 Rockwell Automation Technologies, Inc. Activity set management in a Manufacturing Execution System
US9953280B2 (en) 2011-11-15 2018-04-24 Rockwell Automation Technologies, Inc. Industry-specific workflows in a manufacturing execution system with premier integration
US9588503B2 (en) 2011-11-15 2017-03-07 Rockwell Automation Technologies, Inc. Routing of enterprise resource planning messages
EP2610697B1 (de) 2011-12-28 2018-04-25 Yokogawa Electric Corporation System und Verfahren zur Verwaltung des Lebenszyklus von Losen in Produktionssteuerungssystemen in Echtzeit
EP2881903A1 (de) * 2013-12-03 2015-06-10 Siemens Aktiengesellschaft Verwaltung von Entwurfsaktualisierungen in einem Produktionsausführungssystem
US20140173554A1 (en) * 2014-02-24 2014-06-19 Arunav Gupta Platform and a method for development of a software application
WO2015131961A1 (en) 2014-03-07 2015-09-11 Systema Systementwicklung Dip.-Inf. Manfred Austen Gmbh Real-time information systems and methodology based on continuous homomorphic processing in linear information spaces
CN104281088B (zh) * 2014-05-27 2017-07-28 南京钢铁股份有限公司 一种热轧板带轧机的二级系统过程控制方法
US10372834B2 (en) 2016-01-15 2019-08-06 DISCUS Software Company Creating and using an integrated technical data package
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10299560B1 (en) 2017-11-10 2019-05-28 Follicle, LLC Battery operated hair dryer
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
CN110297577A (zh) * 2019-05-07 2019-10-01 惠科股份有限公司 画面显示控制方法
DE102019209110A1 (de) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie
US11803849B1 (en) 2020-07-30 2023-10-31 Mark Lawrence Method and apparatus for decentralized micro businesses
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US17256A (en) * 1857-05-12 Portable barrack
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (de) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (de) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrielle Verarbeitungs- und Herstellungsverfahren
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
EP0553285B1 (de) 1990-10-16 2000-03-01 Consilium, Inc. Objektorientierte architektur für fabrikverwaltung
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
EP0524317A4 (en) 1991-02-08 1995-02-15 Tokyo Shibaura Electric Co Model forecasting controller
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) * 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
US5757259A (en) * 1994-07-28 1998-05-26 Caterpillar Inc. Anti-rotation device for joining a shell and encapsulated terminal/coil subassembly
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (de) 1994-10-06 1996-12-27 Applied Materials Inc Flächenwiderstandsmessung
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
WO1997036164A1 (en) * 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) * 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5910846A (en) * 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US6064759A (en) * 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
JPH10329015A (ja) * 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
TW436369B (en) * 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (de) * 1997-12-30 1999-07-28 International Business Machines Corporation Methode und System zur Halbleiterscheiben interaktvien in-Situ Überwachung
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
US5985497A (en) * 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
EP1065567A3 (de) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrierte Kontrolle einer kritischen Dimension
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6287879B1 (en) * 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
KR100366630B1 (ko) * 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Also Published As

Publication number Publication date
DE60030369D1 (de) 2006-10-12
EP1072967B1 (de) 2006-08-30
JP2001143981A (ja) 2001-05-25
TW514771B (en) 2002-12-21
KR100779436B1 (ko) 2007-11-26
EP1072967A3 (de) 2001-11-21
KR20010030038A (ko) 2001-04-16
US7069101B1 (en) 2006-06-27
US7174230B2 (en) 2007-02-06
US20020156548A1 (en) 2002-10-24
EP1072967A2 (de) 2001-01-31
SG85207A1 (en) 2003-11-27

Similar Documents

Publication Publication Date Title
DE60030369T2 (de) Rechner integrierte Fertigungstechniken
DE60023395T2 (de) Verfahren zur Bearbeitung von Halbleitern
US9696698B2 (en) Method and system for handling batch production within ANSI/ISA/95 production scheduling extended with batch production parameter historian
DE112006003953T5 (de) Ein Lebenszyklusmanagementsystem für intelligente elektronische Geräte
DE102005008517A1 (de) Verfahren und System zum Integrieren von Alarmen in ein Prozeßsteuersystem
DE102004051179A1 (de) Einstellungsvorrichtung für ein Steuerungssystem, Verfahren zum Einstellen eines Steuerungssystems und Einstellungsprogramm
DE102012104305A1 (de) Software-Aussperrkoordination zwischen einem Prozessregelungssystem und einem Anlagenverwaltungssystem
DE102011001460A1 (de) Verfahren und Gerät für eine datengesteuerte Schnittstelle basierend auf Relationen zwischen Prozesssteuerungsetiketten
DE10393080T5 (de) Serviceportal
DE10102205A1 (de) Programmierwerkzeug zum Konfigurieren und Verwalten eines Prozeßsteuerungsnetzes einschließlich der Nutzung von räumlichen Informationen
CN103823434A (zh) 配方指令步骤及来自外部逻辑的配方输入
WO2017129604A1 (de) Modul für eine technische anlage und system und verfahren zur durchführung eines technischen prozesses
DE102020116200A1 (de) Verbessertes arbeitsauftrags-generierungs- und -verfolgungssystem
EP2747001A1 (de) Verfahren und System zum Gewähren von Zugang auf MES-Funktionen
DE10297636B4 (de) Verfahren zum Steuern von Prozessanlagen in einer Halbleiterfertigungsfabrik ####
CN1307496C (zh) 集成多工艺控制器的方法和装置
Sekkat et al. Developing integrated performance measurement system using component based approach
DE102019117196A1 (de) Verfahren und vorrichtungen zum konfigurieren von zugriff auf signale von mehrvariablen-feldgeräten
DE102021111244A1 (de) Ferneinsatz und -inbetriebnahme von arbeitsstationen innerhalb eines verteilten steuersystems
DE102011079756A1 (de) Einrichtung zur Feldgeräteintegration, die angepasst wurde, um eine Internet-und/oder Intranetverbindung zu einem Datenbanksystem herzustellen
DE102006004408B4 (de) Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
DE60124564T2 (de) Teileversendung zur verbindung von dienstanforderer einer fertigungsanlage mit dienstlieferanten
Calvo et al. Using object oriented technologies in factory automation
Qiu E-manufacturing: the keystone of a plant-wide real time information system
Ting A Cooperative Shop-Floor Control Model for Computer-Integrated Manufacturing

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING