DE60111411T2 - Verfahren und gerät zur integrierten prozesssteuerungsstruktur in werkzeugsystemen - Google Patents

Verfahren und gerät zur integrierten prozesssteuerungsstruktur in werkzeugsystemen Download PDF

Info

Publication number
DE60111411T2
DE60111411T2 DE60111411T DE60111411T DE60111411T2 DE 60111411 T2 DE60111411 T2 DE 60111411T2 DE 60111411 T DE60111411 T DE 60111411T DE 60111411 T DE60111411 T DE 60111411T DE 60111411 T2 DE60111411 T2 DE 60111411T2
Authority
DE
Germany
Prior art keywords
process control
feedback
feedforward
data
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60111411T
Other languages
English (en)
Other versions
DE60111411D1 (de
Inventor
J. Anthony TOPRAC
Jr. Elfido Coss
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE60111411D1 publication Critical patent/DE60111411D1/de
Application granted granted Critical
Publication of DE60111411T2 publication Critical patent/DE60111411T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Description

  • TECHNISCHES GEBIET
  • Diese Erfindung betrifft im Allgemeinen die Halbleiterherstellung und betrifft insbesondere ein Verfahren und eine Vorrichtung zur Anwendung einer eingebetteten Prozesssteuerung in Fertigungsanlagensystemen.
  • HINTERGRUND DER ERFINDUNG
  • WO-A-01/97279 offenbart ein Verfahren und eine Vorrichtung zur Verwendung der Streumessung, um eine Rückkopplungs- und Vorwärtskopplungssteuerung von Prozessanlagen durchzuführen. Das Verfahren wird mit einer Vorrichtung ausgeführt, die von den Prozessanlagen ausgelagert ist und bestimmt Rückkopplungs- und Vorwärtskopplungsmodifizierungen an dem Prozess, der in den Prozessanlagen auf der Grundlage von Streuungsmessungen ausgeführt wird.
  • WO-A-02/09170 offenbart ein Verfahren und eine Vorrichtung zum Ausführen einer Steuerung für die endgültige kritische Abmessung. Wiederum wird das Verfahren in einer Vorrichtung ausgeführt, die von den Prozessanlagen ausgelagert ist, und wobei modifizierte Steuereingangssignale erzeugt werden, um die Arbeitsweise der Prozessanlagen zu steuern.
  • WO-A-00/72090 offenbart ein Verfahren und ein System zum Vorhersagen und Korrigieren von geometrischen Fehlern in einem Lithographieprozess. Insbesondere wird eine Technik beschrieben, um eine Lithographiemaske zu beschreiben, wobei ein Datenfehlerkorrektursystem verwendet wird, das Mustermodifizierungs- und Datenschreibhardwareeinrichtungen verwendet. Die Datenstrukturkorrekturen werden in Form von Korrekturregeln zu einer Datenwegschnittstelle gesendet, die auf eingebetteten Computerbänken betrieben wird.
  • Die rasante technologische Entwicklung in der Fertigungsindustrie führte zu vielen neuen und innovativen Herstellungsprozessen. In heutigen Fertigungsverfahren insbesondere in Halbleiterfertigungsprozessen sind eine große Anzahl von wichtigen Schritten auszuführen. Die Prozessschritte sind im Allgemeinen essenziell und erfordern daher eine große Anzahl von Eingaben, die im Allgemeinen fein eingestellt werden, um eine korrekte Steuerung der Herstellung beizubehalten.
  • Die Herstellung von Halbleiterbauelementen erfordert eine Reihe diskreter Prozessschritte, um ein Halbleiterelement, das im Gehäuse eingebaut ist, aus dem rohen Halbleitermaterial herzustellen. Die diversen Prozesse, beginnend von dem anfänglichen Wachstum des Halbleitermaterials, dem Schneiden des Halbleiterkristalls in einzelne Scheiben, den Fertigungsphasen (Ätzen, Dotieren, Ionenimplantieren und dergleichen) bis zum Einbringen in ein Gehäuse und dem abschließenden Testen des fertiggestellten Bauelements sind so verschieden voneinander und so spezialisiert, dass die Prozesse in unterschiedlichen Fertigungsstätten ausgeführt werden, die unterschiedliche Steuerungsschemata aufweisen.
  • Unter den Faktoren, die die Fertigung von Halbleiterbauelementen beeinflussen, sind Variationen von Scheibe zu Scheibe, die durch Fertigungsprobleme hervorgerufen werden, zu denen Anlaufeffekte von Fertigungsmaschinenanlagen, Speichereffekte von Fertigungsprozesskammern und Effekte von am Anfang bearbeiteten Scheiben gehören. Einer der Prozessschritte, die von derartigen Faktoren nachteilig beeinflusst werden, ist der Photolithographieüberlagerungsprozess. Das Überlagern ist einer der wichtigen Schritte im Photolithographiebereich der Halbleiterfertigung. Die Steuerung der Überlagerung beinhaltet das Messen der Fehljustierung zwischen zwei aufeinanderfolgenden strukturierten Schichten auf der Oberfläche eines Halbleiterbauelements. Im Allgemeinen ist die Minimierung von Fehljustierungsfehlern wichtig, um sicherzustellen, dass die vielen Schichten der Halbleiterbauelemente miteinander verbunden und funktionsfähig sind. In dem Maße, wie die Technologie kleinere kritische Abmessungen für Halbleiterbauelemente ermöglicht, steigt auch die Notwendigkeit für das Verringern von Fehljustierungsfehlern deutlich an.
  • Im Allgemeinen analysieren Photolithographieingenieure gegenwärtig die Überlagerungsfehler einige Male im Monat. Die Ergebnisse aus dieser Analyse der Überlagerungsfehler werden verwendet, um an Belichtungsanlageneinstellungen manuell Aktualisierungen vorzunehmen. Zu einigen der Probleme, die mit den aktuellen Verfahren verknüpft sind, gehört die Tatsache, dass die Belichtungsanlageneinstellungen lediglich einige Male im Monat aktualisiert werden. Ferner werden gegenwärtig die Belichtungsanlagenaktualisierungen manuell ausgeführt.
  • Im Allgemeinen wird eine Reihe von Prozessschritten an einem Los aus Scheiben in einer Halbleiterfertigungsanlage ausgeführt, die als eine Belichtungsanlage oder ein Einzelbildbelichter bezeichnet wird. Die Fertigungsanlage kommuniziert mit einer Fertigungsplattform oder einem Netzwerk aus Prozessmodulen. Die Fertigungsanlage ist im Allgemeinen mit einer Anlagenschnittstelle verbunden. Die Anlagenschnittstelle ist mit einer Maschinenschnittstelle verbunden, mit der der Einzelbildbelichter verbunden ist, um damit die Kommunikation zwischen dem Einzelbildbelichter und der Fertigungsplattform zu ermöglichen. Die Maschinenschnittstelle kann im Allgemeinen ein Teil eines fortschrittlichen Prozesssteuerungs- (APC) System sein. Das APC-System initiiert ein Steuerungsskript, das ein Softwareprogramm sein kann, das automatisch die zum Ausführen eines Fertigungsprozesses erforderlichen Daten abruft. Die Eingangsparameter, die den Fertigungsprozess steuern, werden periodisch in manueller Weise überwacht. Mit der Notwendigkeit für Fertigungsprozesse mit höherer Präzision werden auch verbesserte Verfahren erforderlich, um Eingangsparameter, die die Fertigungsprozesse steuern, in einer mehr automatisierten und zeitnäheren Weise zu aktualisieren. Des weiteren können Fertigungsfluktuationen von Scheibe zu Scheibe eine schwankende Qualität der Halbleiterbauelemente hervorrufen. Obwohl aktuelle Prozesssteuerungssysteme ein grobe Einstellung der Fertigungssteuerung ermöglichen, mangelt es der Industrie an effizienten Einrichtungen zum Ausführen einer Feineinstellung der Fertigungssteuerung. Die gegenwärtigen Steuerungssysteme sind im Wesentlichen für mehrere Fertigungsabschnitte verantwortlich. Diese Steuerungssysteme sind im Allgemeinen nicht in der Lage, Fertigungsprozesse auf eine grundlegendere Weise zu verfeinern.
  • Die vorliegende Erfindung zielt darauf ab, eines oder mehrere der zuvor genannten Probleme zu überwinden oder deren Wirkungen zumindest zu reduzieren.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • In einem Aspekt der vorliegenden Erfindung wird ein Verfahren zum Einrichten einer eingebetteten Prozesssteuerung in ein Fertigungsanlagensystem bereitgestellt. Es wird mindestens ein Halbleiterbauelement prozessiert. Es wird eine eingebettete Prozesssteuerungsprozedur in Reaktion auf das prozessierende Halbleiterbauelement ausgeführt. Es wird ein nachfolgender Prozess an einem Halbleiterbauelement ausgeführt in Reaktion auf die eingebettete Prozesssteuerungsprozedur.
  • In einem weiteren Aspekt der vorliegenden Erfindung wird eine Vorrichtung zum Einrichten einer eingebetteten Prozesssteuerung in ein Fertigungsanlagensystem bereitgestellt. Die Vorrichtung der vorliegenden Erfindung umfasst: ein Computersystem; und mindestens ein Fertigungsanlagensystem, das mit dem Computer verbunden ist, wobei das Fertigungsanlagensystem ein eingebettetes Prozesssteuerungssystem aufweist, das in der Lage ist, Befehle von dem Computersystem zu empfangen und einen Fertigungsprozess zu steuern, der von dem Fertigungsanlagensystem ausgeführt wird.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die Erfindung kann unter Bezugnahme auf die folgende Beschreibung in Verbindung mit den begleitenden Zeichnungen verstanden werden, in denen gleiche Bezugszeichen gleiche Elemente bezeichnen und in denen:
  • 1 eine Ausführungsform eines Fertigungsprozesssteuerungssystems zeigt;
  • 2 eine Ausführungsform einer Vorrichtung gemäß der vorliegenden Erfindung zeigt;
  • 3 eine detailliertere Darstellung einer Ausführungsform eines Fertigungsanlagensystems zeigt, das in 2 beschrieben ist;
  • 4 eine detailliertere Ansicht einer Ausführungsform einer eingebetteten Prozesssteuerung, die in 3 beschrieben ist, darstellt;
  • 5 eine Flussdiagrammdarstellung des Verfahrens gemäß der vorliegenden Erfindung zeigt;
  • 6 ein detaillierteres Flussdiagramm des Schritts zum Ausführen einer eingebetteten Prozesssteuerungsprozedur, wie sie in 5 beschrieben ist, zeigt; und
  • 7 eine Flussdiagrammdarstellung eines Verfahrens zum Modifizieren von Steuerungsparametern in Reaktion auf erkannte Fehler zeigt.
  • Obwohl die Erfindung diversen Modifizierungen und alternativen Formen unterliegen kann, sind dennoch spezielle Ausführungsformen beispielhaft in den Zeichnungen gezeigt und werden hierin detailliert beschrieben. Es sollte jedoch beachtet werden, dass die Beschreibung spezieller Ausführungsformen nicht beabsichtigt ist, um die Erfindung auf die speziellen offenbarten Formen einzuschränken, sondern die Erfindung soll vielmehr alle Modifizierungen, Äquivalente und Alternativen abdecken, die dem Grundgedanken und dem Schutzbereich der Erfindung entsprechen, wie sie durch die angefügten Patentansprüche definiert ist.
  • ART BZW. ARTEN ZUM AUSFÜHREN DER ERFINDUNG
  • Es werden nun anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben. Im Interesse der Klarheit werden nicht alle Merkmale einer tatsächlichen Implementierung in dieser Beschreibung dargelegt. Selbstverständlich ist zu beachten, dass in der Entwicklung einer derartigen eigentlichen Ausführungsform zahlreiche implementationsspezifische Entscheidungen getroffen werden müssen, um die spezielle Ziele der Entwickler zu erreichen, etwa die Kompatilität mit systembezogenen und geschäftsorientierten Rahmenbedingungen, die sich von einer Implementierung zu einer anderen unterscheiden können. Des weiteren ist zu beachten, dass eine derartige Entwicklung komplex und zeitaufwendig sein kann, aber dennoch eine Routinemaßnahme für den Fachmann im Besitze dieser Offenbarung darstellt.
  • Es gibt viele einzelne Prozesse, die bei der Halbleiterherstellung beteiligt sind. Halbleiterbauelemente werden durch mehrere Fertigungsprozessanlagen viele Male hindurchgeführt. Variationen von Scheibe zu Scheibe können zu einem Ausstoß von fluktuierenden Halbleiterbauelementen führen. Ein Prozess, der dadurch beeinflusst wird, ist der Photolithographieüberlagerungsprozess. Der Überlagerungsprozess ist ein wichtiger Schritt bei der Halbleiterfertigung. Insbesondere beinhaltet der Überlagerungsprozess das Messen von Fehljustierungsfehlern zwischen Halbleiterschichten während der Fertigungsprozesse. Verbesserungen in dem Überlagerungsprozess können zu wesentlichen Verbesserungen im Hinblick auf die Qualität und Effizienz in Halbleiterfertigungsprozessen führen. Die vorliegende Erfindung stellt ein Verfahren zum Einrichten einer automatisierten Fehlerkorrektur für Fluktuationen von Scheibe zu Scheibe bereit.
  • Halbleiterbauelemente werden in einer Fertigungsumgebung verarbeitet, in der eine Reihe von Eingangssteuerungsparameter verwendet werden. In 1 ist eine Ausführungsform der vorliegenden Erfindung dargestellt. In einer Ausführungsform werden Halbleiterprodukte 105, etwa Halbleiterscheiben, in Prozessanlagen 110, 112 unter Anwendung mehrerer Steuerungseingangssignale auf einer Leitung 120 bearbeitet. In einer Ausführungsform werden die Steuerungseingangssignale auf der Leitung 120 zu den Prozessanlagen 110, 112 von einem Computersystem 130 über Maschinenschnittstellen 115, 117 gesendet. In einer Ausführungsform sind die erste und die zweite Maschinenschnittstelle 115, 117 außerhalb der Prozessanlagen 110, 112 angeordnet. In einer alternativen Ausführungsform sind die erste und die zweite Maschinenschnittstelle 115, 117 in den Prozessanlagen 110, 112 vorgesehen.
  • In einer Ausführungsform sendet das Computersystem 130 Steuerungseingangssignale auf einer Leitung 120 zu der ersten und der zweiten Maschinenschnittstelle 115, 117. Das Computersystem 130 verwendet ein Fertigungsmodell 140, um die Steuerungseingangssignale auf der Leitung 120 zu erzeugen. In einer Ausführungsform enthält das Fertigungsmodell ein Rezept, das mehrere Steuerungseingangsparameter bestimmt, die auf der Leitung 120 gesendet werden.
  • In einer Ausführungsform definiert das Fertigungsmodell 140 ein Prozessskript und eine Eingangssteuerung, die einen speziellen Fertigungsprozess ergeben. Die Steuerungseingangssignale auf einer Leitung 120, die für die Prozessanlage A 110 gedacht sind, werden von der ersten Maschinenschnittstelle 115 empfangen und verarbeitet. Die Steuerungseingangssignale auf einer Leitung 120, die für die Prozessanlage B 112 gedacht sind, werden von der zweiten Maschinenschnittstelle 117 empfangen und verarbeitet. Beispiele für die Prozessanlagen 110, 112, die in Halbleiterfertigungsprozessen verwendet werden, sind Einzelbildbelichter, Abtaster, Einzelbildbelichtungsanlagen mit Abtastung und Ätzprozessanlagen. In einer Ausführungsform sind die Prozessanlage A 110 und die Prozessanlage B 112 Photolithographieprozessanlagen, etwa Einzelbildbelichter.
  • Eine oder mehrere der Halbleiterscheiben, die von den Prozessanlagen 110, 112 bearbeitet werden, werden im Allgemeinen zu einer Messanlage 150 transportiert, um Messdaten zu erzeugen. In einer Ausführungsform ist die Messanlage 150 eine Anlage zum Erhalten von Streumessdaten oder ein Streumesser. Die Daten von der Messanlage 150 werden von der Messdatenverarbeitungseinheit 145 verarbeitet und verwaltet. In einer Ausführungsform korreliert die Messdatenverarbeitungseinheit 145 die Messdaten mit einem speziellen Fertigungslos aus prozessierten Halbleiterscheiben. In einer Ausführungsform ist die Messdatenverarbeitungseinheit 145 in dem Computersystem 130 integriert. In einer Ausführungsform ist die Messdatenverarbeitungseinheit 145 ein Computersoftwareprogramm, das in dem Computersystem 130 eingebettet ist, wobei das Computersystem 130 in der APC-Plattform integriert ist.
  • Die verarbeiteten Messdaten aus der Messdatenverarbeitungseinheit 145, die in einer Ausführungsform Streumessdaten sind, werden zu einer Rückkopplungs-/Vorwärtskopplungssteuerung 160 auf einer Leitung 155 übertragen. In einer Ausführungsform verarbeitet die Rückkopplungs-/Vorwärtskopplungssteuerung 160 die Streumessdaten und erzeugt Rückkopplungs- und Vorwärtskopplungseinstelldaten, die dem Fachmann vertraut sind. Die Rückkopplungs- und Vorwärtskopplungseinstelldaten, die nachfolgend beschrieben sind, werden zu dem Computersystem 130 über eine Leitung 170 gesendet. Das Computersystem 130 verwendet die Rückkopplungs- und Vorwärtskopplungseinstelldaten, um Modifizierungen an dem Fertigungsmodell 140 vorzunehmen, das geeignete Änderungen in den Steuerungseingangsparametern auf der Leitung 120 vornimmt. In einer Ausführungsform ist die Rückkopplungs-/Vorwärtskopplungssteuerung 160 in dem Computersystem 130 integriert. In einer Ausführungsform ist die Rückkopplungs-/Vorwärtskopplungssteuerung 160 ein Computersoftwareprogramm, das in dem Computersystem 130 eingebettet ist.
  • Zu den Grundlagen zum Modifizieren des Steuerungseingangssignals auf der Leitung 120 gehören Messungen, die an prozessierten Halbleiterscheiben durchgeführt werden, etwa Streumessungen. Die Messungen werden angewendet, um eine Rückkopplungsmodifizierung und eine Vorwärtskopplungsmodifizierung an den Steuerungseingangssignalen vorzunehmen. Im Allgemeinen wird die Rückkopplungsmodifizierung der Steuerungseingangssignale auf der Leitung 120 an Photolithographieprozessen vorgenommen, etwa Linienbreiteneinstellungen unter Verwendung von Belichtungsdosen und Linienprofileinstellungen unter Verwendung von Belichtungsfocusmodifizierungen. Rückkopplungsmodifizierungen an dem Steuerungseingangssignal auf der Leitung 120 können auch an Ätzprozessen ausgeführt werden, etwa Einstellungen an der Form der Ätzlinien unter Verwendung von Ätzrezeptmodifizierungen.
  • Vorwartskopplungsmodifizierungen der Steuerungseingangssignale auf der Leitung 120 können verwendet werden, um Korrekturen an nachfolgenden Prozessen an den Halbleiterscheiben auszuführen. Vorwärtskopplungsmodifizierungen der Steuerungseingangssignale auf der Leitung 120 können in Abscheideprozessen für Abstandselemente verwendet werden, wobei Streumessverfahren angewendet werden können, um effektive Linienbreiten mit hoher Genauigkeit auf Halbleiterscheiben einzustellen. In einer Ausführungsform wird in einem Abscheideprozess für Abstandselemente die Streumessung verwendet, um die Abscheidezeit einzustellen, die die Breite des Abstandselements beeinflusst, die wiederum die effektive Linienbreite einer Leitung auf einer Halbleiterscheibe beeinflusst. Des weiteren können Streumessungen angewendet werden, um die Ionenimplantationsparameter zu messen und um die Ionenimplantationsdosis für nachfolgende Ionenimplantationsprozesse einzustellen.
  • In Verbindung mit einem Fertigungsprozess, etwa einem Einzelbildbelichterprozess beinhalten die Steuerungseingangssignale auf der Leitung 120, die zum Betreiben der Prozessanlage 110 verwendet werden, ein X-Translationssignal, ein Y-Translationssignal, ein X-Ausdehnungssignal für den Scheibenmaßstab, ein Y-Ausdehnungssignal für den Scheibenmaßstab, ein Retikelvergrößerungssignal und ein Retikalverdrehungssignal. Im Allgemeinen beziehen sich Fehler, die mit dem Retikelvergrößerungssignal und dem Retikelverdrehungssignal in Beziehung stehen, auf einen einzelnen speziellen Belichtungsprozess auf der Oberfläche der Scheibe, die in der Belichtungsanlage bearbeitet wird. Eines der wesentlichen Merkmale, das durch die vorliegende Erfindung aufgezeigt wird, ist ein Verfahren zum Aktualisieren von Eingangssteuerungssignalen auf der Leitung 120 in Reaktion auf eine Analyse externer Variablen.
  • Wenn ein Prozessschritt in der Prozessanlage 110 ausgeführt wird, wird die Halbleiterscheibe, die in der Prozessanlage 110 bearbeitet wird, in einer Inspektionsstation untersucht, wie dies im Block 120 in 1 beschrieben ist. Eine derartige Inspektionsstation ist eine KLA-Inspektionsstation. Ein Datensatz, der aus dem Betrieb der Inspektionsstation hervorgeht, ist eine quantitative Messung des Betrages an Fehljustierung, der durch den vorhergehenden Belichtungsprozess verursacht wurde. In einer Ausführungsform bezieht sich der Betrag der Fehljustierung auf die Fehljustierung in dem Prozess, der zwischen zwei Schichten einer Halbleiterscheibe aufgetreten ist. In einer Ausführungsform kann der Betrag der Fehljustierung, der aufgetreten ist, den Steuerungseingangssignalen eines speziellen Belichtungsprozesses zugeschrieben werden. Die Steuerungseingangsgrößen beeinflussen im Wesentlichen die Genauigkeit der Prozessschritte, die von den Belichtungsanlagen an der Halbleiterscheibe ausgeführt werden. Die Steuerungseingangssignale beeinflussen die Retikelvergrößerung und die Position der Halbleiterscheibe, die gerade bearbeitet wird. Modifizierungen der Steuerungseingangsgrößen können verwendet werden, um das Ergebnis der in der Belichtungsanlage eingesetzten Prozessschritte zu verbessern. In einer Ausführungsform beruhen die Modifizierungen an den Steuerungseingangssignalen auf der Leitung 120 auf den Auswirkungen externer Variablen für die Halbleiterbauelemente, die gerade bearbeitet werden. Die vorliegende Erfindung stellt ein Verfahren und eine Vorrichtung zum Ausführen der zuvor beschriebenen Prozesssteuerung bereit, wobei das Prozesssteuerungssystem in einem Fertigungsanlagensystem eingebettet ist.
  • In 2 ist eine Vorrichtung gemäß der vorliegenden Erfindung dargestellt. Das Computersystem 130 steht mit einem ersten Fertigungsanlagensystem 210, einem zweiten Fertigungsanlagensystem 220 und einem N-ten Fertigungsanlagensystem 230 in Verbindung. In einer Ausführungsform sind individuelle Prozesssteuerungssysteme in jedem des ersten und bis N-ten Fertigungsanlagensystems 210, 220, 230 integriert oder eingebettet. Zum Zwecke der Klarheit seien hier die Details des ersten Fertigungssystems 210 beschrieben. Es sollte jedoch von dem Fachmann beachtet werden, dass das zweite bis N-te Fertigungssystem 220, 230 im Wesentlichen ähnlich zu dem ersten Fertigungssystem 210 ausgebildet ist. Das Einbetten eines Prozesssteuerungssystem ermöglicht eine feinjustierte Prozesssteuerung, etwa eine Fehlerkorrektur von Scheibe zu Scheibe.
  • 3 zeigt eine detailliertere Darstellung des ersten Fertigungsanlagensystems 210. Das erste Fertigungsanlagensystem 210 umfasst eine Maschinenschnittstelle 115, eine eingebettete Prozesssteuerung 310 und eine Fertigungsanlage 320. Das Computersystem 130 ist mit der Maschinenschnittstelle 115 und der eingebetteten Prozesssteuerung 310 verbunden. In einer Ausführungsform ist die eingebettete Prozesssteuerung 310 ausgebildet, Rückkopplungs-/Vorwärtskopplungsmodifizierungen während des Betriebs der Fertigungsanlage 320 auszuführen. Des weiteren ist die eingebettete Prozesssteuerung 310 ausgebildet, fein abgestimmte Justierungen an dem Betrieb der Fertigungsanlage 320 auf einer Scheibe-zu-Scheibe-Basis vorzunehmen. Anders ausgedrückt, die Steuerungsparametermodifizierung in der Fertigungsanlage 320 kann zwischen der Bearbeitung einzelner Halbleiterscheiben ausgeführt werden, wodurch ein Fertigungslos an Halbleiterscheiben erzeugt wird, die eine hohe Qualität aufweisen.
  • In einer Ausführungsform ist die eingebettete Prozesssteuerung 310 ausgebildet, Modifizierungen beim Betrieb der Fertigungsanlage 320 über die Maschinenschnittstelle 115 auszuführen. Des weiteren kann das Computersystem 130 verwendet werden, um den Betrieb der Fertigungsanlage 320 über die eingebettete Prozesssteuerung 320 oder direkt über die Maschinenschnittstelle 115 zu beeinflussen. Das erste Fertigungsanlagensystem 210 ist im Allgemeinen ausgebildet, um unabhängig eine Prozesssteuerung, ähnlich zu dem APC-System, in dem ersten Fertigungsanlagensystem 210 auszuführen. Das zweite bis N-te Fertigungsanlagensystem 220, 230 arbeiten in einer Weise, die ähnlich zu der Betriebsweise des ersten Fertigungsanlagensystems 210 ist.
  • In 4 ist eine detailliertere Darstellung einer Ausführungsform der eingebetteten Prozesssteuerung 310 dargestellt. In einer Ausführungsform umfasst die eingebettete Prozesssteuerung 310 eine Messdatensammeleinheit 410, eine Messdatenverarbeitungseinheit 145, eine Rückkopplungs-/Vorwärtskopplungssteuerung 160 und ein Fertigungsmodell 140. In einer Ausführungsform ist die Messdatensammeleinheit 410 eine Messanlage 150, die ausgebildet ist, Messdaten auf Scheibe-zu-Scheibe-Basis zu sammeln. Die Daten von der Messdatensammeleinheit 410 werden von der Messdatenverarbeitungseinheit 145 verarbeitet und verwaltet. In einer Ausführungsform ist die Messdatenverarbeitungseinheit 145 ausgebildet, die Messdaten mit einer speziellen Halbleiterscheibe, die gerade verarbeitet wird, in Beziehung zu setzen.
  • Die Messdatensammeleinheit 410 steht mit der Rückkopplungs-/Vorwärtskopplungssteuerung 160 in Verbindung. Die verarbeiteten Messdaten von der Messdatenverarbeitungseinheit 145 werden an eine Rückkopplungs-/Vorwärtskopplungssteuerung 160 gesendet. In einer Ausführungsform verarbeitet die Rückkopplungs-/Vorwärtskopplungssteuerung 160 die verarbeiteten Messdaten und erzeugt Rückkopplungs- und Vorwärtskopplungseinstelldaten, die dem Fachmann vertraut sind. Die eingebettete Prozesssteuerung 310 verwendet die Rückkopplungs- und Vorwärtskopplungseinstelldaten, um Modifizierungen an dem Fertigungsmodell 140 vorzunehmen, die geeignete Änderungen in den Steuerungseingangsparametern bewirken, die das Betriebsverhalten der Fertigungsanlage 320 steuern.
  • Im Allgemeinen wird die Rückkopplungsmodifizierung der Steuerungseingangsparameter an Photolithographieprozessen ausgeführt, etwa Linienbreiteneinstellungen unter Verwendung der Belichtungsdosen und Linienprofileinstellungen unter Verwendung von Modifizierungen am Belichtungsfocus. Eine Rückkopplungsmodifizierung der Steuerungseingangsparameter kann auch an Ätzprozessen ausgeführt werden, etwa Einstellung der Form der geätzten Linie, wobei Ätzrezeptmodifizierungen verwendet werden. Die Vorwärtskopplungsmodifizierung der Steuerungseingangsparmeter kann angewendet werden, um Korrekturen in nachfolgenden Prozessen an den Halbleiterscheiben auszuführen. Die Vorwärtskopplungsmodifizierung der Steuerungseingangssignale auf der Leitung 120 kann in Abscheideprozessen für Abstandselemente verwendet werden, wobei Streumesstechniken eingesetzt werden können, um die effektiven Linienbreiten von Präzisionsformen auf Halbleiterscheiben einzustellen.
  • In einer Ausführungsform werden die Sammlung von Messdaten, das Bearbeiten der gesammelten Messdaten, die Erzeugung von Rückkopplungs- und Vorwärtskopplungsdaten und die Modifizierung von Steuerungseingangsparametern von der eingebetteten Prozesssteuerung 310 auf einer Scheibe-zu-Scheibe-Basis ausgeführt; jedoch können diese Prozesse auch auf einer Los-zu-Los-Basis ausgeführt werden. Als anschauliches Beispiel sei während eines Schichtabscheideprozesses für eine Halbleiterscheibe das Fertigungsmodell genannt, das ein Rezept definiert, das eine Abscheideschrittdauer von 10 Sekunden erfordert, um eine Dicke der Filmabscheidung von 180 Angstrom zu erreichen. Wenn nach dem Prozessieren einer Halbleiterscheibe gesammelte Daten anzeigen, dass eine Abscheideschrittdauer von 10 Sekunden eine Dicke der Schichtabscheidung von 105 Angstrom erzeugt, so kann die Rückkopplungs-/Vorwärtskopplungssteuerung 160 das Rezept in dem Fertigungsmodell 140 modifizieren, bevor die nächste Halbleiterscheibe bearbeitet wird. Das Rezept in dem Fertigungsmodell 140 kann so modifiziert werden, dass eine Abscheideschrittdauer von 9,8 Sekunden für die nächste Halbleiterscheibe, die bearbeitet wird, eingerichtet wird, um eine Schichtabscheidetechnik von 180 Angstrom zu erreichen. In einer Ausführungsform ist die eingebettete Prozesssteuerung 310 eine APC-Plattform.
  • 5 zeigt eine Flussdiagrammdarstellung einer Ausführungsform der Verfahren gemäß der vorliegenden Erfindung. Es wird mindestens eine Halbleiterscheibe durch das Fertigungsanlagensystem 210 bearbeitet, wie dies im Block 510 der 5 beschrieben ist. Nachdem mindestens eine Halbleiterscheibe prozessiert ist, wird eine eingebettete Prozesssteuerungsprozedur ausgeführt, wie dies im Block 510 in 5 beschrieben ist.
  • Eine detailliertere Flussdiagrammdarstellung der eingebetteten Prozesssteuerungsprozedur ist in 6 gezeigt.
  • Gemäß 6 werden Messdaten auf Scheibe-zu-Scheibe-Basis gesammelt, wie dies im Block 610 beschrieben ist. In einer Ausführungsform werden die Messdaten von der Messdatensammeleinheit 410 in der eingebetteten Prozesssteuerung 310 gesammelt. Sobald die Messdaten genommen sind, werden die Messdaten prozessiert und mit entsprechenden Halbleiterscheiben, die gerade bearbeitet werden, in Beziehung gesetzt, wie dies im Block 620 aus 6 beschrieben ist. In einer Ausführungsform wird das Bearbeiten der gesammelten Messdaten von der Messdatenverarbeitungseinheit 145 in der eingebetteten Prozesssteuerung 310 ausgeführt.
  • Die bearbeiteten Messdaten werden dann verwendet, um Rückkopplungs- und Vorwärtskopplungsdaten für das nachfolgende Bearbeiten von Halbleiterscheiben zu erzeugen, wie dies im Block 630 in 6 beschrieben ist. In einer Ausführungsform werden die Rückkopplungs- und Vorwärtskopplungsdaten von der Rückkopplungs-/Vorwärtskopplungssteuerung 160 in der eingebetteten Prozesssteuerung 310 erzeugt. Die Rückkopplungs-/Vorwärtskopplungsdaten werden bei der Steuerung des nachfolgenden Bearbeitens von Halbleiterscheiben angewendet, wie dies im Block 640 in 6 beschrieben ist. In einer Ausführungsform wird das Verwenden bzw. Einrichten der Rückkopplungs-/Vorwärtskopplungsdaten mittels des Fertigungsmodells ausgeführt, indem geeignete Modifizierungen zum Steuern von Eingangsparametern durchgeführt werden, die den Betrieb der Fertigungsanlage 320 steuern. In einer Ausführungsform wird die Modifizierung an den Steuerungseingangsparametern ausgeführt, indem Fertigungsfehler untersucht werden, die durch die Rückkopplungs- und Vorwärtskopplungsdaten definiert sind, wie dies in 7 dargestellt ist.
  • Gemäß 7 werden Fertigungsfehlerdaten, wie sie durch die Rückkopplungs- und Vorwärtskopplungsdaten definiert sind, gesammelt und analysiert, wie dies im Block 710 beschrieben ist. Die Fehlerdaten werden durch eines von mehreren Verfahren erhalten, wie dies dem Fachmann vertraut ist. Sobald die Fehlerdaten gewonnen sind, wird entschieden, ob die Fehlerdaten innerhalb des Totbereichs liegen, wie dies im Block 720 aus 7 beschrieben ist. Der im Bock 720 beschriebene Schritt wird ausgeführt, um zu bestimmen, ob ein Fehler ausreichend signifikant ist, um eine Änderung in den Steuerungseingangsparametern zu rechtfertigen, die die Fertigungsanlage 320 steuern. Um den Totbereich zu definieren, werden die von Produktanalysestationen (nicht gezeigt), etwa der Inspektionsstation, gewonnenen Fehler mit einem vorbestimmten Satz an Schwellwertparametern verglichen. In einer Ausführungsform beinhaltet der Totbereich einen Bereich an Fehlerwerten, die mit den Steuerungseingangssignalen verknüpft sind und die in der Nähe eines Satzes entsprechender vorbestimmter Sollwerte liegen, für die im Wesentlichen eine Aktion der Steuerung unterbunden ist. Wenn einer der von der Produktanalysestation ermittelten Fehler kleiner als sein entsprechender vorbestimmter Schwellwert ist, wird der spezielle Fehler als in dem Totbereich liegend erachtet. Einer der wesentlichen Zwecke des Totbereichs liegt darin, übermäßige Steuerungsaktionen zu unterdrücken, die sich aus Änderungen an Steuerungseingangsparametern ergeben, die die Fertigungsanlage 320 steuern, was ansonsten zu einem Halbleiterfertigungsprozess führen würde, der eine ungewünschte Schwingungsneigung aufweisen würde.
  • Wenn bestimmt wird, dass ein Fehler entsprechend einem Steuerungseingangssignal innerhalb des Totbereichs liegt, wie dies im Block 720 gezeigt ist, so wird dieser spezielle Fehler ignoriert, wie dies im Block 730 in 7 beschrieben ist. Wenn daher der Wert eines Fehler, der einem Steuerungseingangssignal entspricht, als in dem vorbestimmten Totbereich liegend ermittelt wird, so wird dieser spezielle Fehler nicht verwendet, um sein zugeordnetes Steuerungseingangssignal zu aktualisieren. In einer Ausführungsform werden, wenn die Fehlerdaten als innerhalb des Totbereichs liegend erkannt werden, keine Änderung an den Steuerungsparametern auf der Grundlage dieser speziellen Fehlerdaten ausgeführt, wie dies im Block 740 in 7 beschrieben ist. Es werden dann neue Fehlerdaten erhalten und analysiert, wie dies im Block 740 in 7 beschrieben ist. In einer Ausführungsform werden die oben beschriebenen Schritte für die neuen Fehlerdaten, die erhalten werden, wiederholt.
  • Wenn bestimmt wird, dass ein Fehler entsprechend einem Steuerungseingangssignal nicht innerhalb des Totbereichs liegt, wie dies im Block 720 gezeigt ist, wird eine weitere Bearbeitung ausgeführt, etwa das Modifizieren der Steuerungseingangsparameter, um die Fehler zu kompensieren, wie dies im Block 750 in 7 beschrieben ist. Der Wert des Fehlers, der einem Steuerungseingangssignal entspricht, wird verwendet, um diejenigen Steuerungseingangsparameter, die die Fertigungsanlage 320 steuern, für einen nachfolgenden Fertigungsprozessschritt zu aktualisieren.
  • Die Gesamtheit der in 6 und 7 beschriebenen Schritte stellt im Wesentlichen den Schritt des Ausführens der eingebetteten Prozesssteuerungsprozedur dar, der im Block 520 in 5 beschrieben ist. Es wieder auf 5 verwiesen; sobald die eingebettete Prozesssteuerungsprozedur eingerichtet ist, wird das Bearbeiten einer Halbleiterscheibe begonnen, wie dies im Block 530 in 5 beschrieben ist. Die durch die vorliegende Erfindung gelehrten Prinzipien können in anderen Arten von Fertigungs- und Prozesssteuerungseinstellungen eingerichtet werden.
  • Die durch die vorliegende Erfindung offenbarten Prinzipien können in einer fortschrittlichen Prozesssteuerungs- (APC) Plattform eingerichtet werden. Die vorliegende Erfindung stellt ein Verfahren und eine Vorrichtung zum Einrichten der APC-Plattform als eine eingebettete Prozesssteuerungsplattform bereit, die in ein Fertigungsanlagensystem (220, 230) integriert ist. Die APC ist eine bevorzugte Plattform, aus der durch die vorliegende Erfindung gelehrte Überlagerungssteuerungsstrategie eingerichtet wird. In einigen Ausführungsformen kann die APC ein fabrikumspannendes Softwaresystem sein, so dass daher die Steuerungsstrategien, die durch die vorliegende Erfindung vermittelt werden, auf nahe zu alle Halbleiterfertigungsanlagen in der Fabrik angewendet werden kann. Die APC-Plattform erlaubt ferner einen Fernzugriff und eine Fernüberwachung des Prozessverhaltens. Ferner kann durch die Verwendung der APC-Plattform die Datenspeicherung bequemer, flexibler und kostengünstiger als mit Speichermitteln vor Ort ausgeführt werden. Die APC-Plattform ermöglicht fortschrittlichere Steuerungsstrategien, da sie einen ausreichenden Raum für Flexibilität beim Schreiben von Softwarecodierungen bietet.
  • Die Verwendung der durch die vorliegende Erfindung gelehrten Steuerungsstrategie in der APC-Plattform kann eine Reihe von Softwarekomponenten erforderlich machen. Zusätzlich zu den Komponenten innerhalb der APC-Plattform wird ein Computerskript für jeder der Halbleiterfertigungsanlagen, die in dem Steuerungssystem beteiligt sind, erstellt. Wenn eine Halbleiterfertigungsanlage in dem Steuerungssystem in der Halbleiterfertigungsstätte gestartet wird, ruft diese im Allgemeinen ein Skript auf, um die Aktion in Gang zu setzen, die von der Prozesssteuerung, etwa der Überlagerungssteuerung, gefordert wird. Die Steuerungsverfahren sind in diesen Skripten allgemein definiert und werden in diesen ausgeführt. Die Entwicklung dieser Skripte kann einen merklichen Anteil an der Entwicklung eines Steuerungssystems ausmachen. Die durch die vorliegende Erfindung gelehrten Prinzipien können in anderen Arten von Fertigungsplattformen eingerichtet werden.

Claims (10)

  1. Verfahren mit: Bearbeiten mindestens eines Halbleiterbauelements (105); Ausführen einer eingebetteten Prozesssteuerungsprozedur in Reaktion auf das Bearbeiten des Halbleiterbauelements (105), wobei die eingebettete Prozesssteuerung mittels einem Prozesssteuerungssystem (310) ausgeführt wird, das in ein Fertigungsanlagensystem (210, 220, 230) eingebettet ist, wobei die eingebettete Prozessteuerungsprozedur umfasst: Ausführen mindestens einer Rückkopplungskorrektur und einer Vorwärtskopplungskorrektur auf der Grundlage von Messdaten, die mit dem bearbeiteten Halbleiterbauelement (105) verknüpft sind; und Ausführen eines nachfolgenden Prozesses des Halbleitebauelements (105) in Reaktion auf die eingebettete Prozesssteuerungsprozedur.
  2. Verfahren nach Anspruch 1, wobei Ausführen einer eingebetteten Prozesssteuerungsprozedur ferner umfasst: Sammeln von Messdaten; Bearbeiten der gesammelten Messdaten; Erzeugen von Rückkopplungsdaten und Vorwärtskopplungsdaten unter Verwendung der bearbeiteten Messdaten; und Einrichten einer Rückkopplungs- und Vorwärtskopplungssteuerung bezüglich mindestens einem Steuerungseingangsparameter, der einen Fertigungsprozess steuert, unter Verwendung der Rückkopplungs- und Vorwärtskopplungsdaten.
  3. Verfahren nach Anspruch 2, wobei Erzeugen von Rückkopplungs- und Vorwärtskopplungsdaten ferner Erzeugen von Daten umfasst, die verwendbar sind, um ein Belichtungsdosisrezept zu modifizieren.
  4. Verfahren nach Anspruch 2 oder 3, wobei Erzeugen von Rückkopplungs- und Vorwärtskopplungsdaten ferner Erzeugen von Daten umfasst, die verwendbar sind, um einen Fokus während eines Photolithographieprozesses zu modifizieren.
  5. Verfahren nach Anspruch 2, 3 oder 4, wobei Erzeugen von Rückkopplungs- und Vorwärtskopplungsdaten ferner Erzeugen von Daten umfasst, die verwendbar sind, um einen Abscheideprozess für Abstandselemente zu modifizieren.
  6. Vorrichtung mit: einem Computersystem (130); und mindestens einem Fertigungsanlagensystem (210, 220, 230), das mit dem Computersystem (130) verbunden ist, wobei das Fertigungsanlagensystem (210, 220, 230) ein eingebettetes Prozesssteuerungssystem (310) umfasst, das ausgebildet ist, Befehle von dem Computersystem (130) zu empfangen und einen Fertigungsprozess, der von dem Fertigungsanlagensystem (210, 220, 230) ausgeführt wird, zu steuern, wobei das eingebettete Prozesssteuerungssystem (310) in das Fertigungsanlagensystem (210, 220, 230) eingebettet und ausgebildet ist, eine eingebettete Prozesssteuerungsprozedur auszuführen.
  7. Vorrichtung nach Anspruch 6, wobei das Fertigungsanlagensystem (210, 220, 230) ferner umfasst: eine Maschinenschnittstelle (115, 117); eine Fertigungsanlage (110, 112), die elektronisch mit der Maschinenschnittstelle (115,117) verbunden ist; und eine eingebettete Prozesssteuerung (310), die mit der Maschinenschnittstelle (115, 117) und der Fertigungsanlage (110, 112) verbunden ist, wobei die eingebettete Prozesssteuerung (310) ausgebildet ist, eine Prozesssteuerung an der Fertigungsanlage (110, 112) unter Verwendung der Maschinenschnittstelle (115, 117) auszuführen.
  8. Vorrichtung nach Anspruch 7, wobei die eingebettete Prozesssteuerung (310) ferner umfasst: eine Messdatensammeleinheit (150), die ausgebildet ist, Messdaten von der Fertigungsanlage (110, 112) zu gewinnen; eine Messdatenverarbeitungseinheit (145), die mit der Messdatensammeleinheit (150) verbunden ist, wobei die Messdatenverarbeitungseinheit (145) ausgebildet ist, die gesammelten Messdaten zu verwalten und zu verarbeiten; eine Rückkopplungs/Vorwärtskopplungs-Steuerung (160), die mit der Messdatenverarbeitungseinheit (145) verbunden ist, wobei die Rückkopplungs/Vorwärtskopplungs-Steuerung (160) ausgebildet ist, Rückkopplungs- und Vorwärtskopplungsjustierdaten zu erzeugen; und ein Fertigungsprozessmodell (140), das mit der Rückkopplungs/Vorwärtskopplungs-Steuerung (160) verbunden ist, wobei das Fertigungsprozessmodell (140) eingerichtet ist, die erzeugten Rückkopplungs- und Vorwärtskopplungsjustierdaten zu verwenden, um eine Modifizierung an mindestens einem Steuerungseingangsparameter auszuführen, der die Arbeitsweise der Fertigungsanlage (110, 112) steuert.
  9. Computerlesbare Programmspeichereinheit, die mit Instruktionen kodiert ist, die, wenn sie von einem Computer ausgeführt werden, ein Verfahren ausführen mit: Bearbeiten mindestens eines Halbleiterbauelements (105); Ausführen einer eingebetteten Prozesssteuerungsprozedur in Reaktion auf das Bearbeiten des Halbleiterbauelements (105), wobei die eingebettete Prozesssteuerung mittels einem Prozesssteuerungssystem (310) ausgeführt wird, das in ein Fertigungsanlagensystem (210, 220, 230) eingebettet ist, wobei die eingebettete Prozesssteuerungsprozedur umfasst: Ausführen mindestens einer Rückkopplungs- und einer Vorwärtskopplungskorrektur auf der Grundlage von Messdaten, die mit dem bearbeiteten Halbleiterbauelement (105) verknüpft sind; und Ausführen eines nachfolgenden Prozesses des Halbleiterbauelements (105) in Reaktion auf die eingebettete Prozesssteuerungsprozedur.
  10. Computerlesbares Medium, das mit Instruktionen kodiert ist, die, wenn sie von einem Computer ausgeführt werden, ein Verfahren nach einem der Ansprüche 1 bis 5 ausführen.
DE60111411T 2000-10-23 2001-08-16 Verfahren und gerät zur integrierten prozesssteuerungsstruktur in werkzeugsystemen Expired - Lifetime DE60111411T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US694139 1996-08-08
US09/694,139 US6304999B1 (en) 2000-10-23 2000-10-23 Method and apparatus for embedded process control framework in tool systems
PCT/US2001/025655 WO2002035300A2 (en) 2000-10-23 2001-08-16 Method and apparatus for embedded process control framework in tool systems

Publications (2)

Publication Number Publication Date
DE60111411D1 DE60111411D1 (de) 2005-07-14
DE60111411T2 true DE60111411T2 (de) 2006-05-11

Family

ID=24787558

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60111411T Expired - Lifetime DE60111411T2 (de) 2000-10-23 2001-08-16 Verfahren und gerät zur integrierten prozesssteuerungsstruktur in werkzeugsystemen

Country Status (9)

Country Link
US (1) US6304999B1 (de)
EP (1) EP1330684B1 (de)
JP (1) JP2004512691A (de)
KR (1) KR100836946B1 (de)
CN (1) CN1630840B (de)
AU (1) AU2001283404A1 (de)
DE (1) DE60111411T2 (de)
TW (1) TWI281722B (de)
WO (1) WO2002035300A2 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007011248B4 (de) * 2006-03-28 2016-05-19 Infineon Technologies Ag Prozesssteuersystem und Verfahren
DE102019111715A1 (de) * 2019-05-06 2020-11-12 Kompetenzzentrum - Das Virtuelle Fahrzeug Forschungsgesellschaft Mbh Method of adjusting a manufacturing process for a component
US11339099B2 (en) 2017-03-07 2022-05-24 Ngk Insulators, Ltd. Honeycomb structure

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
JP2004536440A (ja) * 2000-08-11 2004-12-02 サーマ−ウェーブ・インコーポレイテッド 半導体ウェハ処理ツールへ組込まれた光学臨界寸法計測学システム
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
EP1319244A1 (de) * 2000-09-20 2003-06-18 Kla-Tencor Inc. Methode und system zur halbleiterherstellung
KR100811964B1 (ko) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
US6553390B1 (en) * 2000-11-14 2003-04-22 Advanced Micro Devices, Inc. Method and apparatus for simultaneous online access of volume-managed data storage
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
US6482660B2 (en) * 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6509201B1 (en) * 2001-04-11 2003-01-21 Advanced Micro Devices, Inc. Method and apparatus for monitoring wafer stress
US6458610B1 (en) * 2001-05-31 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for optical film stack fault detection
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030074098A1 (en) * 2001-09-18 2003-04-17 Cheung Robin W. Integrated equipment set for forming an interconnect on a substrate
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US6643596B2 (en) * 2001-12-13 2003-11-04 Yield Dynamics, Inc. System and method for controlling critical dimension in a semiconductor manufacturing process
US6737208B1 (en) 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US8180587B2 (en) 2002-03-08 2012-05-15 Globalfoundries Inc. System for brokering fault detection data
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
US6912435B2 (en) * 2002-08-28 2005-06-28 Inficon Lt Inc. Methods and systems for controlling reticle-induced errors
US6865438B1 (en) 2002-09-30 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of using time interval in IC foundry to control feed back system
US6912436B1 (en) * 2002-09-30 2005-06-28 Advanced Micro Devices, Inc. Prioritizing an application of correction in a multi-input control system
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
US6660543B1 (en) * 2002-10-31 2003-12-09 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques wherein dispersion coefficients are varied based upon depth
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7254453B2 (en) 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US6815232B2 (en) * 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
US6957120B1 (en) * 2003-01-06 2005-10-18 Advanced Micro Devices, Inc. Multi-level process data representation
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7085676B2 (en) 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
JP4880889B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
JP4880888B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
US7018855B2 (en) * 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) * 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7242995B1 (en) 2004-10-25 2007-07-10 Rockwell Automation Technologies, Inc. E-manufacturing in semiconductor and microelectronics processes
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7372583B1 (en) * 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US20090065820A1 (en) * 2007-09-06 2009-03-12 Lu-Yang Kao Method and structure for simultaneously fabricating selective film and spacer
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US8867018B2 (en) * 2009-02-10 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improved overlay correction
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US9740184B2 (en) * 2009-11-16 2017-08-22 Applied Materials, Inc. Controls interface solution for energy savings
CN103199037A (zh) * 2012-01-06 2013-07-10 沈阳新松机器人自动化股份有限公司 一种半导体加工设备的efem控制系统
KR20190141027A (ko) * 2012-05-24 2019-12-20 가부시키가이샤 니콘 디바이스 제조 방법
CN102880153B (zh) * 2012-10-15 2015-06-24 中达光电工业(吴江)有限公司 使用不同运动控制产品的pcb钻铣设备的运行方法及系统
CN106597913A (zh) * 2015-10-20 2017-04-26 沈阳新松机器人自动化股份有限公司 硅片传输平台控制系统
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
GB201721309D0 (en) 2017-12-19 2018-01-31 Renishaw Plc Production and measurement of workpieces
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
JP2022062409A (ja) * 2020-10-08 2022-04-20 株式会社日立製作所 情報処理システム及び加工管理方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5440478A (en) * 1994-02-22 1995-08-08 Mercer Forge Company Process control method for improving manufacturing operations
WO1997012300A1 (en) * 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
KR980005954A (ko) * 1996-06-19 1998-03-30 김광호 반도체제조장치의 에러감시시스템
US6102964A (en) * 1996-10-28 2000-08-15 Altera Corporation Fitting for incremental compilation of electronic designs
US6096091A (en) * 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
AU5261200A (en) * 1999-05-20 2000-12-12 Micronic Laser Systems Ab A method for error reduction in lithography
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007011248B4 (de) * 2006-03-28 2016-05-19 Infineon Technologies Ag Prozesssteuersystem und Verfahren
US11339099B2 (en) 2017-03-07 2022-05-24 Ngk Insulators, Ltd. Honeycomb structure
DE102019111715A1 (de) * 2019-05-06 2020-11-12 Kompetenzzentrum - Das Virtuelle Fahrzeug Forschungsgesellschaft Mbh Method of adjusting a manufacturing process for a component
DE102019111715A8 (de) * 2019-05-06 2021-01-07 Kompetenzzentrum - Das Virtuelle Fahrzeug Forschungsgesellschaft Mbh Verfahren zum Einstellen eines Fertigungsverfahrens für ein Bauteil

Also Published As

Publication number Publication date
KR20040005846A (ko) 2004-01-16
TWI281722B (en) 2007-05-21
EP1330684B1 (de) 2005-06-08
DE60111411D1 (de) 2005-07-14
WO2002035300A2 (en) 2002-05-02
JP2004512691A (ja) 2004-04-22
WO2002035300A3 (en) 2003-01-16
CN1630840B (zh) 2010-04-28
US6304999B1 (en) 2001-10-16
EP1330684A2 (de) 2003-07-30
CN1630840A (zh) 2005-06-22
AU2001283404A1 (en) 2002-05-06
KR100836946B1 (ko) 2008-06-11

Similar Documents

Publication Publication Date Title
DE60111411T2 (de) Verfahren und gerät zur integrierten prozesssteuerungsstruktur in werkzeugsystemen
DE60012762T2 (de) Einrichtung und verfahren zur qualitätsüberwachung mit hilfe statistischer prozessteuerung
DE10297564B4 (de) Verfahren und Vorrichtung zum Steuern der Photolithographie-Überlagerungsjustierung mit vorwärtsgekoppelter Überlagerungsinformation
DE112006002918B4 (de) Verfahren, System sowie computerlesbare Programmspeichereinrichtung für eine produktbezogene Rückkopplung für Prozesssteuerung
DE102005024915B4 (de) Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
DE19609652B4 (de) Verfahren und Vorrichtung zur Korrektur von Maskenmustern
DE10297450T5 (de) Verfahren und Vorrichtung zur Verwendung integrierter Messdaten als Daten für eine Vorwärtskopplung
DE102009006887B3 (de) Verfahren und System zur Halbleiterprozesssteuerung und Überwachung unter Anwendung eines Datenqualitätsmaßes
DE60104705T2 (de) Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung
DE19534132B4 (de) Lichtannäherungs-Korrekturverfahren
DE102018117836B4 (de) Verfahren zum Herstellen von Halbleitern und Verwendung von Ätzeffektvorhersagen
DE112005002576T5 (de) Fehlererkennungssystem und Verfahren auf der Grundlage einer gewichteten Hauptkomponentenanalyse
DE10393903T5 (de) Dynamische adaptive Abtastrate für Modellvorhersage
DE112004001259B4 (de) Verfahren und System zum Ausführen einer Messverteilung auf der Grundlage einer Fehlererkennung und computerlesbares Speichermedium
DE10392540T5 (de) Verfahren zum Korrigieren einer Maske
DE112004003062B4 (de) Fehlererkennungs- und Steuerungsverfahren für Ionenimplantationsprozesse und System zum Ausführen davon
DE19501077A1 (de) Vorrichtung und Verfahren zur Regelung von Mehrgrößensystemen
DE19747773A1 (de) Verfahren und Vorrichtung zum Korrigieren von Belichtungsmustern und eine Belichtungsmaske, Verfahren zur Belichtung und Halbleitervorrichtung
DE102005041311B4 (de) Verfahren und System zum automatischen Erkennen belichteter Substrate mit einer hohen Wahrscheinlichkeit für defokussierte Belichtungsfelder
DE10355573B4 (de) Verfahren zum Erhöhen der Produktionsausbeute durch Steuern der Lithographie auf der Grundlage elektrischer Geschwindigkeitsdaten
DE102008035814B4 (de) Verfahren und System zum Reduzieren der Überlagerungsfehler in der Halbleitermassenproduktion unter Anwendung eines Mischanlagenszenarios
DE102005030586A1 (de) Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
EP1420312A2 (de) Verfahren, Vorrichtung, computerlesbarer Speicher und Computerprogramm-Element zum rechnergestützten Überwachen und Regeln eines Herstellungsprozesses
DE60133452T2 (de) Verfahren zur Justierung von Verarbeitungsparametern plattenförmiger Gegenstände in einer Verarbeitungsvorrichtung
DE10037243A1 (de) Regelsystem für photolithographische Prozesse

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,