DE602004014284D1 - Verarbeitungsvorrichtung für mikrostrukturmerkmale aufweisende werkstücke und verfahren zur chargenweisen abscheidung von materialien auf mikrostrukturmerkmale aufweisenden werkstücken - Google Patents

Verarbeitungsvorrichtung für mikrostrukturmerkmale aufweisende werkstücke und verfahren zur chargenweisen abscheidung von materialien auf mikrostrukturmerkmale aufweisenden werkstücken

Info

Publication number
DE602004014284D1
DE602004014284D1 DE602004014284T DE602004014284T DE602004014284D1 DE 602004014284 D1 DE602004014284 D1 DE 602004014284D1 DE 602004014284 T DE602004014284 T DE 602004014284T DE 602004014284 T DE602004014284 T DE 602004014284T DE 602004014284 D1 DE602004014284 D1 DE 602004014284D1
Authority
DE
Germany
Prior art keywords
workpieces
microfeature
workpiece
microstructural
materials
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE602004014284T
Other languages
English (en)
Inventor
Lingyi A Zheng
Trung T Doan
Lyle D Breiner
Er-Xuan Ping
Ronald A Weimer
David J Kubista
Kevin L Beaman
Cem Basceri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of DE602004014284D1 publication Critical patent/DE602004014284D1/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S206/00Special receptacle or package
    • Y10S206/832Semiconductor wafer boat
DE602004014284T 2003-08-21 2004-08-18 Verarbeitungsvorrichtung für mikrostrukturmerkmale aufweisende werkstücke und verfahren zur chargenweisen abscheidung von materialien auf mikrostrukturmerkmale aufweisenden werkstücken Active DE602004014284D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/646,607 US7235138B2 (en) 2003-08-21 2003-08-21 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
PCT/US2004/027012 WO2005021831A1 (en) 2003-08-21 2004-08-18 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Publications (1)

Publication Number Publication Date
DE602004014284D1 true DE602004014284D1 (de) 2008-07-17

Family

ID=34194571

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004014284T Active DE602004014284D1 (de) 2003-08-21 2004-08-18 Verarbeitungsvorrichtung für mikrostrukturmerkmale aufweisende werkstücke und verfahren zur chargenweisen abscheidung von materialien auf mikrostrukturmerkmale aufweisenden werkstücken

Country Status (9)

Country Link
US (2) US7235138B2 (de)
EP (1) EP1660698B1 (de)
JP (1) JP2007503126A (de)
KR (1) KR100943163B1 (de)
CN (1) CN100537843C (de)
AT (1) ATE397679T1 (de)
DE (1) DE602004014284D1 (de)
TW (1) TWI404821B (de)
WO (1) WO2005021831A1 (de)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
FR2922681A1 (fr) 2007-10-23 2009-04-24 Soitec Silicon On Insulator Procede de detachement d'un substrat.
US10041169B2 (en) 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
KR101071937B1 (ko) * 2009-08-10 2011-10-11 이승룡 질소가스 분사장치
TW201139712A (en) * 2010-05-12 2011-11-16 Hon Hai Prec Ind Co Ltd Sputtering device
CN102242344A (zh) * 2010-05-13 2011-11-16 鸿富锦精密工业(深圳)有限公司 溅镀装置
KR101223489B1 (ko) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 기판 가공 장치
TWI520177B (zh) 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
KR101971613B1 (ko) * 2011-09-27 2019-04-24 엘지이노텍 주식회사 증착 장치
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
KR101682473B1 (ko) * 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
CN103668125A (zh) * 2013-12-31 2014-03-26 武汉工程大学 一种适用于管状等离子体薄膜沉积装置中的基片台
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
JP6475135B2 (ja) * 2015-09-29 2019-02-27 株式会社Kokusai Electric 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
KR101688620B1 (ko) * 2015-12-24 2016-12-21 피코앤테라(주) 웨이퍼 수납용기
WO2018022137A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control
US10256126B2 (en) * 2016-09-22 2019-04-09 Globalfoundries Inc. Gas flow process control system and method using crystal microbalance(s)
JP6773880B2 (ja) * 2017-02-23 2020-10-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
CN110970344A (zh) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR20200062625A (ko) * 2018-11-27 2020-06-04 삼성전자주식회사 반도체 처리 장치 및 반도체 처리 시스템

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) 1897-03-23 Roller-bearing
FR1500185A (fr) 1966-08-08 1967-11-03 Ct De Rech S Du Fer Blanc Procédé d'étamage électrolytique d'un feuillard d'acier
GB1260300A (en) 1968-04-24 1972-01-12 Plessey Co Ltd IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL
US3618919A (en) 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US3634212A (en) 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4018949A (en) 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4289061A (en) 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4242182A (en) 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
NL184695C (nl) 1978-12-04 1989-10-02 Philips Nv Bad voor het stroomloos neerslaan van tin op substraten.
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS5747706A (en) 1980-09-04 1982-03-18 Toshio Hirai Lump of silicon nitride containing ti and its manufacture
US4545136A (en) 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
DD206687A3 (de) * 1981-07-28 1984-02-01 Mikroelektronik Zt Forsch Tech Verfahren und vorrichtung zur gasfuehrung fuer lp cvd prozesse in einem rohrreaktor
US4826579A (en) 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4438724A (en) 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4397753A (en) 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4593644A (en) 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4681777A (en) 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
US4966646A (en) 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JPH01108378A (ja) * 1987-10-21 1989-04-25 Mitsubishi Electric Corp スパツタ装置
JPH0668962B2 (ja) 1987-12-21 1994-08-31 株式会社東芝 真空装置及びそれを用いてプロセスを行う方法
DE3830249A1 (de) 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
JP2888253B2 (ja) 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JP2703813B2 (ja) 1989-11-13 1998-01-26 昭和電工株式会社 流動層型気相重合装置のガス分散板
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US4977106A (en) 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5325020A (en) 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
DE69103511T2 (de) 1990-12-28 1995-03-30 Hokkai Can Geschweisste Dosen.
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5232749A (en) 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
JP3039583B2 (ja) 1991-05-30 2000-05-08 株式会社日立製作所 バルブ及びそれを用いた半導体製造装置
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3238432B2 (ja) 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5172849A (en) 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5592581A (en) 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
JP3394293B2 (ja) 1993-09-20 2003-04-07 株式会社日立製作所 試料の搬送方法および半導体装置の製造方法
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
JPH07176490A (ja) * 1993-12-21 1995-07-14 Seiko Epson Corp Cvd装置
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
FI95421C (fi) * 1993-12-23 1996-01-25 Heikki Ihantola Puolijohteen, kuten piikiekon, prosessoinnissa käytettävä laitteisto ja menetelmä
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
JPH088194A (ja) 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
CN1043477C (zh) 1994-06-24 1999-05-26 日新制钢株式会社 使用含有氢气的炉内气体介质的热处理炉的密封装置
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3473715B2 (ja) * 1994-09-30 2003-12-08 信越半導体株式会社 石英ガラス製ウェーハボート
JP3417751B2 (ja) 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3246708B2 (ja) 1995-05-02 2002-01-15 東京エレクトロン株式会社 トラップ装置及びこれを用いた未反応処理ガス排気機構
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3768575B2 (ja) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
US5956613A (en) 1995-12-27 1999-09-21 Lsi Logic Corporation Method for improvement of TiN CVD film quality
US6663713B1 (en) * 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5865417A (en) 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5729896A (en) 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
EP0854210B1 (de) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Aufdampfungsvorrichtung zur Herstellung von Dünnfilmen
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US6062256A (en) 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6328803B2 (en) * 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5994181A (en) 1997-05-19 1999-11-30 United Microelectronics Corp. Method for forming a DRAM cell electrode
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6045620A (en) 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
EP1038046A4 (de) 1997-12-05 2006-08-02 Tegal Corp Plasmareaktor mit abscheidungsabschirmung
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
JP3567070B2 (ja) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6032923A (en) 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
JP3643474B2 (ja) * 1998-01-30 2005-04-27 株式会社東芝 半導体処理システム及び半導体処理システムの使用方法
US6022483A (en) 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
JP2002515648A (ja) * 1998-05-11 2002-05-28 セミトゥール・インコーポレイテッド 加熱反応炉の温度制御システム
JP3813741B2 (ja) * 1998-06-04 2006-08-23 尚久 後藤 プラズマ処理装置
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3619032B2 (ja) * 1998-11-13 2005-02-09 シーケーディ株式会社 真空圧力制御弁
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
JP2000256856A (ja) * 1999-03-11 2000-09-19 Tokyo Electron Ltd 処理装置及び処理装置用真空排気システム及び減圧cvd装置及び減圧cvd装置用真空排気システム及びトラップ装置
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
WO2000076670A1 (en) * 1999-06-11 2000-12-21 Larami Limited Bladder water gun with shaped stream discharge orifices
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6294394B1 (en) * 1999-07-01 2001-09-25 Voyan Technology Ramp rate limiter to control stress during ramping
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
RU2158324C1 (ru) * 1999-11-02 2000-10-27 Закрытое акционерное общество "Панджшер-Холдинг" Способ изготовления исходного поликристаллического кремния в виде пластин с большой площадью поверхности и камера для осаждения кремния
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6277937B1 (en) * 2000-02-17 2001-08-21 Dupont Dow Elastomers, L.L.C. Process for producing fluorelastomers
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4357715B2 (ja) * 2000-07-24 2009-11-04 東京エレクトロン株式会社 熱処理装置の温度校正方法
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
US6991684B2 (en) * 2000-09-29 2006-01-31 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP2002353208A (ja) * 2001-05-28 2002-12-06 Fujitsu Ltd 半導体装置の製造方法及び製造装置
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6435865B1 (en) * 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US20050039880A1 (en) * 2001-12-26 2005-02-24 Scott Alexander Robin Walter Computer cooling apparatus
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050020476A1 (en) * 2003-06-12 2005-01-27 The Procter & Gamble Company Softening-through-the-wash composition and process of manufacture
US20050017404A1 (en) * 2003-07-21 2005-01-27 Youngs John D. Method of molding a vehicle trim component
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers

Also Published As

Publication number Publication date
CN1863939A (zh) 2006-11-15
US7235138B2 (en) 2007-06-26
TW200523393A (en) 2005-07-16
TWI404821B (zh) 2013-08-11
US20050039686A1 (en) 2005-02-24
US20060198955A1 (en) 2006-09-07
CN100537843C (zh) 2009-09-09
EP1660698A1 (de) 2006-05-31
WO2005021831A1 (en) 2005-03-10
EP1660698B1 (de) 2008-06-04
KR20060121814A (ko) 2006-11-29
JP2007503126A (ja) 2007-02-15
ATE397679T1 (de) 2008-06-15
KR100943163B1 (ko) 2010-02-22

Similar Documents

Publication Publication Date Title
DE602004014284D1 (de) Verarbeitungsvorrichtung für mikrostrukturmerkmale aufweisende werkstücke und verfahren zur chargenweisen abscheidung von materialien auf mikrostrukturmerkmale aufweisenden werkstücken
DE502006008626D1 (de) Verfahren zum abscheiden von schichten in einem cvd-reaktor sowie gaseinlassorgan für einen cvd-reaktor
ATE526435T1 (de) Verfahren und vorrichtung zur abscheidung eines materials auf einem substrat
DE50100603D1 (de) Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
DE602004002971D1 (de) Verfahren und Apparate zum Transportieren von Substrathaltern
WO2006042074A3 (en) Multi-zone atomic layer deposition apparatus and method
ATE227471T1 (de) Distanzstück-maske für plättchen auf einer substratträger-spannvorrichtung und herstellungsverfahren dafür
TW200802554A (en) A method of manufacturing a semiconductor device and device of processing substrate
TW200943464A (en) Substrate treating apparatus
DE60015513D1 (de) Verfahren und vorrichtung zum plattieren und polieren eines halbleiterbauelements
ATE448049T1 (de) Verfahren und vorrichtungen für elektro-chemisch- mechanisches polieren
RU2013149047A (ru) Устройство и способ комбинаторного распределения газа через многозональную головную часть, выполненную наподобие разбрызгивающей насадки для душевой лейки
DE69804653T2 (de) Verfahren und vorrichtung zur herstellung von matrixen von proben
DE602004000051D1 (de) Verfahren zum Beschichten von grossflächigen Substraten
ATE454346T1 (de) Vorrichtung und verfahren zur neuausrichtung eines postgutstapels
DE59900493D1 (de) SUBSTRATHALTERUNG FÜR SiC-EPITAXIE UND VERFAHREN ZUM HERSTELLEN EINES EINSATZES FÜR EINEN SUSZEPTOR
ATE465819T1 (de) Vorrichtung und verfahren zum gleichmässigen beschichten von substraten
NL8503163A (nl) Inrichting en werkwijze voor dampneerslag.
ATE524269T1 (de) Verfahren, einstellvorrichtung und auflageelement zum einrichten einer werkstückauflage zur aufnahme eines tafelförmigen werkstücks
ATE469109T1 (de) Brennunterstützung für keramikartikel und verfahren zu deren herstellung
DE50207784D1 (de) Vorrichtung und Verfahren zum gerichteten Aufbringen von Depositionsmaterial auf ein Substrat
DE602006007908D1 (de) Vorrichtung und Verfahren zum polieren von Halbleiterscheiben
ATE328135T1 (de) Anlage zum beschichten von werkstücken durch elektronenstrahlen
EP2435597B1 (de) Anordnung zur substratverarbeitung und substratträger
DE60111203D1 (de) Verfahren und vorrichtung zum kantenformen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition