DE60319310T2 - Gatterdielektrikum und Verfahren - Google Patents

Gatterdielektrikum und Verfahren Download PDF

Info

Publication number
DE60319310T2
DE60319310T2 DE60319310T DE60319310T DE60319310T2 DE 60319310 T2 DE60319310 T2 DE 60319310T2 DE 60319310 T DE60319310 T DE 60319310T DE 60319310 T DE60319310 T DE 60319310T DE 60319310 T2 DE60319310 T2 DE 60319310T2
Authority
DE
Germany
Prior art keywords
layer
forming
gate
dielectric constant
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60319310T
Other languages
English (en)
Other versions
DE60319310D1 (de
Inventor
Antonio L. P. 75230 Rotondaro
Luigi 75248 Colombo
Malcolm J. 75240 Bevan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of DE60319310D1 publication Critical patent/DE60319310D1/de
Application granted granted Critical
Publication of DE60319310T2 publication Critical patent/DE60319310T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft die Herstellung von Halbleitertransistoren und insbesondere komplementäre Feldeffekttransistoren (CMOS) und integrierte Schaltungen, die sie enthalten, und Verfahren zu ihrer Herstellung.
  • HINTERGRUND DER ERFINDUNG
  • Der bei integrierten Halbleiterschaltungen vorhandene Trend zu höheren Bauelementdichten durch Herunterskalieren der Strukturgrößen und Betriebsspannungen hat dazu geführt, dass sich die Dicken von Silicium-Feldeffekt-(MOS)-Transistor-Gate-Dielektrika, die typischerweise aus Siliciumdioxid bestehen, der Größenordnung von 1–2 nm nähern, um die kapazitive Kopplung des Gates mit dem Kanal aufrechtzuerhalten. Bei solchen dünnen Oxiden treten jedoch infolge des Tunnelns von Ladungsträgern durch das Oxid Leckstromprobleme auf. Folglich wurden alternative Gate-Dielektrika mit größeren Dielektrizitätskonstanten, um größere physikalische Dicken zu ermöglichen, vorgeschlagen. Tatsächlich wurden Ta2O5, (Ba, Sr)TiO3 und andere Materialien mit einer hohen Dielektrizitätskonstanten vorgeschlagen, diese Materialien haben jedoch eine schlechte Grenzflächenstabilität mit Silicium.
  • In Wilk and Wallace, Electrical Properties of Hafnium Silicate Gate Dielectrics Deposited Directly an Silicon, 74 Appl. Phys. Lett. 2854 (1999) sind Messungen an Kondensatoren mit einem durch Sputterabscheidung (bei einem Druck von 5 × 10–6 mTorr und einer Substrattemperatur von 500°C) einer 5 nm dicken Hf6Si29O65-(Hf0,18Si0,89O2)-Schicht direkt auf Silicium gebildeten Hafniumsilikatdielektrikum zusammen mit einer Goldoberteilelektrodenabscheidung auf das Silikatdielektrikum offenbart. Diese Kondensatoren wiesen einen geringen Leckstrom, thermische Stabilität, eine effektive Dielektrizitätskonstante von etwa 11 und ein Durchschlagsfeld von 10 MV/cm auf.
  • Bei einer Herstellung integrierter Siliciumschaltungen mit solchen Dielektrika mit einer hohen Dielektrizitätskonstanten hohen Volumens treten jedoch Probleme auf, einschließlich solcher, die darin bestehen, dass anfängliche Stufen der Silicatabscheidung zu einer metallarmen Grenzschicht führen. Diese Schicht hat typischerweise eine Dielektrizitätskonstante, die kleiner ist als jene des Silikatgrundmaterials, und sie bewirkt eine Erhöhung der äquivalenten Oxiddicke des Gate-Dielektrikums. Ferner bewirkt die Wechselwirkung des Substrats mit der Prozessumgebung während der frühen Stufen der Silikatabscheidung das Wachstum einer unerwünschten Grenzschicht.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung sieht die Herstellung integrierter Schaltungen, einschließlich einer Abscheidung eines Dielektrikums mit einer hohen Dielektrizitätskonstanten gemäß den Verfahrensansprüchen 1 und 5 und dem Vorrichtungsanspruch 11 vor. Passivierungen gemäß bevorzugten Ausführungsformen schließen eine Fernplasmanitrierung und eine Niedertemperatur-Ammoniakwärmebehandlung ein.
  • Dies hat den Vorteil einer einfachen Herstellung von CMOS-Vorrichtungen mit Gate-Dielektrika aus einem Material mit einer hohen Dielektrizitätskonstanten.
  • Bevorzugte Ausführungsformen der Erfindung werden nun mit Bezug auf die anliegende Zeichnung beschrieben, die im Interesse der Klarheit heuristisch ist.
  • KURZBESCHREIBUNG DER ZEICHNUNG
  • Die 1a1f sind Schnittansichten von Schritten eines Verfahrens zur Herstellung integrierter Schaltungen gemäß einer bevorzugten Ausführungsform,
  • 2 zeigt eine Schnittansicht einer zweiten bevorzugten Ausführungsform, und
  • die 3a3c zeigen ein Blind-Gate gemäß einer bevorzugten Ausführungsform.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • 1. Überblick
  • Integrierte Schaltungen und MOS-Transistoren gemäß der bevorzugten Ausführungsform weisen Silikat-Gate-Dielektrika mit einer hohen Dielektrizitätskonstanten auf, die mit Verfahren gemäß der bevorzugten Ausführungsform hergestellt werden, wodurch ein Gate-Dielektrikum durch Abscheidung auf eine durch Nitrierung passivierte Siliciumoberfläche gebildet wird. Dies hat Vorteile, einschließlich der Beseitigung von Oxid-Grenzschichten mit einer niedrigen Dielektrizitätskonstanten und des Bereitstellens einer Keimbildungschicht für die anschließende Abscheidung eines Materials mit einer hohen Dielektrizitätskonstanten. Hierdurch wird auch eine Steuerung der endgültigen Dicke der Grenzschicht bereitgestellt und eine bessere Keimbildungsfläche für die Silikatfilmabscheidung erzielt. Möglicherweise wirksame Oxiddicken von weniger als 1 nm können erreicht werden.
  • 2. Verfahren gemäß der ersten bevorzugten Ausführungsform
  • Die 1a1j zeigen in Schnittansichten die Schritte von Herstellungsverfahren gemäß der ersten bevorzugten Ausführungsform für integrierte Schaltungen, die Feldeffekttransistoren (beispielsweise CMOS oder BiCMOS) mit Gate-Dielektrika mit einer hohen Dielektrizitätskonstanten und Polysilicium-Gates aufweisen. Die bevorzugten Ausführungsformen weisen die folgenden Schritte auf:
  • (1) Substrat
  • Ausgehend von einem p-leitenden Silicium-(oder Silicium-auf-Isolator)-Wafer 102 mit einer <100>-Orientierung, wird eine flache Grabenisolation durch Fülloxidwachstum, Nitridabscheidung, Grabenstrukturierung, Nitrid-Oxid-Silicium-Grabenätzen, Grabenoberflächenoxidation, Grabenfüllung durch unstrukturierte APCVD-Oxidabscheidung, Ätz-CMP-Planarisierung und Nitridabheben gebildet.
  • 1a ist eine Schnittansicht des Substrats, worin die Grabenisolation und die Orte für die Herstellung von NMOS- und PMOS-Transistoren dargestellt sind.
  • Als nächstes werden mehrere Dotierungsmaterialimplantationen ausgeführt, um n- und p-Wannen (zuzüglich wahlweise Wannen für Speicherzellenanordnungen und vergrabene Schichten bipolarer Bauelemente) zu bilden sowie Kanalstoppbereiche, Bereiche zum Verhindern von Durchschlägen und Schwellenwert-Einstellbereiche zu bilden. Diese Implantationen werden durch das restliche Fülloxid ausgeführt. 1b zeigt die maskierten Implantationen am Ort von NMOS-Transistoren, wobei eine ähnliche maskierte Implantation an den PMOS-Orten auftritt. Es sei bemerkt, dass die Implantationsdosen und -tiefen von Speicherzellenanordnungs-Transistoren von jenen für periphere Transistoren abweichen können. Ferner können sowohl Hochspannungs- als auch Niederspannungstransistoren desselben Typs gebildet werden und verschiedene Implantationsdosen und -tiefen aufweisen. Eine schnelle Wärmebehandlung (beispielsweise 1050°C während 30 s) aktiviert und diffundiert die implantierten Dotierungsmaterialien (beispielsweise Bor und Phosphor).
  • (2) Oberflächenpassivierung
  • Das Silciium an den Orten für NMOS- und PMOS-Transistoren (und Bipolartransistoren, falls vorhanden) wird durch Entglasen jeglichen nativen Oxids in einer HF-haltigen Lösung freigelegt. Als nächstes wird die Siliciumoberfläche vor der Abscheidung eines Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten passiviert, um die Bildung einer Schicht mit einer niedrigen Dielektrizitätskonstanten an der Dielektrikum-Silicium-Grenzfläche zu verhindern. Eine Schicht mit einer niedrigen Dielektrizitätskonstanten kann sich durch eine Reaktion von Sauerstoff aus der Abscheidungsumgebung mit der Siliciumoberfläche ergeben, wodurch im Wesentlichen SiO2 und/oder ein Sireiches Metallsilikat gebildet wird. Durch ein Passivierungsverfahren gemäß der ersten bevorzugten Ausführungsform werden etwa zwei Monoschichten von Siliciumnitrid durch Fernplasmanitrierung aufwachsen gelassen. Insbesondere wird N2 bei einem Druck von 4 Pa (30 mTorr) durch Mikrowellenleistung (beispielsweise 1500 W bei 2 GHz) in einem von dem Substrat fernen Hohlraum zu einem Plasma angeregt, und Transport-angeregte neutrale N2-Moleküle (N2*) und neutrale angeregte Stickstoffatome (N*) in dem Plasma an der Siliciumoberfläche erzeugt. Die Reaktion der angeregten Spezies mit dem Oberflächensilicium: Si + N2* → Si3N4 ist für Substrattemperaturen von weniger als 700°C selbstbeschränkt. Die Nitrierung wird begrenzt, so dass nur etwa zwei Monoschichten von Siliciumnitrid gebildet werden, wobei diese Dicke ausreicht, um die Sauerstoffreaktion mit darunter liegendem Silicium zu unterbinden, sie könnte jedoch die Kanalbeweglichkeit beeinflussen.
  • Bei einer Nitridpassivierung der Siliciumoberfläche gemäß einer alternativen bevorzugten Ausführungsform wird ein dünnes Oberflächenoxid in einer Ammoniakatmosphäre wärmebehandelt. Insbesondere wird eine Siliciumdioxidschicht mit einer Dicke von 1–2 nm durch Eintauchen des Substrats in eine Wasserlösung von H2SO4 und H2O2 bei 90°C für 300 Sekunden oder eine Lösung von H2O2 und H2O bei 65°C für 300 Sekunden oder eine Lösung von H2O2 und O3 bei 50°C für 300 Sekunden oder in eine Ozon- oder Sauerstoffatmosphäre mit Ultraviolettaktivierung aufwachsen gelassen. Dann wird das oxidierte Substrat bei 450°C 60 Sekunden lang in einer NH3-Atmosphäre wärmebehandelt. Das Ammoniak dringt in das Oxid ein, und eine Siliciumnitridschicht bildet sich an der Substratgrenzfläche, und das restliche Oxid nimmt Stickstoff auf, so dass sich eine Si-O-N-Verbindung ergibt. Diese Oberflächenpassivierung wird wiederum so abgestimmt, dass in etwa zwei Monoschichten von Siliciumnitrid aufwachsen gelassen werden und die Wechselwirkung des Substrats mit der Prozessumgebung verhindert wird und die Bildung einer dicken SiO2-Grenzschicht vermieden wird.
  • Optional wird das nitrierte Oxid reoxidiert (beispielsweise mit N2O + H2, NO + H2, O2 oder O2 + H2 bei 950°C für 10 Sekunden) und dadurch Oxid (1 bis 2 Monoschichten) an der Siliciumgrenzfläche wiederaufwachsen gelassen, um die Kanalträgerbeweglichkeit wiederherzustellen. Hierdurch wird im Wesentlichen ein Oxid/Nitrid/Oxid-Stapel mit einer Gesamtdicke von 1,8 nm gebildet. Alternativ wird das Oxid mit verdünntem HF von dem Nitrid abgehoben, um eine Nitridpassivierung zu erzielen. 1c zeigt eine generische Oxiddiffusionsbarriere an der Siliciumoberfläche.
  • (3) Bildung des Gate-Dielektrikums
  • Es wird ein dielektrisches Material mit einer hohen Dielektrizitätskonstanten (d. h. einer Dielektrizitätskonstanten größer als 10) durch PVD, CVD, LPCVD, ALD usw. abgeschieden, um ein endgültiges Gate-Dielektrikum mit einer hohen Dielektrizitätskonstanten mit einer Dicke im Bereich von 2,5 bis 7 nm zu erhalten. Das abgeschiedene Dielektrikum mit einer hohen Dielektrizitätskonstanten kann ein Metalloxid, ein Metalloxynitrid, ein Metallsilikat, ein Metallsiliciumnitrid usw. sein. Das Dielektrikum mit einer hohen Dielektrizitätskonstanten könnte nicht stöchiometrisch sein, wie HfwSixOy mit y < 2(w + x). Ein solches Silikat (für w/x in der Größenordnung von 1/3) hat eine effektive Dielektrizitätskonstante von etwa 14, so dass ein 2,5 bis 7 nm dickes Silikat eine äquivalente Siliciumdioxiddicke von 0,8 bis 2 nm, jedoch keinen Leckstrom (Tunnelstrom) eines solchen dünnen Siliciumdioxid-Gate-Dielektrikums aufweist. Ferner könnte die nicht stöchiometrische dielektrische Hafniumsilikatschicht nach der Abscheidung nitriert werden und dadurch Sauerstofffehlstellen kompensieren. Das heißt, dass das sich ergebende dielektrische Material im Allgemeinen HfwSixOyNz sein kann, wobei die Zusammensetzung im Wesentlichen stöchiometrisch, jedoch nicht leicht kristallisierbar ist. 1d zeigt die dielektrische Schicht mit einer hohen Dielektrizitätskonstanten auf der Sauerstoffdiffusions-Sperrschicht.
  • Nach der Abscheidung des dielektrischen Grundmaterials mit einer hohen Dielektrizitätskonstanten kann der dielektrische Stapel (beispielsweise abgeschiedene Monoschichten mit einer hohen Dielektrizitätskonstanten und aus Siliciumnitrid (Siliciumoxid)) in einer Kombination einer Wärmebehandlung mit einer hohen Temperatur (> 600°C) in einer nicht oxidierenden Umgebung, wobei eine Materialverdichtung angestrebt wird, und einer Wärmebehandlung mit einer niedrigen Temperatur (< 900°C) in einer oxidierenden Umgebung, wobei eine Verringerung der Anzahl von Defekten in dem Stapel und/oder ein abschließendes Erreichen der gewünschten Stöchiometrie der Filme angestrebt werden, behandelt werden. Diese Behandlungen nach der Abscheidung können durch ein Plasma angeregte Spezies, durch Ultraviolettlicht angeregte Spezies und sogar das Einwirkenlassen von Flüssigkeiten mit einem oxidierenden Verhalten einschließen. 1e zeigt das sich ergebende Gate-Dielektrikum als eine Einzelschicht.
  • Optional kann der amorphe Charakter der Schicht des Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten durch eine niederenergetische Ionenimplantation gewährleistet werden, wie in 1f dargestellt ist. Die Ionen können von einem Plasma mit einer Vorspannung in der Größenordnung von 500 Volt in Bezug auf das Gate-Dielektrikum mit einer hohen Dielektrizitätskonstanten kommen.
  • (3') Option eines geteilten Gate-Dielektrikums
  • Verschiedene integrierte Schaltungen weisen sowohl Hochspannungs- als auch Niederspannungstransistoren, d. h. MOS-Transistoren mit verschiedenen Betriebsspannungen, wie verschiedenen maximalen Source-Drain-Spannungen und Gate-Source-Spannungen, auf. Beispielsweise könnte ein Hochspannungstransistor für periphere Transistoren oder programmierbare Transistoren verwendet werden, und Niederspannungstransistoren könnten für einen Zentralprozessor oder Transistoren von Speicheranordnungen verwendet werden, um den Stromverbrauch und die Wärmeerzeugung zu begrenzen. Hochspannungs-MOS-Transistoren benötigen ein dickeres Gate-Dielektrikum als Niederspannungs-MOS-Transistoren, um einen Durchbruch zu vermeiden. Eine Modifikation des vorstehend erwähnten Schritts (3) kann durch verschiedene Ansätze zwei oder mehr Dicken von Transistor-Gate-Dielektrika bereitstellen.
  • Beispielsweise wird zuerst eine dünne Siliciumdioxidschicht aufwachsen gelassen, diese Oxidschicht als nächstes in Bereichen für Niederspannungstransistoren abgehoben, dann die Passivierung und Abscheidung eines Dielektrikums mit einer hohen Dielektrizitätskonstanten von Schritt (3) ausgeführt, wobei Dielektrikumsdicken auf die Niederspannungstransistoren abzielen. Das nicht entfernte anfänglich aufgewachsene Oxid unter der Passivierung auf Stickstoffbasis und dem Dielektrikum mit einer hohen Dielektrizitätskonstanten in den Bereichen für Hochspannungstransistoren stellt die benötigte zusätzliche Dicke des Dielektrikums bereit.
  • (4) Abscheidung des Gate-Materials
  • Es wird eine Schicht aus amorphem Silicium, Polysilicium oder Polysilicium-Ge-Gatematerial mit einer Dicke von 80 bis 200 nm auf das behandelte Gate-Dielektrikum mit einer hohen Dielektrizitätskonstanten aufgebracht, wie in 1g dargestellt ist. Die Abscheidung kann eine Niedertemperaturzerlegung von Silan sein. Dann wird das Gate-Material in den NMOS- bzw. PMOS-Bereichen durch nicht kritische Photoresistmaskierung und Dotierungsmaterialimplantationen n- und p-dotiert, wie in 1h dargestellt ist. (Polysiliciumemitter für npn-Bipolartransistoren würden sich im n-dotierten Bereich befinden.)
  • (5) Gate-Maske und Ätzen
  • Es wird eine Photoresistschicht aufgeschleudert, die für fernes Ultraviolettlicht empfindlich ist, und es könnte optional eine Antireflexionsbeschichtung (ARC-Beschichtung) vor dem Photoresist abgeschieden werden, um Interferenzwirkungen während der Belichtung des Photoresists zu begrenzen. Die Zusammensetzung der Antireflexionsbeschichtung und ihre Dicke werden entsprechend der Belichtungswellenlänge und dem Reflexionsgrad des darunter liegenden Materials ausgewählt. Der Photoresist wird durch ein Retikel für Gates und Gate-Ebenen-Zwischenverbindungen belichtet, wobei die minimale Belichtungslinienbreite etwa 50 nm betragen kann. Schließlich werden der Photoresist entwickelt und die belichtete Antireflexionsbeschichtung abgehoben, falls vorhanden.
  • Der strukturierte Photoresist wird als eine Ätzmaske für das anisotrope RIE oder ein hochdichtes Plasmaätzen des Gate-Materials (dotiertes amorphes Silicium) verwendet, um Gates und Gate-Ebenen-Zwischenverbindungen zu bilden. Das Ätzen kann ein aus zwei (oder mehr) Schritten bestehender Prozess mit einem anfänglichen schnellen Ätzen (in der Art einer fluorbasierten Ätzquelle), gefolgt von einem Einwirken einer chlor- oder brombasierten Ätzquelle, die für Siliciumdioxid und das Material des Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten selektiv ist, beispielsweise HBr zuzüglich Sauerstoff, sein. Falls das Gate-Ätzen den Photoresist abträgt, stellt die darunter liegende Antireflexionsbeschichtung eine ausreichende Ätzmaskierung bereit. Gates sind 100 nm hoch und 30 nm lang (1i ist eine Schnittansicht entlang der Gate-Länge, und die Breiten der Gates sind typischerweise viel größer als ihre Längen).
  • (6) Source/Drain-Elektroden
  • Als nächstes werden Seitenwand-Abstandselemente an den Gates (und Gate-Ebenen-Zwischenverbindungen) durch eine unstrukturierte konforme Abscheidung eines Abstandsmaterials (wie 20 nm Siliciumnitrid oder Siliciumdioxid), gefolgt von einem anisotropen Zurückätzen, um das Abstandsmaterial von horizontalen Flächen zu entfernen, gebildet. 1i zeigt auch die sich ergebenden Seitenwand-Abstandselemente.
  • Dotierungsmaterialien werden zur Bildung von Source/Drain-Bereichen unter Verwendung der Gates sowie der Seitenwand-Abstandselemente als selbstjustierende Masken implantiert. Wie zuvor werden während der PMOS-Source/Drain-Implantation eine nicht kritische Maske auf den NMOS-Bereichen und während der NMOS-Source/Drain-Implantation eine nicht kritische Maske auf den PMOS-Bereichen verwendet. Das belichtete Material des Gate- Dielektrikums mit einer hohen Dielektrizitätskonstanten kann zuerst fortgeätzt werden, oder die Implantationen können durch ein belichtetes Material des Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten über den Source/Drain-Bereichen erfolgen. Für leicht dotierte Drain-Bereiche werden erste Implantationen nach der Gate-Bildung, jedoch vor der Bildung der Seitenwand-Abstandselemente verwendet.
  • (7) Zwischenebenendielektrikum und Kontakte
  • 1j zeigt die anschließende Struktur nach der Abscheidung eines ersten Zwischenebenendielektrikums und der Bildung von Kontakten zu Source/Drain-Elektroden. Die Herstellung der integrierten Schaltung wird mit weiteren Ebenen von Dielektrika und Zwischenverbindungen fortgesetzt.
  • 3. Abdecken der Gates
  • Ferner könnten die Gates mit einem Metall oder Metallsilicid abgedeckt werden, um die Leitfähigkeit zu erhöhen, wie in 2 dargestellt ist. Die Abdeckung würde nach der Gate-Dotierung in Schritt (4), jedoch vor der Gate-Bildung in Schritt (5) abgeschieden oder gebildet werden. Natürlich könnte das Gate-Ätzen getrennte Schritte für das Ätzen der Abdeckung und des Siliciums aufweisen.
  • 4. Bevorzugte Ausführungsformen eines verwerfbaren Gates
  • Die 3a3c zeigen ein Verfahren zur Herstellung integrierter Schaltungen mit einem verwertbaren Gate (Blind-Gate) gemäß einer bevorzugten Ausführungsform, wobei eine Bildung eines Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten zuzüglich einer optionalen Amorphisierung gemäß einer bevorzugten Ausführungsform verwendet wird. Insbesondere wird den Schritten (1) bis (5) der vorhergehenden bevorzugten Ausführungsform gefolgt, jedoch ohne Dotierung des Polysilicium-Gatematerials, um ein (undotiertes) Polysilicium-Blind-Gate (Seitenwand-Abstandselemente sind optional und wurden fortgelassen) und Source/Drain-Elektroden in dem Substrat zu bilden. Die Schicht des Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten kann wie in Schritt (3) amorphisiert worden sein, oder die Amorphisierung kann bis nach dem Entfernen der Blind-Gates aufgeschoben werden, oder sie können zu beiden Zeiten ausgeführt werden.
  • Es wird ein 200 nm dickes Zwischenebenendielektrikum (Prämetalldielektrikum), wie TEOS-Oxid, abgeschieden, und die sich ergebende Struktur wird, beispielsweise durch CMP, planarisiert, um den oberen Teil des Polysilicium-Blind-Gates freizulegen. 3a zeigt Blind-Gates, die etwa 200 nm hoch und 50 nm lang sein können.
  • Die Blind-Gates werden mit einem HBr + O2-Plasma ausgeätzt, wobei das Ätzen an der Schicht des Gate-Dielektrikums endet, um Rillen in der Schicht des Zwischenebenendielektrikums zu belassen. Das belichtete Gate-Dielektrikum mit einer hohen Dielektrizitätskonstanten an den Böden der Rillen kann dann, wie in 3b dargestellt, amorphisiert werden.
  • Als nächstes wird ein Gate-Material, wie Polysilicium (oder Polysilicium zuzüglich Metall (das von NMOS und PMOS abweichen kann) für die schließliche Silicidierung), unstrukturiert abgeschieden, um die Böden der Rillen zu füllen und das planarisierte Dielektrikum abzudecken, wobei das Gate-Material dünn (beispielsweise 30 bis 50 nm) sein kann, um eine einfache Modifizierung zu ermöglichen, oder dick (beispielsweise mehr als 50 nm) sein kann, um Gates für NMOS und PMOS mit verschiedenen Austrittsarbeiten, beispielsweise durch nicht kritisches Strukturieren mit Photoresist und Implantieren, zu bilden.
  • Ein Photoresist wird aufgeschleudert und strukturiert, um einen Gate-Oberteil mit einer Länge von 250 nm zu definieren, und der strukturierte Photoresist wird verwendet, um das Gate-Material zur Bildung eines T-förmigen Gates zu ätzen, wie in 3c dargestellt ist.
  • Es wird wie zuvor fortgefahren, um Zwischenebenendielektrika und Zwischenverbindungen zu bilden.
  • 5. Modifikationen
  • Die bevorzugten Ausführungsformen können auf verschiedene Weisen modifiziert werden, während das Merkmal eines auf einer Passivierungsschicht, die als eine Sauerstoffdiffusionsbarriere wirkt, gebildeten Gate-Dielektrikums mit einer hohen Dielektrizitätskonstanten beibehalten wird.
  • Beispielsweise könnte die Siliciumoberfläche zu einer Siliciumgermaniumlegierung in den PMOS-Bereichen modifiziert werden, wobei dies dabei hilft, die Löcherbeweglichkeit und die PMOS-Leistungsfähigkeit zu erhöhen.

Claims (11)

  1. Verfahren zur Herstellung von Feldeffektvorrichtungen mit folgenden Schritten: (a) Bilden einer ersten Schicht einer Sauerstoffdiffusionsbarriere auf einer Siliciumoberfläche, (b) Bilden einer Monoschicht von Siliciumoxid an der Grenzfläche der ersten Schicht und der Siliciumoberfläche, (c) Bilden einer zweiten Schicht eines dielektrischen Materials mit einer hohen Dielektrizitätskonstanten auf der ersten Schicht und (d) Bilden von Gates auf der zweiten Schicht.
  2. Verfahren nach Anspruch 1, wobei das Bilden in Schritt (b) eine Hochtemperaturdiffusion von Sauerstoff durch die erste Schicht aus der Sauerstoffdiffusionsbarriere aufweist.
  3. Verfahren nach Anspruch 1 oder 2, wobei nach Schritt (c) eine Wärmebehandlung ausgeführt wird, um die Anzahl der Defekte zu verringern.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Dielektrikum mit einer hohen Dielektrizitätskonstanten aus Schritt (c) aus der Gruppe ausgewählt wird, die aus Silikaten, Oxiden, Nitriden, Oxynitriden und Nitrid-Silikaten von beliebigen von Hf, Zr, Al, Ti, La, Pr, Y, Gd, Eu, Ta und Legierungen davon besteht.
  5. Verfahren nach einem der Ansprüche 1 bis 4, bei dem weiter nach dem Bilden in Schritt (c) die zweite Schicht durch Ionenbeschuss amorphisiert wird.
  6. Verfahren zur Herstellung von Feldeffektvorrichtungen mit folgenden Schritten: (a) Bilden zweier Monoschichten aus Siliciumoxid auf einer Siliciumoberfläche, (b) Bilden einer ersten Schicht einer Sauerstoffdiffusionsbarriere an der Grenzfläche der Siliciumoxidschicht und der Siliciumoberfläche, (c) Bilden einer zweiten Schicht eines dielektrischen Materials mit einer hohen Dielektrizitätskonstanten auf der Siliciumoxidschicht und (d) Bilden von Gates auf der zweiten Schicht.
  7. Verfahren nach Anspruch 6, wobei das Bilden in Schritt (b) ausgeführt wird, indem die Siliciumoxidoberfläche stickstoffhaltigen Spezies ausgesetzt wird.
  8. Verfahren nach Anspruch 6 oder 7, wobei nach Schritt (c) eine Wärmebehandlung ausgeführt wird, um die Anzahl der Defekte zu verringern.
  9. Verfahren nach einem der Ansprüche 6 bis 8, wobei das Dielektrikum mit einer hohen Dielektrizitätskonstanten aus Schritt (c) aus der Gruppe ausgewählt wird, die aus Silikaten, Oxiden, Nitriden, Oxynitriden und Nitrid-Silikaten von beliebigen von Hf, Zr, Al, Ti, La, Pr, Y, Gd, Eu, Ta und Legierungen davon besteht.
  10. Verfahren nach einem der Ansprüche 6 bis 9, bei dem weiter nach dem Bilden in Schritt (c) die zweite Schicht durch Ionenbeschuss amorphisiert wird.
  11. Integrierte Schaltung mit: einem Substrat mit Feldeffektvorrichtungen, wobei die Vorrichtungen Schichten eines Gate-Dielektrikums einschließlich einer ersten Teilschicht eines Sauerstoffdiffusionsbarrierenmaterials und einer zweiten Teilschicht eines abgeschiedenen Materials mit einer hohen Dielektrizitätskonstanten aufweisen, dadurch gekennzeichnet, dass die Schichten des Gate-Dielektrikums eine Siliciumdioxidmonoschicht an der Grenzfläche mit dem Substrat aufweisen.
DE60319310T 2002-07-31 2003-07-10 Gatterdielektrikum und Verfahren Expired - Lifetime DE60319310T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US210421 2002-07-31
US10/210,421 US6919251B2 (en) 2002-07-31 2002-07-31 Gate dielectric and method

Publications (2)

Publication Number Publication Date
DE60319310D1 DE60319310D1 (de) 2008-04-10
DE60319310T2 true DE60319310T2 (de) 2009-03-19

Family

ID=30115237

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60319310T Expired - Lifetime DE60319310T2 (de) 2002-07-31 2003-07-10 Gatterdielektrikum und Verfahren

Country Status (4)

Country Link
US (2) US6919251B2 (de)
EP (1) EP1387399B1 (de)
JP (1) JP2004111922A (de)
DE (1) DE60319310T2 (de)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7183165B2 (en) * 2002-11-25 2007-02-27 Texas Instruments Incorporated Reliable high voltage gate dielectric layers using a dual nitridation process
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US7258895B2 (en) 2003-08-06 2007-08-21 Micron Technology, Inc. Methods of forming material on a substrate, and a method of forming a field effect transistor gate oxide on a substrate
US6974779B2 (en) * 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050181619A1 (en) * 2004-02-12 2005-08-18 National Taiwan University Method for forming metal oxide layer by nitric acid oxidation
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
JP2005317647A (ja) * 2004-04-27 2005-11-10 Toshiba Corp 半導体装置及びその製造方法
US7381619B2 (en) * 2004-04-27 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work-function metal gates
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4040602B2 (ja) * 2004-05-14 2008-01-30 Necエレクトロニクス株式会社 半導体装置
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7592678B2 (en) * 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
KR100546161B1 (ko) * 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US20060019451A1 (en) * 2004-07-22 2006-01-26 Jeng-Huey Hwang Method for patterning hfo2-containing dielectric
JP2006120718A (ja) * 2004-10-19 2006-05-11 Toshiba Corp 半導体装置およびその製造方法
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7253050B2 (en) * 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
KR20060072498A (ko) * 2004-12-23 2006-06-28 동부일렉트로닉스 주식회사 반도체 소자와 그의 제조방법
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7160781B2 (en) * 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7361538B2 (en) * 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
KR100731070B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 반도체 소자의 게이트 형성방법
US7524727B2 (en) 2005-12-30 2009-04-28 Intel Corporation Gate electrode having a capping layer
US7473946B2 (en) * 2006-02-22 2009-01-06 International Business Machines Corporation CMOS structure and method including multiple crystallographic planes
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
KR100800683B1 (ko) * 2006-08-31 2008-02-01 동부일렉트로닉스 주식회사 반도체 소자의 형성방법
JP5590886B2 (ja) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US7649243B2 (en) 2006-11-06 2010-01-19 International Business Machines Corporation Semiconductor structures incorporating multiple crystallographic planes and methods for fabrication thereof
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
US8686490B2 (en) * 2006-12-20 2014-04-01 Sandisk Corporation Electron blocking layers for electronic devices
US7847341B2 (en) * 2006-12-20 2010-12-07 Nanosys, Inc. Electron blocking layers for electronic devices
US20090108294A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Scalable high-k dielectric gate stack
JP5104373B2 (ja) * 2008-02-14 2012-12-19 日本ゼオン株式会社 位相差板の製造方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
CN102054668B (zh) * 2009-10-28 2012-02-22 中国科学院微电子研究所 电子束正性光刻胶Zep 520掩蔽介质刻蚀的方法
US8318565B2 (en) 2010-03-11 2012-11-27 International Business Machines Corporation High-k dielectric gate structures resistant to oxide growth at the dielectric/silicon substrate interface and methods of manufacture thereof
KR20110123544A (ko) * 2010-05-07 2011-11-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8404530B2 (en) * 2011-07-07 2013-03-26 International Business Machines Corporation Replacement metal gate with a conductive metal oxynitride layer
US8564074B2 (en) * 2011-11-29 2013-10-22 International Business Machines Corporation Self-limiting oxygen seal for high-K dielectric and design structure
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US8859410B2 (en) 2013-03-14 2014-10-14 International Business Machines Corporation Gate stack of boron semiconductor alloy, polysilicon and high-k gate dielectric for low voltage applications
CN105206531B (zh) * 2014-06-30 2018-08-10 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10181397B2 (en) 2015-09-30 2019-01-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method for forming the same
CN113480316B (zh) * 2021-06-30 2022-05-17 中国人民解放军国防科技大学 一种非化学计量比氧氮化物纳米粉及其制备方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4313782A (en) * 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
JPH0770708B2 (ja) * 1986-03-25 1995-07-31 株式会社東芝 電界効果トランジスタ
US4968136A (en) * 1988-09-02 1990-11-06 Northrop Corporation Ring laser gyro and magnetic mirror therefor
US6040995A (en) * 1996-08-01 2000-03-21 Siemens Aktiengesellschaft Method of operating a storage cell arrangement
US5891798A (en) 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US6335238B1 (en) * 1997-05-08 2002-01-01 Texas Instruments Incorporated Integrated dielectric and method
US6020024A (en) 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
JP3967440B2 (ja) * 1997-12-09 2007-08-29 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6140676A (en) * 1998-05-20 2000-10-31 Cypress Semiconductor Corporation Semiconductor non-volatile memory device having an improved write speed
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
DE19946437A1 (de) * 1999-09-28 2001-04-12 Infineon Technologies Ag Ferroelektrischer Transistor
US6383879B1 (en) * 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6445015B1 (en) * 2000-05-04 2002-09-03 Osemi, Incorporated Metal sulfide semiconductor transistor devices
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6642590B1 (en) * 2000-10-19 2003-11-04 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer and barrier layer for CMOS devices and method of making with a replacement gate process
JP4151229B2 (ja) * 2000-10-26 2008-09-17 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
US6638877B2 (en) * 2000-11-03 2003-10-28 Texas Instruments Incorporated Ultra-thin SiO2using N2O as the oxidant
US6680504B2 (en) * 2000-12-22 2004-01-20 Texas Instruments Incorporated Method for constructing a metal oxide semiconductor field effect transistor
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP4152598B2 (ja) * 2001-03-16 2008-09-17 スパンション エルエルシー 半導体装置の製造方法
DE10228768A1 (de) * 2001-06-28 2003-01-16 Samsung Electronics Co Ltd Nicht-flüchtige Floating-Trap-Halbleiterspeichervorrichtungen, die Sperrisolationsschichten mit hohen Dielektrizitätskonstanten enthaltend, und Verfahren
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030089935A1 (en) * 2001-11-13 2003-05-15 Macronix International Co., Ltd. Non-volatile semiconductor memory device with multi-layer gate insulating structure
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6821873B2 (en) * 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US20030141560A1 (en) * 2002-01-25 2003-07-31 Shi-Chung Sun Incorporating TCS-SiN barrier layer in dual gate CMOS devices
US6677213B1 (en) * 2002-03-08 2004-01-13 Cypress Semiconductor Corp. SONOS structure including a deuterated oxide-silicon interface and method for making the same
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7221586B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates

Also Published As

Publication number Publication date
EP1387399B1 (de) 2008-02-27
US6919251B2 (en) 2005-07-19
EP1387399A3 (de) 2004-12-15
US7423326B2 (en) 2008-09-09
US20040023462A1 (en) 2004-02-05
DE60319310D1 (de) 2008-04-10
US20050205948A1 (en) 2005-09-22
EP1387399A2 (de) 2004-02-04
JP2004111922A (ja) 2004-04-08

Similar Documents

Publication Publication Date Title
DE60319310T2 (de) Gatterdielektrikum und Verfahren
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE112014006222B4 (de) Verfahren zum Ausbilden von SONOS-Speichertransistoren und CMOS-Transistoren
US7449385B2 (en) Gate dielectric and method
US5998271A (en) Method of producing an MOS transistor
DE102005009976B4 (de) Transistor mit Dotierstoff tragendem Metall im Source- und Drainbereich
US8679927B2 (en) Integration of non-volatile charge trap memory devices and logic CMOS devices
US6162688A (en) Method of fabricating a transistor with a dielectric underlayer and device incorporating same
DE112015000701T5 (de) Verfahren zum Herstellen eines Ladungseinfang-Gate-Stapels unter Verwendung eines CMOS-Prozessflusses
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
US8021990B2 (en) Gate structure and method
DE102012102783A1 (de) Rippenfeldeffekttransistoren und Verfahren zur Herstellung derselben
JP2004072094A (ja) ゲート構造体及び方法
DE102007004862B4 (de) Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
US5943592A (en) Method of making a MIS transistor
DE102019129773B4 (de) Transistoren mit reduzierten defekten und verfahren zu deren herstellung
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE10207122B4 (de) Ein Verfahren zur Herstellung von Schichten aus Oxid auf einer Oberfläche eines Substrats
JP4505349B2 (ja) 半導体装置の製造方法
DE102012206405A1 (de) Verfahren zur Erzielung erhöhter Bauteilzuverlässigkeit eines Halbleiterbauelements durch Bereitstellen günstigerer Prozessbedingungen beim Aufwachsen einer Schicht mit großem ε
JP2004165470A (ja) 半導体装置及びその製造方法
DE102021103461A1 (de) Gate-isolation für multigate-vorrichtung
DE102021100467A1 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition