DE68911478D1 - Abscheidung von Silizium enthaltenden Filmen unter Verwendung von Organosilizium-Verbindungen und Stickstofftrifluorid. - Google Patents

Abscheidung von Silizium enthaltenden Filmen unter Verwendung von Organosilizium-Verbindungen und Stickstofftrifluorid.

Info

Publication number
DE68911478D1
DE68911478D1 DE89119151T DE68911478T DE68911478D1 DE 68911478 D1 DE68911478 D1 DE 68911478D1 DE 89119151 T DE89119151 T DE 89119151T DE 68911478 T DE68911478 T DE 68911478T DE 68911478 D1 DE68911478 D1 DE 68911478D1
Authority
DE
Germany
Prior art keywords
deposition
silicon
organosilicon compounds
nitrogen trifluoride
containing films
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE89119151T
Other languages
English (en)
Other versions
DE68911478T2 (de
Inventor
Andrew P Lane
Gene R Frederick
Douglas A Webb
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of DE68911478D1 publication Critical patent/DE68911478D1/de
Publication of DE68911478T2 publication Critical patent/DE68911478T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/114Nitrides of silicon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/118Oxide films
DE68911478T 1988-10-26 1989-10-16 Abscheidung von Silizium enthaltenden Filmen unter Verwendung von Organosilizium-Verbindungen und Stickstofftrifluorid. Expired - Fee Related DE68911478T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/263,162 US4894352A (en) 1988-10-26 1988-10-26 Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride

Publications (2)

Publication Number Publication Date
DE68911478D1 true DE68911478D1 (de) 1994-01-27
DE68911478T2 DE68911478T2 (de) 1994-06-23

Family

ID=23000656

Family Applications (1)

Application Number Title Priority Date Filing Date
DE68911478T Expired - Fee Related DE68911478T2 (de) 1988-10-26 1989-10-16 Abscheidung von Silizium enthaltenden Filmen unter Verwendung von Organosilizium-Verbindungen und Stickstofftrifluorid.

Country Status (4)

Country Link
US (1) US4894352A (de)
EP (1) EP0367004B1 (de)
JP (1) JP2848647B2 (de)
DE (1) DE68911478T2 (de)

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
EP0419053B1 (de) * 1989-08-31 1997-12-29 AT&T Corp. Methode und Vorrichtung zur Ablagerung einer isolierten Schicht
JPH03198338A (ja) * 1989-12-27 1991-08-29 Handotai Process Kenkyusho:Kk 気相成長膜の形成方法および半導体装置
US5328872A (en) * 1989-12-29 1994-07-12 At&T Bell Laboratories Method of integrated circuit manufacturing using deposited oxide
US5132774A (en) * 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
DE69107101T2 (de) 1990-02-06 1995-05-24 Semiconductor Energy Lab Verfahren zum Herstellen eines Oxydfilms.
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
EP0511780A1 (de) * 1991-04-30 1992-11-04 AT&T Corp. Herstellungsverfahren von einem flachen Dielektrikum
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
EP0518544B1 (de) * 1991-06-10 2000-08-30 AT&T Corp. Anisotropische Ablagerung von Dielektrika
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JPH05251707A (ja) * 1992-03-04 1993-09-28 Koudo Eizou Gijutsu Kenkyusho:Kk 薄膜トランジスタおよびその製造方法
CN1052569C (zh) * 1992-08-27 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
US5599387A (en) * 1993-02-16 1997-02-04 Ppg Industries, Inc. Compounds and compositions for coating glass with silicon oxide
US5356718A (en) * 1993-02-16 1994-10-18 Ppg Industries, Inc. Coating apparatus, method of coating glass, compounds and compositions for coating glasss and coated glass substrates
US5863337A (en) * 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
US7465679B1 (en) 1993-02-19 2008-12-16 Semiconductor Energy Laboratory Co., Ltd. Insulating film and method of producing semiconductor device
KR0143873B1 (ko) * 1993-02-19 1998-08-17 순페이 야마자끼 절연막 및 반도체장치 및 반도체 장치 제조방법
JP3637069B2 (ja) 1993-03-12 2005-04-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5932286A (en) * 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JPH06326026A (ja) * 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
US5434109A (en) * 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
US5840374A (en) * 1993-12-28 1998-11-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a SiO2 passivation film on a plastic substrate
JP3364694B2 (ja) * 1993-12-28 2003-01-08 株式会社アルバック 保護膜の形成方法
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5766342A (en) * 1994-10-19 1998-06-16 Matsushita Electric Industrial Co., Ltd. Method for forming silicon film and silicon film forming apparatus
EP0724286A1 (de) * 1995-01-25 1996-07-31 Applied Materials, Inc. Verfahren zur Herstellung eines Dünnfilms aus Siliziumoxid für eine Halbleitervorrichtung
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5635425A (en) * 1995-05-25 1997-06-03 Industrial Technology Research Institute In-situ N2 plasma treatment for PE TEOS oxide deposition
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
EP0759481A1 (de) * 1995-06-23 1997-02-26 Novellus Systems, Inc. Verfahren zum Abscheiden eines stabilen Films aus fluoriertem TEOS
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5763021A (en) * 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
KR100518147B1 (ko) * 1998-06-01 2005-11-25 가부시키가이샤 아루박 증착장치,유기증발원및유기박막제조방법
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
EP1123991A3 (de) * 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
WO2002033728A1 (de) * 2000-10-19 2002-04-25 Robert Bosch Gmbh Vorrichtung und verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
ATE402277T1 (de) * 2002-02-05 2008-08-15 Dow Global Technologies Inc Chemische dampfphasenabscheidung auf einem substrat mittels eines korona-plasmas
WO2003088280A1 (en) * 2002-04-08 2003-10-23 Council Of Scientific And Industrial Research Process for the production of neodymium-iron-boron permanent magnet alloy powder
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6858548B2 (en) * 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US20040018750A1 (en) * 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
AU2003257809A1 (en) * 2002-10-31 2004-05-25 Kyoraku Co., Ltd. Impact absorbing body for vehicle
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9190341B2 (en) 2012-06-05 2015-11-17 Texas Instruments Incorporated Lidded integrated circuit package
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2447224A1 (de) * 1974-10-03 1976-04-15 Ibm Deutschland Verfahren zum aufwachsen von pyrolitischen siliciumdioxidschichten
DE2944180A1 (de) * 1979-11-02 1981-05-07 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum herstellen einer einen halbleiterkoerper einseitig bedeckenden isolierschicht
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4641420A (en) * 1984-08-30 1987-02-10 At&T Bell Laboratories Metalization process for headless contact using deposited smoothing material
US4554047A (en) * 1984-10-12 1985-11-19 At&T Bell Laboratories Downstream apparatus and technique
ATE64237T1 (de) * 1985-05-22 1991-06-15 Siemens Ag Verfahren zum herstellen von mit bor und phosphor dotierten siliziumoxid-schichten fuer integrierte halbleiterschaltungen.
KR870000750A (ko) * 1985-06-14 1987-02-20 이마드 마하윌리 이산화실리콘 필름을 화학적으로 증기피복하는 방법
JPS62328A (ja) * 1985-06-25 1987-01-06 古田 直樹 超音波プロ−ブ用アプリケ−タ
DE3717985A1 (de) * 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
US4737429A (en) * 1986-06-26 1988-04-12 Xerox Corporation Layered amorphous silicon imaging members
US4748131A (en) * 1987-02-06 1988-05-31 The Aerospace Corporation Method for increasing radiation hardness of MOS gate oxides

Also Published As

Publication number Publication date
JPH02236282A (ja) 1990-09-19
US4894352A (en) 1990-01-16
DE68911478T2 (de) 1994-06-23
EP0367004A1 (de) 1990-05-09
EP0367004B1 (de) 1993-12-15
JP2848647B2 (ja) 1999-01-20

Similar Documents

Publication Publication Date Title
DE68911478D1 (de) Abscheidung von Silizium enthaltenden Filmen unter Verwendung von Organosilizium-Verbindungen und Stickstofftrifluorid.
DE68909774D1 (de) Abscheidung von Siliziumdioxid-Filmen, ausgehend von flüssigen Alkylsilanen.
DE69323431T2 (de) Herstellung von feststoffmaterialien
DE69225299T2 (de) Beschichtungsverfahren unter Verwendung von dichten Gasphasen
DE69022667T2 (de) Anisotropische Ablagerung von Siliziumdioxyd.
NO932127D0 (no) Imidatderivater av farmasoeytisk nyttige antikonvulserendesulfamater
DK482987D0 (da) Antibegroningscoatingsmatriale
DE69422892D1 (de) Verwendung von halbleitergassensoren
IT9019627A0 (it) Rivestimenti di carburo di silicio
IT1236887B (it) Suscettore
DE69315370T2 (de) Herstellung von rauhen Silizium-Oberflächen
DE68917503D1 (de) Epitaktische Ablagerung von dünnen Schichten.
DE69325073T2 (de) Borazinderivate von Hydridopolysilazanpolymeren
DE69102980T2 (de) Reinigung von Siliziumtetrachlorid.
DE68914277D1 (de) Photochemische abscheidung von hochreinen goldfilmen.
FR2607178B2 (fr) Serrure pour coffres et applications analogues
KR910001888A (ko) 이산화규소 막 증착 방법 및 그 제품
GB8709629D0 (en) Deposition of thin films
FI101473B1 (fi) Menetelmä vetysiloksaanien valmistamiseksi
DE69606449T2 (de) Züchtung von Silizium-Einkristall
FI961178A (fi) Menetelmä syklisten cis-1-amino-2-alkanolien valmistamiseksi
DE68919124D1 (de) Herstellung von Alkylsilanen.
DE69003091T2 (de) Herstellung von Siliciumcarbidgegenständen.
DE69400945D1 (de) Herstellung von Siliziumnitrid-Pulver mit hohem Alpha-Gehalt
AU3121589A (en) Improved solution growth of silicon films

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee