DE68928944T2 - Auf Plasmaerzeugung basierendes Verfahren - Google Patents

Auf Plasmaerzeugung basierendes Verfahren

Info

Publication number
DE68928944T2
DE68928944T2 DE68928944T DE68928944T DE68928944T2 DE 68928944 T2 DE68928944 T2 DE 68928944T2 DE 68928944 T DE68928944 T DE 68928944T DE 68928944 T DE68928944 T DE 68928944T DE 68928944 T2 DE68928944 T2 DE 68928944T2
Authority
DE
Germany
Prior art keywords
process based
plasma generation
plasma
generation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE68928944T
Other languages
English (en)
Other versions
DE68928944D1 (de
Inventor
Daniel Lawrence Flamm
Wayne Lee Johnson
Dale Edward Ibbotson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
AT&T Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AT&T Corp filed Critical AT&T Corp
Application granted granted Critical
Publication of DE68928944D1 publication Critical patent/DE68928944D1/de
Publication of DE68928944T2 publication Critical patent/DE68928944T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/949Energy beam treating radiation resist on semiconductor
DE68928944T 1988-12-28 1989-12-13 Auf Plasmaerzeugung basierendes Verfahren Expired - Fee Related DE68928944T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/290,740 US4918031A (en) 1988-12-28 1988-12-28 Processes depending on plasma generation using a helical resonator

Publications (2)

Publication Number Publication Date
DE68928944D1 DE68928944D1 (de) 1999-04-15
DE68928944T2 true DE68928944T2 (de) 1999-08-26

Family

ID=23117347

Family Applications (1)

Application Number Title Priority Date Filing Date
DE68928944T Expired - Fee Related DE68928944T2 (de) 1988-12-28 1989-12-13 Auf Plasmaerzeugung basierendes Verfahren

Country Status (6)

Country Link
US (1) US4918031A (de)
EP (2) EP0871199A3 (de)
JP (1) JPH02222141A (de)
KR (1) KR930007100B1 (de)
CA (1) CA1303253C (de)
DE (1) DE68928944T2 (de)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2507888B2 (ja) * 1988-11-19 1996-06-19 工業技術院長 ヘテロ構造体の製造方法
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
DE69116058T2 (de) * 1990-09-27 1996-08-22 At & T Corp Verfahren zur Herstellung integrierter Schaltungen
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US5288969A (en) * 1991-08-16 1994-02-22 Regents Of The University Of California Electrodeless plasma torch apparatus and methods for the dissociation of hazardous waste
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
MX9303141A (es) * 1992-05-28 1994-04-29 Polar Materials Inc Metodos y aparatos para depositar recubrimientos de barrera.
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
CA2097388A1 (en) * 1992-07-16 1994-01-17 Susan Nord Bohlke Topographical selective patterns
US6258497B1 (en) * 1992-07-29 2001-07-10 International Business Machines Corporation Precise endpoint detection for etching processes
US6194325B1 (en) 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
JP3290777B2 (ja) * 1993-09-10 2002-06-10 株式会社東芝 誘導結合型高周波放電方法および誘導結合型高周波放電装置
US5431968A (en) * 1993-12-07 1995-07-11 Miller; Paul A. Method for simultaneously coating a plurality of filaments
FR2714789B1 (fr) * 1993-12-30 1996-03-22 Plasmion Dispositif pour former un plasma par application de micro-ondes.
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
DE69506619T2 (de) * 1994-06-02 1999-07-15 Applied Materials Inc Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5549795A (en) * 1994-08-25 1996-08-27 Hughes Aircraft Company Corona source for producing corona discharge and fluid waste treatment with corona discharge
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
EP0726593A1 (de) * 1995-02-13 1996-08-14 Applied Materials, Inc. Hochleistung-Reaktivespezieserzeuger auf der Basis von Plasma
FR2734979B1 (fr) * 1995-05-29 1997-08-01 Univ Lille Sciences Tech Cavite de decharge forte puissance a onde lente dans le domaine des radiofrequences
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6264812B1 (en) * 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
EP0777267A1 (de) 1995-11-28 1997-06-04 Applied Materials, Inc. Verfahren zum Ätzen von Oxid mit hoher Selektivität für Nitrid, geeignet für Oberflächen mit unebener Topographie
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5895548A (en) * 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JP2001523887A (ja) * 1997-11-14 2001-11-27 東京エレクトロン株式会社 プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6516742B1 (en) 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
EP1073779A4 (de) 1998-04-13 2007-05-30 Tokyo Electron Ltd Regduzierte impedanzkammer
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
GB9811081D0 (en) * 1998-05-22 1998-07-22 Central Research Lab Ltd Apparatus for coupling power into a body of gas
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
JP4144095B2 (ja) * 1999-02-04 2008-09-03 ソニー株式会社 粒状体に対するプラズマ処理方法およびプラズマ処理装置
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
TW460610B (en) * 1999-03-03 2001-10-21 Anelva Corp A plasma processing system
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6320316B1 (en) * 1999-05-19 2001-11-20 Central Research Laboratories, Limited Apparatus for coupling power into a body of gas
DE19923018C2 (de) * 1999-05-19 2001-09-27 Univ Dresden Tech Vorrichtung zur Bearbeitung bandförmiger Werkstücke mit Hilfe resonanter Hochfrequenzplasmen
TW584905B (en) 2000-02-25 2004-04-21 Tokyo Electron Ltd Method and apparatus for depositing films
JP2003529930A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 ドライシリル化プラズマエッチング方法
DE10018143C5 (de) * 2000-04-12 2012-09-06 Oerlikon Trading Ag, Trübbach DLC-Schichtsystem sowie Verfahren und Vorrichtung zur Herstellung eines derartigen Schichtsystems
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
US6791280B2 (en) 2001-03-30 2004-09-14 Advanced Lighting Technologies, Inc. System and method for generating a discharge in high pressure gases
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US7281492B2 (en) * 2002-04-01 2007-10-16 Advanced Lighting Technologies, Inc. System and method for generating a discharge in gases
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20040173314A1 (en) * 2003-03-05 2004-09-09 Ryoji Nishio Plasma processing apparatus and method
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
EP1683317B1 (de) * 2003-09-19 2010-11-10 Panasonic Corporation Mehrträgerkommunikationsverfahren, system und vorrichtung
US7309842B1 (en) 2004-03-19 2007-12-18 Verionix Incorporated Shielded monolithic microplasma source for prevention of continuous thin film formation
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
GB0610300D0 (en) * 2006-05-24 2006-07-05 A C Cossor & Son Surgical Ltd Deflation control valve
US20070276267A1 (en) * 2006-05-24 2007-11-29 A. C. Cossor & Son (Surgical) Limited Deflation control valve
US20090200524A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
KR101040512B1 (ko) * 2009-11-26 2011-06-16 한국철도기술연구원 철도레일로부터 검측된 궤도틀림 데이터 및 거동측정 데이터의 위치 동기화 방법 및 이를 수행하기 위한 시스템
KR101040511B1 (ko) * 2009-11-26 2011-06-16 한국철도기술연구원 철도레일로부터 검측된 궤도틀림 데이터의 위치 동기화 방법 및 이를 수행하기 위한 시스템
DE102010001395B4 (de) 2010-01-29 2013-11-14 Forschungsverbund Berlin E.V. Miniaturisierbare Plasmaquelle
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
CA2905931C (en) * 2013-03-13 2021-10-26 Radom Corporation Microwave plasma spectrometer using dielectric resonator
US9029267B2 (en) 2013-05-16 2015-05-12 Lam Research Corporation Controlling temperature of a faraday shield
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
JP6454488B2 (ja) * 2014-07-10 2019-01-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
GB201820625D0 (en) * 2018-12-18 2019-01-30 Univ Surrey Single run deposition for forming supercomposite structures

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3297465A (en) * 1963-12-31 1967-01-10 Ibm Method for producing organic plasma and for depositing polymer films
FR1442502A (fr) * 1964-05-08 1966-06-17 Int Standard Electric Corp Perfectionnements aux méthodes de formation de couches
US3530335A (en) * 1969-02-03 1970-09-22 Humphreys Corp Induction plasma generator with high velocity sheath
US4123663A (en) * 1975-01-22 1978-10-31 Tokyo Shibaura Electric Co., Ltd. Gas-etching device
US4066037A (en) * 1975-12-17 1978-01-03 Lfe Corportion Apparatus for depositing dielectric films using a glow discharge
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS5329076A (en) * 1976-08-31 1978-03-17 Toshiba Corp Plasma treating apparatus of semiconductor substrates
JPS53121469A (en) * 1977-03-31 1978-10-23 Toshiba Corp Gas etching unit
US4298443A (en) * 1979-08-09 1981-11-03 Bell Telephone Laboratories, Incorporated High capacity etching apparatus and method
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
JPS5924846A (ja) * 1982-07-26 1984-02-08 エスヴィージー・リトグラフィー・システムズ・インコーポレイテッド ホトレジストの乾式現像法
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching

Also Published As

Publication number Publication date
JPH02222141A (ja) 1990-09-04
EP0376546B1 (de) 1999-03-10
EP0376546A3 (de) 1991-07-03
EP0871199A3 (de) 1998-11-04
EP0376546A2 (de) 1990-07-04
KR900010952A (ko) 1990-07-11
US4918031A (en) 1990-04-17
EP0871199A2 (de) 1998-10-14
DE68928944D1 (de) 1999-04-15
CA1303253C (en) 1992-06-09
KR930007100B1 (ko) 1993-07-29

Similar Documents

Publication Publication Date Title
DE68928944T2 (de) Auf Plasmaerzeugung basierendes Verfahren
KR910014013A (ko) 플라즈마 발생장치
DE68929274T2 (de) Elektrophotographisches Verfahren
DE3883824T2 (de) Plasmaerzeugungsgerät.
DE69029649D1 (de) Plasma-Zündanlage
KR880022064U (ko) 진공발생장치
BR8701423A (pt) Aparelhagem de ignicao a plasma
BR8904877A (pt) Pistola de plasma
ID23510A (id) Proses polimerisasi
DK327389A (da) Asynkrongeneratorsystem
DE68928794D1 (de) Bezugsgenerator
DE68927723D1 (de) Adressgenerator
DE68918602D1 (de) Elektroanalytisches Verfahren.
DK625388A (da) Mikroboelgeimpulsgenerator
DE3855896D1 (de) Plasmavorrichtung
DE68911390T2 (de) Plasmareaktor.
DE3854792D1 (de) Plasmareaktor
DE68918996D1 (de) Elektrophotographisches Verfahren.
FR2639171B1 (fr) Reacteur a plasma
DE69330730D1 (de) Wellenformerzeugungsschaltung
KR900013292U (ko) 발전기의 발전자
ATA119288A (de) Rohrgenerator
DE68912299T2 (de) Elektrophotographisches verfahren.
DE68921893D1 (de) Verfahren zur elektrizitätsversorgung.
KR930010070U (ko) 플라즈마 발생장치

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee