DE69031807D1 - Methode zur Reduzierung der Leistungsaufnahme eines Rechners - Google Patents

Methode zur Reduzierung der Leistungsaufnahme eines Rechners

Info

Publication number
DE69031807D1
DE69031807D1 DE69031807T DE69031807T DE69031807D1 DE 69031807 D1 DE69031807 D1 DE 69031807D1 DE 69031807 T DE69031807 T DE 69031807T DE 69031807 T DE69031807 T DE 69031807T DE 69031807 D1 DE69031807 D1 DE 69031807D1
Authority
DE
Germany
Prior art keywords
reducing
computer
power consumption
consumption
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69031807T
Other languages
English (en)
Other versions
DE69031807T2 (de
Inventor
Leroy D Harper
Grayson C Schlichting
Ian H S Cullimore
Douglas A Hooks
Gavin Bradshaw
Biswa R Banerjee
John P Fairbanks
Roderick W Stone
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Personal Systems Inc
Original Assignee
Fujitsu Personal Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Personal Systems Inc filed Critical Fujitsu Personal Systems Inc
Publication of DE69031807D1 publication Critical patent/DE69031807D1/de
Application granted granted Critical
Publication of DE69031807T2 publication Critical patent/DE69031807T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/325Power saving in peripheral device
    • G06F1/3265Power saving in display device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate
DE69031807T 1989-06-30 1990-06-29 Methode zur Reduzierung der Leistungsaufnahme eines Rechners Expired - Fee Related DE69031807T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US37344089A 1989-06-30 1989-06-30
US43664289A 1989-11-13 1989-11-13

Publications (2)

Publication Number Publication Date
DE69031807D1 true DE69031807D1 (de) 1998-01-22
DE69031807T2 DE69031807T2 (de) 1998-04-09

Family

ID=27006171

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69031807T Expired - Fee Related DE69031807T2 (de) 1989-06-30 1990-06-29 Methode zur Reduzierung der Leistungsaufnahme eines Rechners
DE199090910983T Pending DE479887T1 (de) 1989-06-30 1990-06-29 Stromversorgungsmanagementsystem fuer rechner.
DE69033149T Expired - Fee Related DE69033149T2 (de) 1989-06-30 1990-06-29 Taktsystem

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE199090910983T Pending DE479887T1 (de) 1989-06-30 1990-06-29 Stromversorgungsmanagementsystem fuer rechner.
DE69033149T Expired - Fee Related DE69033149T2 (de) 1989-06-30 1990-06-29 Taktsystem

Country Status (8)

Country Link
US (2) US5428790A (de)
EP (3) EP0479887A4 (de)
JP (1) JP3406594B2 (de)
KR (1) KR960003412B1 (de)
AU (1) AU6031390A (de)
CA (2) CA2063413C (de)
DE (3) DE69031807T2 (de)
WO (1) WO1991000566A1 (de)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5222239A (en) * 1989-07-28 1993-06-22 Prof. Michael H. Davis Process and apparatus for reducing power usage microprocessor devices operating from stored energy sources
US6158012A (en) * 1989-10-30 2000-12-05 Texas Instruments Incorporated Real-time power conservation and thermal management for computers
US5218704A (en) * 1989-10-30 1993-06-08 Texas Instruments Real-time power conservation for portable computers
US5396635A (en) * 1990-06-01 1995-03-07 Vadem Corporation Power conservation apparatus having multiple power reduction levels dependent upon the activity of the computer system
US5768613A (en) * 1990-07-06 1998-06-16 Advanced Micro Devices, Inc. Computing apparatus configured for partitioned processing
US5414860A (en) * 1991-01-29 1995-05-09 International Business Machines Incorporated Power management initialization for a computer operable under a plurality of operating systems
US5410711A (en) * 1991-02-14 1995-04-25 Dell Usa, L.P. Portable computer with BIOS-independent power management
EP0523652B1 (de) * 1991-07-16 1999-02-03 Canon Kabushiki Kaisha Elektronisches Gerät mit Wiederholungsfunktion
WO1993006545A1 (en) * 1991-09-13 1993-04-01 Wang Laboratories, Inc. Power savings with ms-dos idle loop
JPH05119876A (ja) * 1991-10-25 1993-05-18 Toshiba Corp 電子装置及びその装置に含まれる集積回路
US6343363B1 (en) * 1994-09-22 2002-01-29 National Semiconductor Corporation Method of invoking a low power mode in a computer system using a halt instruction
JP3090767B2 (ja) * 1992-04-02 2000-09-25 ダイヤセミコンシステムズ株式会社 コンピュータシステムの節電制御装置
JP3058986B2 (ja) * 1992-04-02 2000-07-04 ダイヤセミコンシステムズ株式会社 コンピュータシステムの節電制御装置
US5423045A (en) * 1992-04-15 1995-06-06 International Business Machines Corporation System for distributed power management in portable computers
JPH05297993A (ja) * 1992-04-16 1993-11-12 Dia Semikon Syst Kk マイクロプロセッサの駆動制御装置
FR2690768A1 (fr) * 1992-04-30 1993-11-05 Innovatron Sa Système d'échange de données entre un objet portatif à microcircuit électronique et un dispositif de transfert à tension d'alimentation de fonctionnement adaptative.
US5821924A (en) * 1992-09-04 1998-10-13 Elonex I.P. Holdings, Ltd. Computer peripherals low-power-consumption standby system
US5613135A (en) * 1992-09-17 1997-03-18 Kabushiki Kaisha Toshiba Portable computer having dedicated register group and peripheral controller bus between system bus and peripheral controller
US5339445A (en) * 1992-11-16 1994-08-16 Harris Corporation Method of autonomously reducing power consumption in a computer sytem by compiling a history of power consumption
US5586307A (en) * 1993-06-30 1996-12-17 Intel Corporation Method and apparatus supplying synchronous clock signals to circuit components
US6031867A (en) * 1993-07-02 2000-02-29 Multi-Tech Systems, Inc. Modem with firmware upgrade feature
US5548763A (en) * 1993-07-26 1996-08-20 International Business Machines Corporation Desk top computer system having multi-level power management
KR950010897B1 (ko) * 1993-08-06 1995-09-25 삼성전자주식회사 컴퓨터 시스템에서 컴퓨터 주변장치의 전원관리신호 발생방법 및 제어장치
US5600839A (en) * 1993-10-01 1997-02-04 Advanced Micro Devices, Inc. System and method for controlling assertion of a peripheral bus clock signal through a slave device
KR100352045B1 (ko) * 1994-01-10 2002-12-28 선 마이크로시스템즈 인코퍼레이티드 컴퓨터시스템에서전력소모를감소시키기위한방법및장치
EP0666529B1 (de) * 1994-02-02 2004-10-06 Advanced Micro Devices, Inc. Leistungssteuerung in einem asynchronen Sender/Empfänger
US5511203A (en) * 1994-02-02 1996-04-23 Advanced Micro Devices Power management system distinguishing between primary and secondary system activity
AU2471395A (en) * 1994-05-10 1995-11-29 Apple Computer, Inc. A method and apparatus for controlling power for subsystems
US7167993B1 (en) * 1994-06-20 2007-01-23 Thomas C Douglass Thermal and power management for computer systems
US5752011A (en) * 1994-06-20 1998-05-12 Thomas; C. Douglas Method and system for controlling a processor's clock frequency in accordance with the processor's temperature
US5675810A (en) * 1994-09-07 1997-10-07 Compaq Computer Corporation Reducing power usage in a personal computer
US5625807A (en) * 1994-09-19 1997-04-29 Advanced Micro Devices System and method for enabling and disabling a clock run function to control a peripheral bus clock signal
US5754869A (en) * 1994-10-04 1998-05-19 Intel Corporation Method and apparatus for managing power consumption of the CPU and on-board system devices of personal computers
ATE205616T1 (de) * 1994-10-19 2001-09-15 Advanced Micro Devices Inc Integrierte prozessorsysteme für tragbare informationsgeräte
US5619531A (en) * 1994-11-14 1997-04-08 Research In Motion Limited Wireless radio modem with minimal interdevice RF interference
WO1996019764A1 (en) * 1994-12-22 1996-06-27 Intel Corporation Power budgeting with device specific characterization of power consumption
US5553236A (en) * 1995-03-03 1996-09-03 Motorola, Inc. Method and apparatus for testing a clock stopping/starting function of a low power mode in a data processor
US5631852A (en) * 1995-05-22 1997-05-20 Eteq Microsystems, Inc. Smart cooling security system
US5926404A (en) * 1995-05-23 1999-07-20 Dell Usa, L.P. Computer system with unattended operation power-saving suspend mode
US5805923A (en) * 1995-05-26 1998-09-08 Sony Corporation Configurable power management system having a clock stabilization filter that can be enabled or bypassed depending upon whether a crystal or can oscillator is used
JP3520611B2 (ja) * 1995-07-06 2004-04-19 株式会社日立製作所 プロセッサの制御方法
US5802379A (en) * 1995-08-24 1998-09-01 Norand Corporation Battery depletion management in portable computing devices having PCMCIA card loading
US5745375A (en) * 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
EP0777182B1 (de) * 1995-11-28 2001-07-04 Bull S.A. Speicherzugangsbegrenzer für dynamischen RAM
US5894578A (en) * 1995-12-19 1999-04-13 Advanced Micro Devices, Inc. System and method for using random access memory in a programmable interrupt controller
US5652895A (en) * 1995-12-26 1997-07-29 Intel Corporation Computer system having a power conservation mode and utilizing a bus arbiter device which is operable to control the power conservation mode
US5692202A (en) * 1995-12-29 1997-11-25 Intel Corporation System, apparatus, and method for managing power in a computer system
KR100260380B1 (ko) * 1996-01-26 2000-07-01 윤종용 마이크로 프로세서칩의 냉각팬 제어장치 및 그 제어방법
US5784628A (en) * 1996-03-12 1998-07-21 Microsoft Corporation Method and system for controlling power consumption in a computer system
US5825648A (en) * 1996-03-26 1998-10-20 Casio Phonemate, Inc. Backup system for a time of day clock in an electronic device
EP1361507A3 (de) * 1996-05-13 2005-01-19 Micron Technology, Inc. Pseudozufallszahlengenerator mit einem Betriebsmodus mit niedrigem Verbrauch
US5983355A (en) * 1996-05-20 1999-11-09 National Semiconductor Corporation Power conservation method and apparatus activated by detecting specific fixed interrupt signals indicative of system inactivity and excluding prefetched signals
US5991883A (en) * 1996-06-03 1999-11-23 Compaq Computer Corporation Power conservation method for a portable computer with LCD display
US6385734B2 (en) 1996-06-03 2002-05-07 Compaq Information Technologies Group, L.P. Portable computer with low power audio CD-player
US5838983A (en) * 1996-08-20 1998-11-17 Compaq Computer Corporation Portable computer with low power audio CD-player
US5887179A (en) * 1996-06-11 1999-03-23 Motorola, Inc. System power saving means and method
US6798735B1 (en) * 1996-06-12 2004-09-28 Aware, Inc. Adaptive allocation for variable bandwidth multicarrier communication
KR100225057B1 (ko) * 1996-07-23 1999-10-15 윤종용 오디오시스템이 있는 모니터의 전원공급 제어장치 및 그 제어방법
US5742781A (en) * 1996-08-09 1998-04-21 Hitachi America, Ltd. Decoded instruction buffer apparatus and method for reducing power consumption in a digital signal processor
US5953536A (en) * 1996-09-30 1999-09-14 Intel Corporation Software-implemented tool for monitoring power management in a computer system
US5862393A (en) * 1996-10-07 1999-01-19 Lxe, Inc. System for managing power of a computer with removable devices
JP3344544B2 (ja) * 1996-10-22 2002-11-11 株式会社東芝 コンピュータシステム
TW347501B (en) * 1996-10-29 1998-12-11 Hitachi Ltd Memory and microcomputer
US5905901A (en) * 1996-10-29 1999-05-18 Micron Electronics Method for adaptive power management of a computer system
US6085325A (en) * 1996-12-16 2000-07-04 Intel Corporation Method and apparatus for supporting power conservation operation modes
US5996078A (en) * 1997-01-17 1999-11-30 Dell Usa, L.P. Method and apparatus for preventing inadvertent power management time-outs
KR100283572B1 (ko) * 1997-02-24 2001-03-02 윤종용 Osd를 이용한 디스플레이 장치의 dpms 표시 방법
US5919264A (en) 1997-03-03 1999-07-06 Microsoft Corporation System and method for using data structures to share a plurality of power resources among a plurality of devices
WO1998044405A1 (en) * 1997-03-31 1998-10-08 Intel Corporation Automatic transitioning between acpi c3 and c2 states
US6006285A (en) 1997-04-30 1999-12-21 Compaq Computer Corporation Computer system capable of playing audio CDs in a CD-ROM drive independent of an operating system
US6116767A (en) 1997-04-30 2000-09-12 Compaq Computer Corporation Displaying audio disk track number in portable computer system
US5905900A (en) * 1997-04-30 1999-05-18 International Business Machines Corporation Mobile client computer and power management architecture
US6151681A (en) * 1997-06-25 2000-11-21 Texas Instruments Incorporated Dynamic device power management
US6928559B1 (en) * 1997-06-27 2005-08-09 Broadcom Corporation Battery powered device with dynamic power and performance management
US5878264A (en) * 1997-07-17 1999-03-02 Sun Microsystems, Inc. Power sequence controller with wakeup logic for enabling a wakeup interrupt handler procedure
US5978923A (en) * 1997-08-07 1999-11-02 Toshiba America Information Systems, Inc. Method and apparatus for a computer power management function including selective sleep states
US6170033B1 (en) * 1997-09-30 2001-01-02 Intel Corporation Forwarding causes of non-maskable interrupts to the interrupt handler
US20030026282A1 (en) 1998-01-16 2003-02-06 Aware, Inc. Splitterless multicarrier modem
DE69841324D1 (de) 1997-10-10 2010-01-07 Daphimo Co B V Llc Teilerloses mehrträgermodem
US6216187B1 (en) 1997-12-01 2001-04-10 Toshiba America Information Systems, Inc. System for powering down a portable computer in a docking station
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
US6038672A (en) * 1998-01-13 2000-03-14 Micron Electronics, Inc. Portable computer with low power CD-player mode
US6085329A (en) * 1998-01-13 2000-07-04 Micron Electronics, Inc. Portable computer with low power CD-player mode
US20040160906A1 (en) 2002-06-21 2004-08-19 Aware, Inc. Multicarrier transmission system with low power sleep mode and rapid-on capability
US6445730B1 (en) 1998-01-26 2002-09-03 Aware, Inc. Multicarrier transmission system with low power sleep mode and rapid-on capability
US6954804B2 (en) * 1998-03-26 2005-10-11 Micro, Inc. Controller for portable electronic devices
US6675233B1 (en) * 1998-03-26 2004-01-06 O2 Micro International Limited Audio controller for portable electronic devices
US6895448B2 (en) 1998-03-26 2005-05-17 O2 Micro, Inc. Low-power audio CD player for portable computers
US6345363B1 (en) 1998-06-23 2002-02-05 National Semiconductor Corporation Microprocessor core power reduction by not reloading existing operands
US6522666B1 (en) * 1998-06-26 2003-02-18 Aware, Inc. Multicarrier communication with variable overhead rate
US6202104B1 (en) * 1998-07-28 2001-03-13 Siemens Aktiengesellschaft Processor having a clock driven CPU with static design
US6205518B1 (en) 1998-08-05 2001-03-20 International Business Machines Corporation Apparatus and method for reducing power consumption in a data processor executing an application code
DE59903416D1 (de) * 1998-08-14 2002-12-19 Siemens Ag Verfahren und anordnung zur taktversorgung prozessorgesteuerter geräte
US6903835B1 (en) * 1998-09-18 2005-06-07 Canon Kabushiki Kaisha Communication apparatus
US6209088B1 (en) 1998-09-21 2001-03-27 Microsoft Corporation Computer hibernation implemented by a computer operating system
US20040095237A1 (en) * 1999-01-09 2004-05-20 Chen Kimball C. Electronic message delivery system utilizable in the monitoring and control of remote equipment and method of same
US6289465B1 (en) 1999-01-11 2001-09-11 International Business Machines Corporation System and method for power optimization in parallel units
EP2330749B1 (de) 1999-01-26 2014-04-30 TQ Delta, LLC Mehrträgerübertragungssystem mit Schlafmodus mit niedriger Leistung und schneller Einschaltfähigkeit
AU764933B2 (en) * 1999-01-26 2003-09-04 Tq Delta, Llc Multicarrier transmission system with low power sleep mode and rapid-on-capability
US20040044942A1 (en) * 1999-03-12 2004-03-04 Aware, Inc. Method for seamlessly changing power modes in an ADSL system
CA2369110C (en) * 1999-03-12 2010-11-23 Aware, Inc. Seamless rate adaptive multicarrier modulation system and protocols
US20060274840A1 (en) * 2005-06-06 2006-12-07 Marcos Tzannes Method for seamlessly changing power modes in an ADSL system
US6775320B1 (en) 1999-03-12 2004-08-10 Aware, Inc. Method and a multi-carrier transceiver supporting dynamic switching between active application sets
US6609204B1 (en) 1999-03-29 2003-08-19 Hewlett-Packard Development Company, L.P. Method and apparatus for locking/unlocking via platform management bus
US6327664B1 (en) 1999-04-30 2001-12-04 International Business Machines Corporation Power management on a memory card having a signal processing element
US6748016B1 (en) 1999-07-16 2004-06-08 Aware, Inc. System and method for transmitting messages between transceivers using electromagnetically coupled signals
KR100626359B1 (ko) 1999-09-10 2006-09-20 삼성전자주식회사 컴퓨터 시스템의 전원 관리 방법
US7100061B2 (en) * 2000-01-18 2006-08-29 Transmeta Corporation Adaptive power control
US6564105B2 (en) 2000-01-21 2003-05-13 Medtronic Minimed, Inc. Method and apparatus for communicating between an ambulatory medical device and a control device via telemetry using randomized data
US6684341B1 (en) * 2000-03-09 2004-01-27 International Business Machines Corporation Method of altering the appearance of an icon of a program to provide an indication to a user that a power management is associated with the particular program
US6785829B1 (en) * 2000-06-30 2004-08-31 Intel Corporation Multiple operating frequencies in a processor
JP3908445B2 (ja) * 2000-08-01 2007-04-25 富士通株式会社 電子機器
US20070245165A1 (en) * 2000-09-27 2007-10-18 Amphus, Inc. System and method for activity or event based dynamic energy conserving server reconfiguration
USRE40866E1 (en) 2000-09-27 2009-08-04 Huron Ip Llc System, method, and architecture for dynamic server power management and dynamic workload management for multiserver environment
US7032119B2 (en) 2000-09-27 2006-04-18 Amphus, Inc. Dynamic power and workload management for multi-server system
US7822967B2 (en) 2000-09-27 2010-10-26 Huron Ip Llc Apparatus, architecture, and method for integrated modular server system providing dynamically power-managed and work-load managed network devices
US6910139B2 (en) * 2000-10-02 2005-06-21 Fujitsu Limited Software processing apparatus with a switching processing unit for displaying animation images in an environment operating base on type of power supply
US6735707B1 (en) * 2000-10-27 2004-05-11 Sun Microsystems, Inc. Hardware architecture for a multi-mode power management system using a constant time reference for operating system support
US7526349B2 (en) * 2000-12-01 2009-04-28 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7522965B2 (en) 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7522966B2 (en) * 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7818443B2 (en) * 2000-12-01 2010-10-19 O2Micro International Ltd. Low power digital audio decoding/playing system for computing devices
US7522964B2 (en) 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7890741B2 (en) * 2000-12-01 2011-02-15 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US6654827B2 (en) 2000-12-29 2003-11-25 Hewlett-Packard Development Company, L.P. Portable computer system with an operating system-independent digital data player
AUPR340401A0 (en) * 2001-02-27 2001-03-22 E R & D Pty Ltd Method and system for controlling electronic content display
JP3870030B2 (ja) 2001-02-28 2007-01-17 キヤノン株式会社 割込み制御回路を有するインクジェット記録装置および記録装置の制御方法
KR100799138B1 (ko) * 2001-03-12 2008-01-29 스카이워크스 솔루션즈 인코포레이티드 광대역 코드 분할 다중 접속 시스템의 다경로 신호를검출, 식별 및 모니터링하는 방법 및 기기
US20030196126A1 (en) 2002-04-11 2003-10-16 Fung Henry T. System, method, and architecture for dynamic server power management and dynamic workload management for multi-server environment
US20060248360A1 (en) * 2001-05-18 2006-11-02 Fung Henry T Multi-server and multi-CPU power management system and method
DE60228268D1 (de) * 2001-08-29 2008-09-25 Mediatek Inc G einer versorgungsspannung
US6661410B2 (en) 2001-09-07 2003-12-09 Microsoft Corporation Capacitive sensing and data input device power management
US7337333B2 (en) * 2001-09-19 2008-02-26 Dell Products L.P. System and method for strategic power supply sequencing in a computer system with multiple processing resources and multiple power supplies
US7325050B2 (en) * 2001-09-19 2008-01-29 Dell Products L.P. System and method for strategic power reduction in a computer system
US7114086B2 (en) 2002-01-04 2006-09-26 Ati Technologies, Inc. System for reduced power consumption by monitoring instruction buffer and method thereof
US6703599B1 (en) 2002-01-30 2004-03-09 Microsoft Corporation Proximity sensor with adaptive threshold
US7155618B2 (en) * 2002-03-08 2006-12-26 Freescale Semiconductor, Inc. Low power system and method for a data processing system
US20030191973A1 (en) * 2002-04-04 2003-10-09 Johnson Carolynn Rae Temporary user suspension of automatic shutdown
KR20030097485A (ko) * 2002-06-21 2003-12-31 삼성전자주식회사 메모리 보호 장치 및 방법
US6924667B2 (en) 2002-07-19 2005-08-02 O2Micro International Limited Level shifting and level-shifting amplifier circuits
US6954867B2 (en) 2002-07-26 2005-10-11 Microsoft Corporation Capacitive sensing employing a repeatable offset charge
US7058828B2 (en) 2002-08-12 2006-06-06 Hewlett-Packard Development Company, L.P. System, method and apparatus for the frequency management of blades in a bladed architecture based on performance requirements
US7080263B2 (en) * 2002-08-12 2006-07-18 Hewlett-Packard Development Company, L.P. Voltage management of processors in a bladed system based on number of loaded processors
FI122373B (fi) * 2002-10-24 2011-12-30 Tellabs Oy Menetelmä, järjestelmä ja verkko-olio yhteysvian havaitsemiseksi
TW591372B (en) * 2003-05-15 2004-06-11 High Tech Comp Corp Power control method of portable electronic device, portable electronic device and electronic system
US7079904B1 (en) 2003-09-12 2006-07-18 Itt Manufacturing Enterprises, Inc. Adaptive software management
US7076646B2 (en) * 2003-11-05 2006-07-11 Mitac Technology Corp. Selective quick booting a partial set of devices corresponding to an event code via the BIOS
US20050128296A1 (en) * 2003-12-11 2005-06-16 Skurdal Vincent C. Processing systems and methods of controlling same
JP4660140B2 (ja) * 2004-08-18 2011-03-30 セイコーエプソン株式会社 データ転送制御システム、電子機器及びプログラム
US7388248B2 (en) * 2004-09-01 2008-06-17 Micron Technology, Inc. Dielectric relaxation memory
US7409482B2 (en) * 2004-10-26 2008-08-05 Lenovo (Singapore) Pte, Ltd. Computer and method for on-demand network access control
CN100397370C (zh) * 2004-11-22 2008-06-25 威盛电子股份有限公司 动态介面协定支援装置与方法
GB0510312D0 (en) * 2005-05-20 2005-06-29 Wearable Technologies Ltd Garment
US7472301B2 (en) * 2005-05-27 2008-12-30 Codman Neuro Sciences Sárl Circuitry for optimization of power consumption in a system employing multiple electronic components, one of which is always powered on
TWI268434B (en) * 2005-07-22 2006-12-11 Mitac Tech Corporation Method of quick activation of grouping function selection in multimedia playback system capable of starting the corresponding multimedia playback system quickly
US8799687B2 (en) * 2005-12-30 2014-08-05 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including optimizing C-state selection under variable wakeup rates
US20080098245A1 (en) * 2006-03-22 2008-04-24 G2 Microsystems, Inc. Power management system and method
US7673161B2 (en) * 2006-03-28 2010-03-02 Lenovo (Singapore) Pte. Ltd. Apparatus, system, and method for selecting a waking process
US9141572B2 (en) 2006-12-15 2015-09-22 Microchip Technology Incorporated Direct memory access controller
US8117475B2 (en) 2006-12-15 2012-02-14 Microchip Technology Incorporated Direct memory access controller
US20090106573A1 (en) * 2007-10-18 2009-04-23 Inventec Corporation Power saving method
US8086885B2 (en) * 2007-12-14 2011-12-27 Nokia Corporation Runtime control of system performance
US20090164820A1 (en) * 2007-12-24 2009-06-25 Hewlett-Packard Development Company, L.P. Methods and apparatus for managing power on a computer in the event of a power interruption
US8082459B2 (en) * 2008-02-26 2011-12-20 Microsoft Corporation Power management based on policy
US8086882B2 (en) * 2008-06-29 2011-12-27 Microsoft Corporation Energy measurement techniques for computing systems
TW201025015A (en) * 2008-12-30 2010-07-01 Wistron Corp Method for safely removing an external image processing device for a computer system and related computer system
JP4852625B2 (ja) * 2009-04-16 2012-01-11 東芝テック株式会社 情報処理装置
IT1394342B1 (it) * 2009-06-15 2012-06-06 St Microelectronics Srl "risparmio energetico in sistemi on-chip"
EP2517086B1 (de) * 2009-12-22 2015-04-01 Nokia Technologies OY Verfahren und vorrichtung zur energieverwaltung über ein untersystem
JP5696603B2 (ja) * 2011-06-29 2015-04-08 富士通株式会社 計算機システム、計算機システムの電力制御方法およびプログラム
US9547364B2 (en) 2011-07-29 2017-01-17 Nokia Technologies Oy Method and apparatuses for determining a user attention level using facial orientation detection
US8788863B2 (en) * 2011-08-10 2014-07-22 Microsoft Corporation System and method for restoring and/or continuing execution functionality to various processes based on predefined power classifications while transitioning a computing environment from connected standby state to execution state
US9952897B2 (en) * 2011-09-12 2018-04-24 Microsoft Technology Licensing, Llc Managing processes within suspend states and execution states
US8862924B2 (en) 2011-11-15 2014-10-14 Advanced Micro Devices, Inc. Processor with power control via instruction issuance
US9275690B2 (en) 2012-05-30 2016-03-01 Tahoe Rf Semiconductor, Inc. Power management in an electronic system through reducing energy usage of a battery and/or controlling an output power of an amplifier thereof
US9509351B2 (en) 2012-07-27 2016-11-29 Tahoe Rf Semiconductor, Inc. Simultaneous accommodation of a low power signal and an interfering signal in a radio frequency (RF) receiver
FR2996081B1 (fr) * 2012-09-21 2015-08-21 Continental Automotive France Dispositif de maintien dans un mode de veille et de commutation dans un mode actif d'un calculateur de vehicule automobile comportant un microprocesseur
US9360918B2 (en) 2012-12-21 2016-06-07 Advanced Micro Devices, Inc. Power control for multi-core data processor
US9223383B2 (en) 2012-12-21 2015-12-29 Advanced Micro Devices, Inc. Guardband reduction for multi-core data processor
US9666942B2 (en) 2013-03-15 2017-05-30 Gigpeak, Inc. Adaptive transmit array for beam-steering
US9531070B2 (en) 2013-03-15 2016-12-27 Christopher T. Schiller Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through accommodating differential coupling between VCOs thereof
US9716315B2 (en) 2013-03-15 2017-07-25 Gigpeak, Inc. Automatic high-resolution adaptive beam-steering
US9184498B2 (en) 2013-03-15 2015-11-10 Gigoptix, Inc. Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through fine control of a tunable frequency of a tank circuit of a VCO thereof
US9722310B2 (en) 2013-03-15 2017-08-01 Gigpeak, Inc. Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through frequency multiplication
US9780449B2 (en) 2013-03-15 2017-10-03 Integrated Device Technology, Inc. Phase shift based improved reference input frequency signal injection into a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation to reduce a phase-steering requirement during beamforming
US9837714B2 (en) 2013-03-15 2017-12-05 Integrated Device Technology, Inc. Extending beamforming capability of a coupled voltage controlled oscillator (VCO) array during local oscillator (LO) signal generation through a circular configuration thereof
CN105159435B (zh) * 2015-09-01 2018-08-31 联想(北京)有限公司 一种电子设备及其唤醒方法
KR101777660B1 (ko) * 2016-10-25 2017-09-12 주식회사 티에스피글로벌 플래시 스토리지 디바이스 및 그 동작 제어 방법
DE102019008243B4 (de) * 2019-11-27 2024-03-14 Diehl Metering Gmbh Messeinrichtung

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL163338C (nl) * 1972-03-25 1980-08-15 Philips Nv Elektronische schakeling.
US3909685A (en) * 1974-01-14 1975-09-30 Massachusetts Inst Technology Electrical apparatus
US3941989A (en) * 1974-12-13 1976-03-02 Mos Technology, Inc. Reducing power consumption in calculators
JPS533120A (en) * 1976-06-30 1978-01-12 Canon Inc Control circuit
GB1589781A (en) * 1977-01-10 1981-05-20 Balfour Beatty Ltd Impregnation of fluid-permeable bodies
US4203153A (en) * 1978-04-12 1980-05-13 Diebold, Incorporated Circuit for reducing power consumption in battery operated microprocessor based systems
US4279020A (en) * 1978-08-18 1981-07-14 Bell Telephone Laboratories, Incorporated Power supply circuit for a data processor
DE2911998C2 (de) * 1979-03-27 1985-11-07 Robert Bosch Gmbh, 7000 Stuttgart Stromversorgung für einen Mikroprozessor, der elektrische Einrichtungen, insbesondere eines Kraftfahrzeuges steuert
US4758945A (en) * 1979-08-09 1988-07-19 Motorola, Inc. Method for reducing power consumed by a static microprocessor
US4748559A (en) * 1979-08-09 1988-05-31 Motorola, Inc. Apparatus for reducing power consumed by a static microprocessor
JPS5654529A (en) * 1979-10-08 1981-05-14 Nec Corp Data processor
US4317180A (en) * 1979-12-26 1982-02-23 Texas Instruments Incorporated Clocked logic low power standby mode
US4409665A (en) * 1979-12-26 1983-10-11 Texas Instruments Incorporated Turn-off-processor between keystrokes
US4317181A (en) * 1979-12-26 1982-02-23 Texas Instruments Incorporated Four mode microcomputer power save operation
US4463440A (en) * 1980-04-15 1984-07-31 Sharp Kabushiki Kaisha System clock generator in integrated circuit
GB2080585B (en) * 1980-07-22 1984-07-04 Tokyo Shibaura Electric Co Semiconductor integrated circuit with reduced power consumption
JPS5775335A (en) * 1980-10-27 1982-05-11 Hitachi Ltd Data processor
US4554630A (en) * 1981-08-24 1985-11-19 Genrad, Inc. Control apparatus for back-driving computer memory and forcing execution of idle loop program in external memory
JPS5881334A (ja) * 1981-11-11 1983-05-16 Hitachi Ltd 情報処理装置
JPS58171842A (ja) * 1982-03-31 1983-10-08 Matsushita Electronics Corp 集積回路装置
US4545030A (en) * 1982-09-28 1985-10-01 The John Hopkins University Synchronous clock stopper for microprocessor
JPS59135569A (ja) * 1983-01-24 1984-08-03 Sharp Corp マルチプロセツサの制御方式
JPS59200327A (ja) * 1983-04-26 1984-11-13 Nec Corp 周辺装置の制御方式
JPS59212930A (ja) * 1983-05-18 1984-12-01 Hitachi Ltd 端末主導型電源投入制御方法および制御装置
JPS59231966A (ja) * 1983-06-14 1984-12-26 Tamura Electric Works Ltd 公衆電話機の処理制御方式
US4747041A (en) * 1983-06-27 1988-05-24 Unisys Corporation Automatic power control system which automatically activates and deactivates power to selected peripheral devices based upon system requirement
US4698748A (en) * 1983-10-07 1987-10-06 Essex Group, Inc. Power-conserving control system for turning-off the power and the clocking for data transactions upon certain system inactivity
US4893271A (en) * 1983-11-07 1990-01-09 Motorola, Inc. Synthesized clock microcomputer with power saving
US4669059A (en) * 1983-11-07 1987-05-26 Motorola, Inc. Method and apparatus in a data processor for selectively disabling a power-down instruction
US4780843A (en) * 1983-11-07 1988-10-25 Motorola, Inc. Wait mode power reduction system and method for data processor
US4573117A (en) * 1983-11-07 1986-02-25 Motorola, Inc. Method and apparatus in a data processor for selectively disabling a power-down instruction
US4819164A (en) * 1983-12-12 1989-04-04 Texas Instruments Incorporated Variable frequency microprocessor clock generator
JPS60136412A (ja) * 1983-12-26 1985-07-19 Toshiba Corp 電圧制御型可変周波数パルス発振器
US4825407A (en) * 1984-07-26 1989-04-25 Miles Inc. Method and circuit for controlling single chip microcomputer
US4825358A (en) * 1985-04-10 1989-04-25 Microsoft Corporation Method and operating system for executing programs in a multi-mode microprocessor
US5086387A (en) * 1986-01-17 1992-02-04 International Business Machines Corporation Multi-frequency clock generation with low state coincidence upon latching
US4851987A (en) * 1986-01-17 1989-07-25 International Business Machines Corporation System for reducing processor power consumption by stopping processor clock supply if a desired event does not occur
US4907150A (en) * 1986-01-17 1990-03-06 International Business Machines Corporation Apparatus and method for suspending and resuming software applications on a computer
GB2194082A (en) * 1986-08-18 1988-02-24 Philips Nv Data processing apparatus with energy saving clocking device
JPS63101896A (ja) * 1986-10-17 1988-05-06 株式会社大真空 表示装置の遠隔制御方式
JPS63163912A (ja) * 1986-12-26 1988-07-07 Toshiba Corp マイクロコンピユ−タシステム
US4881205A (en) * 1987-04-21 1989-11-14 Casio Computer Co., Ltd. Compact electronic apparatus with a refresh unit for a dynamic type memory
JPS63314133A (ja) * 1987-06-16 1988-12-22 Sharp Corp 処理装置
US5025387A (en) * 1988-09-06 1991-06-18 Motorola, Inc. Power saving arrangement for a clocked digital circuit
JPH02105213A (ja) * 1988-10-13 1990-04-17 Mitsubishi Electric Corp 電力制御回路
US4980836A (en) * 1988-10-14 1990-12-25 Compaq Computer Corporation Apparatus for reducing computer system power consumption
US5121500A (en) * 1988-12-30 1992-06-09 International Business Machines Corporation Preliminary polling for identification and location of removable/replaceable computer components prior to power-up
US5041964A (en) * 1989-06-12 1991-08-20 Grid Systems Corporation Low-power, standby mode computer
US5222239A (en) * 1989-07-28 1993-06-22 Prof. Michael H. Davis Process and apparatus for reducing power usage microprocessor devices operating from stored energy sources
US5167024A (en) * 1989-09-08 1992-11-24 Apple Computer, Inc. Power management for a laptop computer with slow and sleep modes
US5218704A (en) * 1989-10-30 1993-06-08 Texas Instruments Real-time power conservation for portable computers
US5247655A (en) * 1989-11-07 1993-09-21 Chips And Technologies, Inc. Sleep mode refresh apparatus
US5201059A (en) * 1989-11-13 1993-04-06 Chips And Technologies, Inc. Method for reducing power consumption includes comparing variance in number of time microprocessor tried to react input in predefined period to predefined variance
JPH0831001B2 (ja) * 1990-08-23 1996-03-27 株式会社東芝 マイクロコンピュータ

Also Published As

Publication number Publication date
DE69033149T2 (de) 1999-11-18
US5560024A (en) 1996-09-24
EP0749060B1 (de) 1999-06-02
JPH05507370A (ja) 1993-10-21
CA2063413A1 (en) 1990-12-31
CA2282912C (en) 2000-09-12
EP0675425A2 (de) 1995-10-04
DE69031807T2 (de) 1998-04-09
EP0675425B1 (de) 1997-12-10
KR920702783A (ko) 1992-10-06
CA2063413C (en) 2000-08-15
JP3406594B2 (ja) 2003-05-12
DE69033149D1 (de) 1999-07-08
KR960003412B1 (ko) 1996-03-13
DE479887T1 (de) 1992-12-17
EP0749060A1 (de) 1996-12-18
WO1991000566A1 (en) 1991-01-10
AU6031390A (en) 1991-01-17
EP0479887A4 (en) 1992-08-12
EP0675425A3 (de) 1995-11-29
CA2282912A1 (en) 1990-12-31
EP0479887A1 (de) 1992-04-15
US5428790A (en) 1995-06-27

Similar Documents

Publication Publication Date Title
DE69031807T2 (de) Methode zur Reduzierung der Leistungsaufnahme eines Rechners
DE68929449D1 (de) Vorrichtung zur Verminderung des Energieverbrauchs eines Rechnersystems
DE69029997D1 (de) Verfahren zur intelligenten Erläuterung von Hilfe in Übereinstimmung mit der Aktivität des Rechnerbenützers
IT1190151B (it) Procedimento per la preparazione di copoliammidi trasparenti e loro impiego per la produzione di pezzi stampati
IT9020763A0 (it) metodo per formare
BR9003013A (pt) Processo para tratar um objeto com uma superficie zincifera
DE3484482D1 (de) Methode zur kontrolle der durchfuehrung eines auftrags und anordnung dafuer.
DE59007111D1 (de) Anordnung zur Verbrauchsanzeige eines Versorgungsmittels.
DE59405353D1 (de) Vorrichtung zur Regelung der Leistungsaufnahme eines Staubsaugers
FI892058A (fi) Fenolin valmistusmenetelmä
BR8904103A (pt) Processo para a preparacao de agentes para a conservacao de madeira e aplicacao
PT82917B (pt) Processo para a preparacao de enzimas modificados
DE69030619D1 (de) Photoinitiatoren
PT94720A (pt) Processo para a conservacao de embrioes vegetais
IT1173537B (it) Articolo, metodo e apparecchiatura per controllare il consumo di un contenitore
DE69226648T2 (de) Methode zur verlängerung der haltbarkeit eines produktes
KR880700337A (ko) 복합곡면 생성방법
BR8601377A (pt) Processo para o tratamento de uma argila caolinitica
KR880700344A (ko) 복합곡면 생성방법
PT82217B (pt) Processo aperfeicoado para a preparacao de conserva de peixe
ATA327584A (de) Anordnung zur ueberwachung rissgefaehrdeter stellen
DE68926619D1 (de) Anordnung zur identifizierung von gegenständen
DE69031975T2 (de) Neurorechner
BR8604780A (pt) Processo e aparelho pertinente para controlar maquinas-ferramenta
DE69026052D1 (de) Neurorechner

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee