DE69133316T2 - Verfahren zum Herstellen einer Halbleitervorrichtung - Google Patents

Verfahren zum Herstellen einer Halbleitervorrichtung Download PDF

Info

Publication number
DE69133316T2
DE69133316T2 DE69133316T DE69133316T DE69133316T2 DE 69133316 T2 DE69133316 T2 DE 69133316T2 DE 69133316 T DE69133316 T DE 69133316T DE 69133316 T DE69133316 T DE 69133316T DE 69133316 T2 DE69133316 T2 DE 69133316T2
Authority
DE
Germany
Prior art keywords
layer
conductive layer
forming
wiring
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69133316T
Other languages
English (en)
Other versions
DE69133316D1 (de
Inventor
Kyoichi Minato-ku Suguro
Haruo Minato-ku Okano
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of DE69133316D1 publication Critical patent/DE69133316D1/de
Application granted granted Critical
Publication of DE69133316T2 publication Critical patent/DE69133316T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/117Oxidation, selective

Description

  • Die vorliegende Erfindung betrifft ein Halbleiterverfahren zur Herstellung von z. B. einem Transistor oder einer Mehrlagenverdrahtungsstruktur mit einer Größe der Größenordnung von Submikrometern und im besonderen eine Halbleitervorrichtung unter Verwendung eines Selbstausrichtungsverfahrens und ein Verfahren zur Herstellung derselben.
  • Die JP-1 194 440 beschreibt ein Verfahren zur Bildung einer Verdrahtungsschicht und im besonderen zur Erleichterung einer Mehrlagenverdrahtung durch die Bildung eines Isolierfilmes zwischen Schichten dadurch, dass eine Rille für die Verdrahtungsschicht im Isolierfilm gebildet wird, das Verdrahtungsmaterial selektiv in die Rille eingebettet wird und dann die Gestalt der Oberfläche des Zwischenschichtenisolierfilmes abgeflacht wird.
  • In den letzten Jahren wurde ein hochintegrierter Schaltkreis (LSI), der durch Integrieren einer großen Zahl von Transistoren oder Widerständen auf einem einzigen Chip gebildet wird, oft in dem Hauptbauteil eines Computers oder einer Kommunikationseinrichtung verwendet. Um eine hohe Leistungsfähigkeit des gesamten Gerätes zu erreichen, ist es ganz wesentlich, die Leistungsfähigkeit des LSI zu verbessern. Daher ist es wichtig, die Leistungsfähigkeit einer Halbleitervorrichtung, z. B. eines Feldeffekttransistors, der ein grundlegendes Element des LSI darstellt, zu erhöhen.
  • Ferner wurde der Integrationsgrad eines Speicher- oder Logikelementes alle drei Jahre auf das Vierfache erhöht und die Entwurfsnorm ist in eine neue Ära der Submikrometer eingetreten. Heutzutage haben eine Massenherstellung von 4- Mbit dRAMs mit einer Entwurfsnorm von 0,8 μm (Mikrometern) und die Forschung und Entwicklung von Geräten mit einer Norm von 0,3 bis 0,6 μm begonnen.
  • Um ein Mikroelement mit einer niedrigen Submikrometergröße auszubilden, sind folgende fünf Punkte wichtig.
    • (1) Ein Lithographieverfahren
    • (2) Ein Oxidationsverfahren (Elementisolierverfahren)
    • (3) Ein Diffusionsverfahren (Bildung von Source-Drain eines MOSFET, Grabenrandschicht und Emitter·Basis·Kollektor eines bipolaren Transistors)
    • (4) Ein Planmachungsverfahren (Metallkontaktbildung, Isolierfilmbildung und Planmachen)
    • (5) Ein Verdrahtungsbearbeitungsverfahren (neues Material, hochselektives Ätzen)
  • Die obigen Punkte werden detailliert unten beschrieben.
  • (1) Lithographieverfahren
  • Wenn die Entwurfsnorm bei einer Größe von ungefähr 0,2 μm liegt, ist die erforderliche Genauigkeit sehr hoch bei 10 bis 20 nm, was nahe an der physikalischen Grenze der Lithographie ist. Z. B. wenn befriedigende Ausrichtungsränder zwischen Kontaktlöchern und Verdrahtungsmustern eingehalten werden, ist der Raum zwischen benachbarten Leitungsmustern durch einen Abstand zwischen den Kontaktlöchern beschränkt.
  • Wenn die Verdrahtungsmuster 41, 43 und 46 mit Ausrichtungsrändern in Bezug auf die Kontaktlöcher 42, 44 bzw. 45 wie in 5B gezeigt, gebildet werden, wird der minimale Raum zwischen benachbarten Verdrahtungsmustern im Bereich des Kontaktloches verengt. Als Ergebnis kommt leicht ein Kurzschluss aufgrund eines Ätzrückstandes vor. Weiter führt eine Verengung des Raumes zu einem Anstieg der Kapazität zwischen der Verdrahtung. Zusätzlich müssen die Kontaktlöcher 44 und 45 mit Ausrichtungsrändern in Bezug auf die Elementbildungsregion 40 im Hinblick auf eine Maskenfehlausrichtung gebildet werden.
  • Wenn keine Fehlausrichtung auftritt, können daher die Breiten der Elementbildungsregion, des Kontaktloches und der Verdrahtung die gleichen sein, und somit wird der Raum zwischen der Verdrahtung nicht örtlich verengt, wobei effektiv die Kapazität zwischen der Verdrahtung verringert wird. Zusätzlich kann der Raum in einem Gebiet verkleinert werden, in dem die Kapazität zwischen der Verdrahtung nicht bedacht werden muss. Infolgedessen kann die Zellengröße verkleinert werden, wobei eine Elementintegration mit höherer Dichte realisiert werden kann. Kein praktisches Herstellungsverfahren wurde jedoch bisher vorgeschlagen.
  • (2) Oxidationsverfahren (Elementisolierungsverfahren)
  • Wenn die Mikrobemusterung eines Elementes fortschreitet, muss die Breite der Elementisolierung unvermeidbar verkleinert werden. Es ist wohl bekannt, dass in dem herkömmlichen LOCOS-Verfahren ein Oxidfilm in einer Isolierregion durch Austreten in Richtung eines Elementes wächst und Vogelschnäbel gebildet werden. Das bedeutet, dass ungeachtet der Tatsache, dass ein Elementgebiet mit einer Nitridfilmmaske bedeckt ist, ein Oxidationsmittel unter der Maske austritt, wobei die Oxidation fortschreitet, obwohl die Oxidationsrate verringert ist.
  • Um diesen Nachteil zu beseitigen, wird polykristallines Si zwischen dem Nitridfilm und einem Pufferoxidfilm eingelagert, wodurch der Grad der Vogelschnäbel verringert wird. Obwohl die Forderung nach einer drastischen Maßnahme gestellt wurde, ist jedoch keine derarige Maßnahme vorhanden und ein Oxidationsverfahren, das gerichtete Oxidation genannt wird, ist erwünscht.
  • (3) Diffusionsverfahren
  • Ein Mikroelement mit einer Submikrometergröße, z. B. ein Mikrofeldeffekttransistor (FET) mit einer Submikrometergröße, weist eine flache Diffusionsschicht wie eine Source-Drain-Region auf. In diesem Fall beträgt eine für ein Gerät der 0,5-μm-Generation erforderliche Sperrschichttiefe von 0,15 bis 0,2 μm, und jene für ein Gerät der 0,2-μm-Generation beträgt 0,1 μm oder weniger. Das bedeutet, dass ein Diffusionsverfahren mit einer höheren Genauigkeit erforderlich ist.
  • Als Verfahren zur Bildung einer flachen Diffusionsschicht wird ein Ionenimplantationsverfahren mit niedriger Beschleunigung üblicherweise in weitem Umfang verwendet und ein Fremdatomfestphasendiffusionsverfahren seit kurzem verwendet. Bei diesem Festphasendiffusionsverfahren kann eine flache Source-Drain-Region mit einer Dicke von ungefähr 0,1 μm gebildet werden und eine Tiefe von ungefähr 0,1 μm kann für eine n+-p-Übergangszone verwirklicht werden. Zusätzlich kann unter Verwendung eines Verfahrens der Ionenimplantation von Si+, Ge+ und Sn+ zur Bildung einer Oberflächenschicht einer Si-Einkristallschicht in eine amorphe Schicht und der anschließenden BF2-Ionenimplantation mit geringer Beschleunigung eine Tiefe von ungefähr 0,1 μm für eine p+-n-Übergangszone auch nach einem Glühen zur Aktivierung erreicht werden.
  • Der Widerstand einer Diffusionsschicht mit einer Dicke von 0,1 μm ist jedoch gleich einem Flächenwiderstand von 100 Ω/Sq oder mehr. Daher muss die Oberfläche eines Diffusionsgebietes zur Verringerung ihres Widerstandes metallisiert werden, um die Arbeitsgeschwindigkeit einer Halbleitervorrichtung zu erhöhen. Daher wurde in den letzten Jahren ein selektives Silicidierungsverfahren, die sogenanntes selbstausgerichtetes Silicid, untersucht.
  • Ein herkömmliches Beispiel, in dem ein selbstausgerichtetes Silicid auf einer flachen p-n-Übergangszone mit einer Tiefe von 0,2 μm oder weniger gebildet wird, wird unten in Bezug auf die 1A bis 1E beschrieben. Unter Bezug auf 1A werden die Feldoxidfilm 1a und der Gateisolierfilm 2 durch thermische Oxidation auf einem Siliciumsubstrat 1 mit (100)-Kristallausrichtung gebildet, die Gateelektroden 3a und 3b, die Isolierfilmhaube 4 und der Seitenwandisolier film 5 gebildet und der aus Ti oder Co bestehende Metallfilm 6 auf der gesamten Oberfläche des Substrates abgeschieden. Nachfolgend wird die Silicidschicht 7 nur auf dem Si durch Lampenglühen gebildet und ein nicht abreagierter Metallfilm wird durch Ätzen entfernt, wobei selektiv die Silicidschicht 7 nur auf dem Si hinterbleibt, wie es in 1B gezeigt ist. Anschließend wird ein Fremdstoff 8 mit einer gegenüber dem Substrat 1 unterschiedlichen Leitfähigkeit ionenimplantiert, wie in 1C gezeigt, und ein Diffusionsgebiet 9 wird, wie in 1D gezeigt, unter der Silicidschicht 7 gebildet. Bei diesem Verfahren kann durch Bilden der Silicidschicht 7 mit einer Dicke von z. B. 50 nm der Flächenwiderstand auf 3 bis 5 Ω/Sq verringert werden. Dieses Verfahren hat jedoch folgende Probleme. Wenn ein natürlicher Oxidfilm oder eine Oberflächenverunreinigungsschicht, die durch Trockenätzen gebildet wurde, auf der Si-Oberfläche vorhanden ist, ist es schwierig, eine gleichförmige Reaktion zwischen einem Metall und Si auszuführen, und es wird eine ungleichförmige Grenzstruktur an der Zwischenfläche gebildet. In diesem Fall erfolgt örtlich eine Konzentration des elektrischen Feldes, wobei der p-n-Übergangszonenleckstrom vergrößert und eine p-n-Übergangszone demgemäß zerstört werden kann. Daher ist es sehr schwierig, mit diesem Verfahren eine p-n-Übergangszone mit einer Tiefe von 0,1 μm oder weniger herzustellen. Zusätzlich ist eine Gesamtdicke von 0,1 μm oder weniger für einen Mikrotransistor mit einer Entwurfsnorm von 0,3 μm oder weniger erforderlich. Daher muss die Gesamtdicke verringert werden, um eine Diffusionsschicht mit einer Dicke von mehreren 10 nm zu erhalten. Wenn die Dicke eines Silicidfilms verringert wird, wird der Widerstand einer Source-Drain vergrößert, und die Eigenschaften einer p-n-Übergangszone werden beeinträchtigt, wenn die Dicke der Diffusionsschicht 50 nm oder weniger wird. Die Gründe für die Beeinträchtigung der Übergangszone sind wie folgt. Die durch Metalldiffusion einer Metallverbindung verursachte Entstehung eines Rekombinationszentrums beeinflusst ungünstig die Eigenschaften der Übergangszone und tritt in eine Diffusionsschichtdickenregion ein, an der ein Übergangszonenleckstrom anfängt, sich zu vergrößern. Da die Form einer Diffusionsschicht Vorsprünge und Vertiefungen an der Silicid-Si-Zwischenfläche bei Verringerung der Dicke der Diffusionsschicht widerspiegelt, tritt eine Konzentration des elektrischen Feldes leicht auf.
  • Um die Erosion des Siliciumsubstrates während der Silicidbildung, wie oben beschrieben, zu vermeiden, kann, nachdem ein Si-Film selektiv auf einer Source-Drain abgeschieden wurde, um die Si-Dicke zu erhöhen, ein gewöhnliches Silicidverfahren durchgeführt werden. Da eine Dotierung in Abhängigkeit von der Dicke des selektiv abgeschiedenen Si nicht perfekt durchgeführt werden kann, erreicht eine Source-Drain-Fremdstoffdiffusionsschicht nicht die ursprüngliche Substratoberfläche und kann daher nicht als Source-Drain dienen. Um eine flache Übergangszone mit einer Tiefe von 0,1 μm oder weniger herzustellen, ist es daher erforderlich, ein Metallsilicid zu bilden, das nicht das Si-Substrat erodiert und das einen geringen Widerstand hat, und darunter eine hochkonzentrierte Fremdstoffdiffusionsschicht zu bilden.
  • (4) Planmachverfahren
  • Um eine Mehrlagenverdrahtungsstruktur zu bilden, sind ein Verfahren zur Herstellung von Kontakten, um Kontaktlöcher oder Durchlöcher anzuschließen, und ein Verfahren zur Bildung eines SiO2-Films mit einer Bedeckung einer hohen Stufe erforderlich, da Vorsprünge und Vertiefungen beim Aufeinanderstapeln der Verdrahtungsschichten vergrößert werden. Zusätzlich muss eine Verdrahtungsschicht mit geringem Widerstand und hoher Zuverlässigkeit verwendet werden.
  • Die derzeitigen Probleme beim Verfahren zur Herstellung eines Isolierfilms als ein wichtiges Verfahren von Mehrlagenverdrahtungsverfahren werden unten beschrieben. Plasma-TEOS-CVD wird seit kurzem allgemein als Verfahren zur Herstellung von Zwischenschichtisolierfilmen verwendet, da die Stufenbedeckung höher ist als bei auf SiH4 basierter Plasma-CVD. Wenn das Seitenverhältnis einer Zwischenverdrahtung 1 oder mehr ist, beinhaltet jedoch eine abgeschiedene Form Leerräume, da die Stufenbedeckung nicht 100% beträgt. Der Grund für die niedrige Stufenbedeckung ist wie folgt. Da ein Reaktionsgas und ein Herstellungsgas nicht befriedigend in den Raumstellen ausgetauscht werden können, ist die Konzentration eines Reaktionsgases an einer Vertiefung verringert im Vergleich mit einem flachen Gebiet oder einem Vorsprung. Als Ergebnis wird die SiO2-Wachstumsrate nicht durch eine Reaktionsrate auf der Oberfläche, sondern durch die Versorgungs- oder Diffusionsrate des Reaktionsgases bestimmt.
  • Um die Stufenbedeckung oder die Oberflächenform des Plasma-TEOS-Films zu verbessern, kann entweder Ozon zugesetzt werden oder ein Temperaturrahmen von 300°C bis 350°C gewählt werden. Diese Verfahren sind jedoch keine drastischen Maßnahmen. Daher ist ein neues Oxidfilmabscheidungsverfahren für ein Gerät in einem niedrigem Submikrometerbereich erforderlich.
  • (5) Verdrahtungsbearbeitungsverfahren
  • Unter den Mehrlagenverdrahtungsverfahren werden verschiedene Arten von Verfahren zur Bildung von Metallverdrahtungsmustern zukünftig problematisch sein. Z. B. ist es beim W-Verdrahtungsverfahren schwierig, ein hochselektives Ätzen auf einem Gateoxidfilm durchzuführen. Der Grund hierfür liegt in der unbefriedigenden Ätzrate bei einem Ätzen auf der Grundlage von Cl2, das ein hochselektives Ätzen von SiO2 erleichtert, da der Dampfdruck von WCl6 niedrig ist. Daher ist die Selektivität mit SiO2 auf höchstens 7 bis 8 verrin gert. In einem Verfahren, bei dem 200 nm dickes W auf 5 nm dickem SiO2 geätzt werden muss, wird bei einem 30%-igen Überätzen in Bezug auf ein exaktes Ätzen der 5 nm dicke SiO2-Film auf einer Source-Drain durch das Ätzen vollständig entfernt und das zugrundeliegende Si-Substrat ebenso geätzt. Wenn ein Gas auf F-Basis, wie SF6, verwendet wird, ist es schwierig, die Selektivität in Bezug auf SiO2 auf 7 oder mehr zu erhöhen, obwohl das Ätzen mit einer hohen Rate durchgeführt werden kann, da der Dampfdruck von WF6 als Fluorid von W sehr hoch ist. Daher ist es schwierig, ein Mikroverdrahtungsmuster mit W zu bilden.
  • Es ist weit schwieriger, ein Ätzen von Kupfer (1,68 μΩ·cm) mit einem niedrigeren Widerstand als der von Al (2,5 bis 3 mΩ·cm) durchzuführen. Z. B. verdampft selbst Kupferchlorid mit dem höchsten Dampfdruck nicht, bis die Temperatur auf ungefähr 300°C bis 350°C aufgeheizt ist. Dieses Hochtemperaturätzen bringt die folgenden zwei Probleme mit sich. Erstens muss, da nur wenige Kupferätzmasken einer solchen Cl2(BCl3)-Atmosphäre bei einer so hohen Temperatur widerstehen können, eine neue Maske entwickelt werden. Wenn zweitens ein Niedrigtemperaturteil in einer Ätzkammer behandelt wird, wird vom Wafer verdampftes Kupferchlorid kondensiert und dient dann als Abscheidungsquelle für Teilchen. Daher muss ein Verfahren zur Herstellung von Metallverdrahtungsmustern ohne Erfordernis einer Metallbemusterung entwickelt werden.
  • Wie oben beschrieben ist es sehr schwierig, verschiedene Mustertypen, wie eine Elementisolierregion, z. B. eine Reihe von Mikromustern, wie ein niedriges p-n-Übergangszonengebiet, ein silicidiertes Gebiet einer Source-Drain und Metall/PolySi-Gates, eine Verdrahtung mit niedrigem Widerstand, eine Mehrlagenverdrahtung ohne Ausschwitzen zu bilden, sofern herkömmliche Verfahren angewandt werden. Zusätzlich ist in der Lithographie eine Maskenausrichtungsgenauigkeit über einer physikalischen Grenze erforderlich, um ein Muster ohne Ausschwitzen zu bilden. Daher ist die Freiheit der Materialauswahl deutlich eingeschränkt, wenn beim Ätzen ein Verfahren mit hoher Genauigkeit erfordert ist. Die vorliegende Erfindung wurde in Anbetracht der obigen Situation gemacht und hat zur Aufgabe, ein Verfahren zur Herstellung einer Halbleitervorrichtung bereitzustellen, mit dem man eine Verdrahtungsschicht oder einen Isolierfilm durch ein Selbstausrichtungsverfahren, ohne auf eine Maskenausrichtung oder ein Metallätzverfahren angewiesen zu sein, bilden kann, wodurch eine Halbleitervorrichtung mit einem Mikromuster ohne Ausschwitzen hergestellt werden kann.
  • Um die obige Aufgabe der vorliegenden Erfindung zu erreichen, haben die Erfinder der vorliegenden Erfindung festgestellt, dass ein Mikromuster, wie eine Verdrahtung, mit hoher Genauigkeit durch Verwendung eines selektiven oder anisotropen (gerichteten) Verfahrens, wie einer anisotropen (gerichteten) selektiven CVD von SiO2, anisotropen (gerichteten) oder selektiven Bildung eines Metallfilms, erhalten werden kann.
  • Entsprechend der vorliegenden Erfindung wird ein Verfahren zur Herstellung einer Halbleitervorrichtung bereitgestellt, das die Schritte umfasst:
    Bildung einer ersten Isolierschicht mit einem Loch auf einem Substrat;
    selektive Bildung einer leitfähigen Schicht in diesem Loch;
    selektive Bildung einer zweiten Isolierschicht mit einem Loch, das in einer Linie mit dem Loch der ersten Isolierschicht liegt;
    Bemusterung der zweiten Isolierschicht, um eine Öffnung zu bilden;
    Bildung einer Verdrahtungsschicht in dem Loch und der Öffnung der bemusterten zweiten Isolierschicht, um eine elektrische Verbindung mit der leitfähigen Schicht herzustellen;
    das dadurch gekennzeichnet ist, dass die selektive Bildung der zweiten Isolierschicht ohne Maskenausrichtung durch selektive chemische Gasphasenabscheidung unter Verwendung einer gasförmigen polaren Siliciumverbindung als Speisegas durchgeführt wird, und dadurch, dass die erste und zweite Isolierschicht aus SiO2 bestehen.
  • Diese Erfindung kann besser aufgrund der folgenden genauen Beschreibung zusammen mit den begleitenden Zeichnungen verstanden werden:
  • 1A bis 1D sind Schnittdarstellungen, die die gewöhnlichen MOSFET-Herstellungsschritte zeigen;
  • 2 ist eine schematische Schnittdarstellung, die eine Anordnung einer mit einem Verfahren entsprechend der ersten Ausführungsform der vorliegenden Erfindung hergestellten Halbleitervorrichtung (Mehrlagenverdrahtungsstruktur) zeigt;
  • 3A bis 3K sind Schnittdarstellungen, die die Herstellschritte der Vorrichtung in 2 zeigen;
  • 4A und 4B sind perspektivische Zeichnungen, die die Verdrahtungsmusterformen zeigen;
  • 5A und 5B sind Draufsichtdarstellungen, die jede Verdrahtungsgestalt in einer Elementbildungsregion zeigen;
  • 6 ist eine schematische Schnittdarstellung, die einen MOSFET zeigt, der nicht in Übereinstimmung mit der vorliegenden Erfindung ist; und
  • die 7A bis 7N sind Schnittdarstellungen, die die Schritte für die Herstellung eines CMOS-Transistors zeigen, um eine Ausführungsform der vorliegenden Erfindung zu erklären.
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung werden unten unter Bezug auf die Begleitzeichnungen beschrieben.
  • 2 ist eine schematische Schnittdarstellung, die eine Anordnung der Halbleitervorrichtung (Mehrlagenverdrahtungs struktur) zeigt, die mit einem Verfahren entsprechend einer Ausführungsform der vorliegenden Erfindung hergestellt ist. Unter Bezugnahme auf 2 werden die Si nicht erodierenden Metallsilicidschichten 12 in den Öffnungsbereichen des ersten Isolierfilms 11, der sich auf einem Halbleitersubstrat 10 befindet, gebildet und eine erste vertikale Metalleingrabungsverdrahtungsschicht 13 wird auf jeder Schicht 12 gebildet. Ein zweiter Isolierfilm 14 wird auf der resultierenden Struktur gebildet, und die Kupferverdrahtungsschichten 15 mit niedrigem Widerstand werden z. B. in den Öffnungen des Films 14 gebildet. Ein dritter Isolierfilm 16 wird auf der resultierenden Struktur gebildet, und eine zweite vertikale Metalleingrabungsverdrahtungsschicht 17 wird in den Öffnungen des Isolierfilms 16 gebildet. Ein vierter Isolierfilm 18 wird auf der resultierenden Struktur gebildet, und eine zweite Verdrahtungsschicht 19 mit niedrigem Widerstand wird auf einem Teil gebildet, von dem der Isolierfilm 18 entfernt wird.
  • Ähnlich zu den Schichten 16 bis 19 werden ein fünfter Isolierfilm 21, eine dritte vertikale Metalleingrabungsverdrahtungsschicht 22, ein sechster Isolierfilm 23 und eine dritte Verdrahtungsschicht 24 mit niedrigem Widerstand auf der obigen Struktur gebildet, wodurch eine dreischichtige Verdrahtungsstruktur abgeschlossen wird. Eine 5- bis 10-schichtige Verdrahtungsstruktur kann durch wiederholte Bildung dieser Struktur gebildet werden.
  • 3A bis 3K sind Schnittdarstellungen, die die Verfahrensschritte der vorliegenden Erfindung zur Herstellung der obigen vielschichtigen Verdrahtungsstruktur zeigen. Wie in 3A dargestellt, wird ein erster Isolierfilm 11 auf einem Halbleitersubstrat 10, das darin ein Element hat, gebildet, und Kontaktbereiche des Films 11 werden geöffnet. Die Metallsilicidschicht 12 wird in jedem Öffnungsbereich mit einem selektiven CVD-Verfahren gebildet, und die erste vertikale Metalleingrabungsverdrahtungsschicht 13, die aus z. B. W oder Cu besteht, wird darauf durch selektive CVD gebildet. Anschließend wird, wie in 3B gezeigt, der SiO2-Film (der zweite Isolierfilm) 14 nur auf nur dem Isolierfilm 11 gebildet. Danach werden, wie in 3C gezeigt, Fenster durch Lithographie und Ätzen in Bereichen des Isolierfilms 14 gebildet, die eine Verdrahtung erfordern.
  • Danach wird, wie in 3D gezeigt, die erste Verdrahtungsschicht 15 mit niedrigem Widerstand, wie in einer gestapelten Struktur eines Sperrmetalls (z. B. TiN) und Cu, durch ein anisotropes CVD-Verfahren unter Verwendung von Metallatom- oder Molekülionen auf der gesamten Oberfläche, aus denen die Verdrahtung besteht, gebildet. Danach werden, wie in 3E gezeigt, unnötige Anteile der Verdrahtungsschicht 15 durch ein Rückätzverfahren (ein Spiegelglanzpolierverfahren, Reaktivionenätzen unter Verwendung eines Einebnungsmittels wie eines Resists) oder ein Abhebeverfahren entfernt, wobei die Verdrahtung nur im gewünschten Bereich belassen wird. Wie in 3F gezeigt, wird der SiO2-Film (der dritte Isolierfilm) 16 selektiv nur auf dem Isolierfilm 14 durch ein selektives CVD-Verfahren gebildet. Anschließend werden, wie in 3G gezeigt, die aus W oder Cu bestehenden Metalleingrabungsverdrahtungsschichten 17a, 17b und 17c durch ein selektives CVD-Verfahren gebildet. Danach werden die Oberfläche der Metalleingrabungsverdrahtungsschichten, auf die SiO2 abgeschieden werden muss, d. h. die Oberflächen der Verdrahtungsschichten 17b und 17c, in einer Dicke von 10 bis 20 nm oxidiert. Diese Oxidation kann durch Abfahren mit einem Sauerstoffionenstrahl, der Sauerstoffionen implantiert, durchgeführt werden. Alternativ kann die Oxidation unter Verwendung einer oxidationsbeständigen Maske durchgeführt werden.
  • Danach wird, wie in 3H gezeigt, der SiO2-Film (der vierte Isolierfilm) 18 selektiv nur auf dem Isolierfilm 16 und den oxidierten Verdrahtungsschichten durch ein selektives CVD-Verfahren gebildet. Danach werden, wie in 3I, unnötige Bereiche des Isolierfilms 18 durch Lithographie und Ätzen entfernt. Danach wird wie in 3J die zweite Verdrahtungsschicht mit niedrigem Widerstand 19, die aus z. B. Cu besteht, durch das anisotrope oder gerichtete CVD-Verfahren gebildet. Wie in 3K gezeigt, wird die Verdrahtung nur in gewünschten Bereichen durch Ätzen oder Abheben wie in Schritt 3E belassen.
  • Danach können die Bildungsschritte für die Schichten 21 bis 24 wie in 2 ähnlich wie diejenigen für die Schichten 16 bis 19 durchgeführt werden. Zusätzlich kann das anisotrope CVD-Verfahren durch ein selektives CVD-Verfahren in Abhängigkeit von der Form eines Musters ersetzt werden.
  • 4A zeigt die Form eines 0,1 μm breiten Verdrahtungsmusters, das erhalten wird, wenn die Verdrahtung entsprechend der vorliegenden Erfindung in einem Öffnungsbereich mit einer Führung eines Isolierfilms durch das anisotrope oder selektive CVD-Verfahren gebildet wird. Unter Bezug auf 4A sind nicht nur eine obere Oberfläche 31 und eine untere Oberfläche 32, sondern auch eine Seitenfläche 33 der Verdrahtung plan, da sie mit dem Isolierfilm bedeckt sind. 4B zeigt eine 0,1 μm breite Musterform, die durch übliche Elektronenstrahlphotolithographie und Metall-RIE oder Ionenfräsen hergestellt wurde. Unter Bezugnahme auf 4B ist die Seitenfläche 33 nicht eben, sondern sie weist Wellen von 0,01 bis 0,03 μm durch ungleichmäßiges Ätzen auf. Zusätzlich wird eine Kerbe, wie durch die Referenzzahl 35 angezeigt ist, leicht gebildet. Das Verdrahtungsmuster, das in einem Öffnungsbereich des Isolierfilms enthalten ist, hat eine flachere Gestalt als diejenige des durch Ätzen gebildeten Verdrahtungsmusters, da der Isolierfilm besser als ein Metall geätzt werden kann.
  • 5A zeigt eine Struktur, in der die Verdrahtung durch ein selbstausgerichtetes Verfahren auf einem Elementbil dungsgebiet gebildet wurde. Im Unterschied zu einer in 5B gezeigten Struktur ist die Verdrahtungsbreite nicht örtlich vergrößert, da kein Ausrichtungsrand in den Bereichen der Kontaktlöcher 42, 44 und 45 erforderlich ist. Eine Verdrahtungsverzögerungszeit ist daher effektiv verkürzt und ein Übersprechen zwischen der Verdrahtung kann unterdrückt werden, da der Raum zwischen der Verdrahtung verbreitert und die Kapazität zwischen der Verdrahtung reduziert ist. Wenn diese Effekte nicht in Betracht gezogen werden müssen, kann der Raum zwischen der Verdrahtung zu einer Vergrößerung der Verdrahtungsbreite verkleinert werden, wobei eine große Verdrahtungsbreite realisiert wird. Als Ergebnis können eine hohe Leistungsfähigkeit und eine hohe Dichte verwirklicht werden, da der Widerstand der Verdrahtung verkleinert ist, wobei eine durch Mikrobemusterung, wie eine Beanspruchungsmigration, verursachte Verringerung der Zuverlässigkeit unterdrückt wird.
  • 6 ist eine Schnittdarstellung zur Erklärung eines Verfahrens, das nicht in Übereinstimmung mit der vorliegenden Erfindung ist, bei dem ein durch selektive Abscheidung eines Metallsilicids auf einem Si-Substrat ohne Erosion des Si gebildeter MOSFET dargestellt ist. Um diesen MOSFET herzustellen, werden ein Elementisolierfilm 51 und ein Gateoxidfilm 52 auf einem Halbleitersubstrat 50 gebildet, und eine Gateelektrode 53, die aus einer Schicht von polykristallinem Si 53a, einer TiN-Schicht 53b und einer W- oder Cu-Schicht 53c besteht, und ein haubenförmiger Isolierfilm 54 darauf gebildet. Danach wird ein Fremdatomdiffusionsgebiet 55 mit einer Dicke von 50 nm oder weniger, ein Seitenwandisolierfilm 57 und eine Metallsilicidschicht 59 selektiv auf dem Si gebildet. Danach ist ein hochkonzentriertes Fremdatomdiffusionsgebiet 56 gebildet.
  • In dem MOSFET mit der obigen Struktur werden der Elementisolierfilm 51 und die Diffusionsgebiete 55 und 56 ohne zweidimensionales Ausschwitzen des Musters gebildet und die Metallsilicidschicht 59 wird ohne Erosion des Si-Substrates gebildet. Dementsprechend kann eine sehr flache p+-n- oder n+-p-Übergangszone gebildet werden. Die Oxidation der Diffusionsgebiete und des Elementisoliergebietes wird durch Anwendung von Wärme und Anlegen einer Vorspannung an dem Substrat verwirklicht, wobei ein Dotierungsmittelfremdion oder ein Oxidationskeimion mit einer niedrigen Energie von ungefähr 1 bis 100 eV verwendet wird.
  • Eine Ausführungsform, in der die vorliegende Erfindung zur Herstellung eines CMOS-Transistors angewendet wird, wird unten beschrieben.
  • Wie in 7A gezeigt, wird ein 300 nm dicker SiO2-Film 61 auf einem Si(100)-p-Typ-5- bis 10-Ω·cm-Substrat 60 gebildet. Danach wird, wie in 7B gezeigt, ein Startöffnungsteil gebildet, und ein natürlicher Oxidfilm auf einer freigelegten Si-Oberfläche im Öffnungsbereich wird durch eine Nass- oder Trockenbehandlung entfernt. Zur selben Zeit wird die Si-Oberfläche H-gebunden, um einen Film hoher Qualität zu erhalten, und ein 100 nm dicker amorpher Si-Film 62 mit Bedeckung einer hohen Stufe wird durch ein LPCVD-Verfahren unter Verwendung von SiH4 gebildet, wie in 7C gezeigt. Bei der Bildung des amorphen Si-Films wird die Temperatur zwischen 500°C und 550°C eingestellt, so dass die Dichte des Films maximiert wird. Unter dieser Bedingung kann ein epitaxiales Wachstum im Startteil vorkommen. Eine Wärmebehandlung in einer Ar-Atmosphäre von 2 ppb oder weniger bei einer Temperatur von 500°C bis 550°C wird während 8 h wird durchgeführt, um ein laterales Wachstum auf SiO2 zu erhalten. Danach wird ein Hochtemperaturglühen bei 900°C bis 1000°C durchgeführt, um eine hohe Dichte zu erreichen.
  • Danach werden, wie in 7D gezeigt, ein 10 nm dicker SiO2-Film 63 und ein 90 nm dicker Si3N4-Film 64 durch das LPCVD-Verfahren gebildet, und ein Resistmuster 64a gebildet. Hier erfordert die Maskenausrichtung keine hohe Aus richtungsgenauigkeit. 7E zeigt eine Struktur, bei der der Si3N4-Film 64 weggeätzt ist und der Resist durch RIE entfernt ist. Danach werden Phosphor und Bor in eine p-Kanaltransistorbildungsregion bzw. eine n-Kanaltransistorbildungsregion mit einer Konzentration von 1017 cm–3 durch Feldionenimplantation dotiert.
  • Danach wird die Substrattemperatur auf 900 °C eingestellt, die Substratvorspannung auf +50 V eingestellt, und durch Bestrahlung mit einem gemischten Ionenstrahl (1 bis 100 eV) von O2 oder H2O und O oder O2 eine anisotrope Oxidation durchgeführt, wobei der SiO2-Film 65, wie in 7F angegeben, gebildet wird. Wenn ein Alkalimetall (z. B. Rb, Cs, Li oder dgl.) im Extraktionsteil eines Sauerstoffplasmas verdampft wird, gibt das Alkalimetall Elektronen an Sauerstoff ab, da das Alkalimetall leicht positive Ionen bildet, und O1 -, O2 - und H2O-Ionen nehmen zu. Wenn ein Gas, wie F, das negativ geladene Ionen einfacher als Sauerstoff bildet, in dem Sauerstoff-Plasma-Extraktionsteil verdampft wird, nimmt das Gas Elektronen von Sauerstoff auf und O+-, O2 +und H2O+-Ionen nehmen zu. Daher kann ein gemischter Ionenstrahl von positiv geladenem H2O+ und O+ oder O2 + verwendet werden, um eine negative Spannung an das Substrat anzulegen. In dieser Ausführungsform wird ein SiO2-Film 65 durch anisotrope Oxidation gebildet, um eine Elementisolierung zu bilden. Eine anisotrope Nitridierung kann zur Bildung einer Elementisolierung durchgeführt werden. Hierzu wird ein Gas wie NH3 verwendet, und die Moleküle des Gases werden positiv oder negativ geladen. Dann wird an das Substrat eine Spannung angelegt. Somit kann ein Siliciumnitridfilm anisotrop gebildet werden. Danach werden Phosphor und Bor in ein p-Kanalgebiet 62a bzw. ein n-Kanalgebiet 62b mit einer Konzentration von 1017 cm–3 durch Kanalionenimplantation dotiert. In diesem Fall kann eine anisotrope Dotierung in gleicher Weise durch Anlegen einer positiven oder negativen Vorspannung an das Substrat durchgeführt werden, und die Störstellen können zuerst durch vertikale Diffusion vor den anderen Richtungen wandern.
  • Wie in 7G gezeigt, wird eine (nicht gezeigte) Resistmaske mit einem komplementären Muster der Gateelektrode verwendet, um den Siliciumnitridfilm 64 in dem Gateelektrodenbildungsgebiet zu entfernen. Danach werden, wie in 7H gezeigt, ein 50 nm dicker amorpher oder polykristalliner Si(Phosphorkonzentration = 1 × 1020 cm–3)-Film 66, ein 10 nm dicker TiN-Film 67 und ein 100 nm dicker W-Film 68 durch anisotrope CVD gebildet. In diesem Fall sind die Bedingungen für die anisotrope CVD wie folgt.
    • (1) Amorpher oder polykristalliner Si(Phosphorkonzentration: 1 × 1020 cm–3)-Film 66 Druck: 27–53 × 10–3 Pa (2–4 × 10–4 Torr) Temperatur: 100 bis 200°C Substratvorspannung: –60 bis –80 V Verwendete Gase: Eine Gasmischung aus SiH4 (30 SCCM) und PH3 (5 bis 10 SCCM)
    • (2) TiN-Film 67 Druck: 27–53 × 10–3 Pa (2–4 × 10–9 Torr) Temperatur: 250°C Substratspannung: –60 bis –80 V Verwendete Gase: Ti[N(C2H5)]4 (von 10-SCCM N2 durch ein Blasenventil bei 90°C) zugeführt; oder eine Gasmischung von TiCl4 (3 bis 5 SCCM), N2 (5 bis 10 SCCM) und H2 (10 bis 20 SCCM), TiCl4 wird auf 80 bis 90°C aufgeheizt.
    • (3) W-Film 68 Druck: 27–53 × 10–3 Pa (2–4 × 10–4 Torr) Temperatur: Raumtemperatur bis 100°C Substratsvorpannung: –60 bis –80 V Verwendete Gase: Eine Gasmischung aus WF6 (10 SCCM) und H2 (30 bis 40 SCCM)
  • Unter diesen Bedingungen wird ein Ladungsraum, in dem Elektronen und Ionen zur selben Zeit vorhanden sind, gebildet.
  • Um eine Sourcespannung niedriger Energie zu verwirklichen, wird polykristallines Si undotiert gebildet (ohne Dotierung durch Fremdatome), und Bor, Phosphor oder Arsen werden in die p-Kanalregion bzw. die n-Kanalregion mit einer Konzentration von 1017 cm–3 dotiert. Die Dotierung kann mit einem Ionenstrahl wie B+, As+ oder P+ mit 10 bis 100 eV oder durch Gasphasendiffusion unter Verwendung einer Maske, wie einem Resist, oder durch eine direkte Ionenstrahlabtastung ohne die Verwendung einer Maske durchgeführt werden.
  • Der Siliciumnitridfilm 64 wird durch Trockenätzen entfernt. Das Ätzen des Siliciumnitridfilmes 64 kann durch Feuchtätzen, unter Verwendung von z. B. einer gemischten Säure auf der Basis von Phosphorsäure, durchgeführt werden. In diesem Fall werden die polykristallinen Si-, TiN- und W-Filme gleichzeitig abgehoben, wie in 7I gezeigt. In Bezug auf 7I wird ein hochkonzentriertes Bordiffusionsgebiet 69 (1018 bis 1019 cm–3) mit einer Dicke von 20 bis 30 nm auf der p-Kanalregion gebildet und ein hochkonzentriertes Arsendiffusionsgebiet 70 (1018 bis 1019 cm–3) mit einer Dicke von 20 bis 30 nm auf der n-Kanalregion gebildet. In diesem Fall wird ebenso wie oben die Dotierung durch Verwendung eines anisotropen Diffusionsverfahrens erreicht.
  • Eine Nachoxidation wird in Sauerstoff bei 800°C 20 bis 30 min, um einen SiO2-Film 71 zu bilden, wie in 7J gezeigt, durchgeführt. Falls hier die Oxidation in einer Atmosphäre, in der eine H2/H2O-Gasmischung durch Stickstoff verdünnt ist, um nicht W zu oxidieren, durchgeführt wird, wird TiN nicht zersetzt und W nicht oxidiert. Danach wird ein 60 nm dicker Si-Nitridfilm durch LPCVD gebildet und ein Si-Nitridfilm (Si3N4) 72 wird durch Rückätzen nur auf der Gateseitenwand belassen.
  • Wie in 7K gezeigt, wird der SiO2-Film 71 auf den Source- und Draingebieten entfernt und eine 100 nm dicke Metallsilicidschicht 73 durch selektives Wachstum gebildet. Als Metallsilicid können Ni-Silicid (NiSi oder NiSi2), TiSi2 oder CoSi2 selektiv gebildet werden. Bei diesem selektiven Wachstum ist es sehr wichtig, die Oberfläche des Si-Substrates zu reinigen. Unmittelbar bevor das selektive Wachstum durchgeführt wird, muss daher SiO2 des natürlichen Oxidfilms durch Ätzen auf SF6/H2O- oder NF2/H2O-Basis entfernt werden.
  • Eine Kammer (Vorkammer) zur Oberflächenreinigung des Si-Substrates ist direkt vor der Silicidfilmabscheidungskammer angeordnet und SF6/H2O wird zu der Vorkammer mit einem Partialdruckverhältnis von 1 und einem Gesamtdruck von 13–1300 Pa (0,1 bis 10 Torr) gegeben. Eine Plasmaentladung wird unter Verwendung einer 2,4-GHz-Mikrowelle und bei einer Position oberhalb des Halbleitersubstrates getrennt vom Entladungsbereich durchgeführt. Bei diesem Plasmaätzen kann SiO2 auf dem Halbleitersubstrat selektiv geätzt werden. Die Ätzrate war 5 nm/min. Das Substrat wird zu einer Silicid-CVD-Kammer gebracht. Als Gase werden für das selektive Silicidwachstum ein Si-Speisegas, ein Metallquellengas und ein Trägergas verwendet.
  • Beispiele für das Siliciumspeisegas sind SiH4, Si2H6, Si3H8 und SiCl4. Beispiele für ein Gas als Metallquelle von Ni sind Ni(CO)4, NiF2, NiBr2 und NiCl2. Beispiele für ein Gas als Metallquelle von Co sind Co(Co)4, CoF2, CoBr2 und CoCl2. Beispiele eines Metallgases von Ti sind TiCl4, TiBr4 und TiF4. Obwohl ein Gas auf Carbonylbasis einen hohen Dampfdruck hat, muss es aufgrund seiner hohen Toxizität vorsichtig gehandhabt werden. Da ein Gas auf der Grundlage eines Halogenids einen niedrigen Dampfdruck hat, muss es durch ein Heizgerät aufgeheizt werden, damit es sich nicht in einem Rohr oder einer Kammer verfestigt. In dieser Ausführungsform wurden Co2(CO)8 oder Ni2(CO)8 (0,01 bis 10 SCCM) und SiH4 (0,1 bis 10 SCCM) als Quellgase verwendet, und Ar (10 bis 100 SCCM) wurde als Trägergas verwendet. Zusätzlich wurde H2 (10 bis 100 SCCM) als Verdünnungsgas verwendet. Die Substrattemperatur war 200°C bis 400°C und der Gesamtdruck war 1 bis 100 Pa. Unter diesen Bedingungen war die Abscheidungsrate von CoSi2 oder NiSi2 ungefähr 10 nm/min. Die abzuscheidende Dicke war 100 bis 200 nm. Der nach dem Glühen bei 700°C bis 800°C erhaltene Flächenwiderstand war 0,75 bis 1,5 Ω/sq und 1,8 bis 3,5 Ω/sq. Danach werden Bor und Phosphor oder Arsen in das Silicid der p-Kanalregion bzw. in das Silicid der n-Kanalregion dotiert und zur selben Zeit wird eine Diffusion von Fremdatomen im Si-Substrat mit einer Konzentration von 1020 bis 1021 cm–3 durch Bestrahlung mit einer Energiequelle, wie einem Laserstrahl oder einem Elektronenstrahl, oder einer Lampenbeheizung durchgeführt, wodurch eine Diffusionsregion 69a des p+-Typs und eine Diffusionsregion 70a des n+-Typs gebildet werden. Die Dotierung muss durch einen Ionenstrahl oder durch eine Gasphasendiffusion unter Verwendung einer Maske oder eines direkten Ionenstrahls ohne Maske durchgeführt werden.
  • Danach wird der 1 μm dicke Isolierfilm 74 durch CVD abgeschieden, Öffnungsbereiche werden gebildet, und ein W-Kontakt 75 wird selektiv in jedem Öffnungsbereich gebildet. Der W-Kontakt 75 kann selektiv durch das LPCVD-Verfahren unter Verwendung von WF6, H2 und SiH4 bei einem Gesamtdruck von 13–1300 Pa (0,1 bis 10 Torr) gebildet werden. Bei einer Struktur, in der Kontaktlöcher mit verschiedenen Tiefen in einer Unterschicht vorhanden sind, können niedrige Bereiche der Kontaktlöcher bei Überfüllung überlaufen, wenn die tiefen Bereiche zu einer Einebnung vollständig begraben werden sollen. Daher wird in diesem Fall eine aus einem Gas, das F enthält, wie CF4, NF4, oder SF6, und O2 bestehende Gasmischung verwendet, um ein Plasma zu bilden, und ein Substrat wird an eine Position gesetzt, die getrennt vom Plasma ist.
  • Danach wird Sauerstoff im Überschuss zugeführt oder eine Verdünnung mit N2, Ar oder dgl. durchgeführt, so dass die Ätzrate von W durch die Reaktionsgaszufuhrrate bestimmt wird, wobei W mit einem Druck von 40–1300 Pa (0,3 bis 10 Torr) geätzt wird. Als Ergebnis wird der überfüllte W-Bereich, mit einem großen Raumwinkel in Bezug auf das Reaktionsgas, mit hoher Geschwindigkeit geätzt und die Oberfläche geglättet. Danach wird auf der Oberfläche des W-Kontaktes 75 ein Nitridfilm mit einer Dicke von ungefähr 5 nm in einem N2-Plasma unter Verwendung einer RF von 500 W gebildet.
  • Danach wird gemäß dem Verfahren dieser Erfindung ein Isolierfilm 76 selektiv auf dem Isolierfilm 74 gebildet und die Oberfläche des Isolierfilms 76 unter Bildung eines Isolierfilme 77 inaktiviert. Wenn der Isolierfilm 74 aus SiO2 besteht, ist er polar, da der Elektronegativitätsunterschied groß ist. Daher können in einem polare Moleküle enthaltenden Gas Gasmoleküle in der SiO2-Schicht adsorbiert werden. In der vorliegenden Erfindung wurden SiH3Cl und N2O als Gase verwendet und mit einem Gesamtdruck von 13–1300 Pa (0,1 bis 1 Torr), einer Substrattemperatur von 400°C bis 500°C und einem Gasflussratenverhältnis von 1 : 2 als Strom eingesetzt. Als Ergebnis wurde SiO2 nicht auf dem freiliegenden Bereich von W2N, sondern auf der SiO2-Schicht gebildet. Die Abscheidungsrate war 50 nm/min. Es ist anzumerken, dass ein selektives Wachstum in ähnlicher Weise bei Verwendung von stark polaren Molekülen, wie SiCl2, SiCl3 oder Si(CH3)3 anstelle von SiH3Cl, wie oben beschrieben, verwirklicht werden kann. Alternativ können Sauerstoffradikale anstelle von N2O eingeführt werden. Ein selektives Wachstum der SiO2-Schicht kann ebenso durch Flüssigphasenabscheidung durchgeführt werden. Z. B. kann, wenn das obige Substrat in eine 1 mol SiH2F6 enthaltende wässrige Lösung eingetaucht wird, SiO2 nur auf der SiO2-Schicht gebildet werden. Die Abscheidungsrate beträgt nur 10 nm/min.
  • Ein Verdrahtungsbildungsbereich des Isolierfilms 76 wird durch Lithographie und Ätzen entfernt. Hier erfordert eine Maskenausrichtung keine hohe Ausrichtungsgenauigkeit, da ein einem Kontaktloch entsprechender Rand vorhanden ist. Da es schwierig ist, eine Maskenausrichtung durchzuführen, wenn eine Einebnung der unterliegenden Schicht befriedigend bei der Maskenausrichtung durchgeführt wird, wird nach der Einebnung zusätzlich eine weitere Maske verwendet, und ein Muster wird durch Entfernung des Isolierfilmes durch Ätzen in einem Gebiet einer Ausrichtungsmarke gebildet. Danach werden eine 30 nm dicke TiN-Schicht 78 und eine 400 nm dicke Cu-Schicht 79 durch anisotrope CVD gebildet.
  • TiN wird wie folgt abgeschieden. Ein aus Elektronen und Ionen bestehender Ladungsraum wird durch Verwendung von Wasserstoff als Trägergas und Diethylaminotitan Ti[N(C2H5)2]4-Gas und N2-Gas gebildet und dem Substrat zugeführt. Die Flussrate des Wasserstoffträgergases ist 30 SCCM und diejenige des Stickstoffträgergases ist 10 SCCM. Eine negative Vorspannung (z. B. –60 bis –80 V) wird als Schwebepotential an das Substrat angelegt. Die Substrattemperatur ist 100°C bis 250°C. Zur Abscheidung von Cu wird HFA-Cu(Hexafluoracetylaceton-Kupfer)-Gas zur Bildung eines Ladungsraumes mit H2 verwendet und Cu wird bei einer Substrattemperatur von 300°C abgeschieden. Die Bedingungen für die Vorspannung am Substrat sind dieselben wie für TiN. TiN und Cu werden in dieser Weise mit (111)-Kristallorientierung abgeschieden.
  • Danach wird das Substrat in eine verdünnte Flusssäurelösung (HF : H2O = 1 : 100) eingetaucht. In diesem Fall wird die Sauerstoffkonzentration in der wässrigen Lösung auf 1 ppm oder weniger verringert, um Cu nicht zu oxidieren. Wenn das Substrat in die verdünnte Flusssäurelösung getaucht wird, werden, da die Ätzrate der Schicht 77 hoch ist, die darauf gebildete TiN-Schicht 78 und Cu-Schicht 79 gleichzeitig ab gehoben. Als Ergebnis wird eine Endstruktur, wie in 7N gezeigt, erhalten. Eine Mehrlagenverdrahtungsstruktur aus zwei oder mehr Schichten kann durch Wiederholung der Schritte in 7L bis 7N gebildet werden.
  • Wenn ein Transistor unter Verwendung des obigen Verfahrens hergestellt wird, kann die Zahl der Herstellungsschritte um 10 Schritte verringert werden. Wenn eine fünfschichtige Verdrahtungsstruktur mit dem Verfahren der vorliegenden Erfindung gebildet wird, können 20 oder mehr Herstellungsschritte eingespart werden, was zu einer großen Kostenverringerung führt. Zusätzlich können nicht nur Cu oder W, sondern auch Ag, Au oder eine supraleitende Schicht, die alle schwierig durch herkömmliche Verfahren zu ätzen sind, für ein Ultramikroverdrahtungsmuster verwendet werden. Ferner kann, da ein Verdrahtungsmuster ohne Spiel auf einem Kontakt selbst ausgerichtet werden kann, der Raum zwischen der Verdrahtung verringert werden, um den Integrationsgrad zu erhöhen. Wenn der Raum zwischen der Verdrahtung nicht verringert werden muss, kann, da die Kapazität zwischen benachbarten Verdrahtungsschichten verringert werden kann, die Verdrahtungsverzögerungszeit verkürzt werden, um die Arbeitsgeschwindigkeit zu erhöhen.
  • In dieser Ausführungsform wird eine Elektrode oder ein Verdrahtungsmuster durch anisotrope CVD und Abheben gebildet. Die Musterbildung kann jedoch in ähnlicher Weise unter Verwendung eines selektiven Wachstumsverfahrens durchgeführt werden.
  • Wie oben genau beschrieben, verwendet die vorliegenden Erfindung verschiedene selektive oder anisotrope Verfahren, wie anisotrope selektive CVD von SiO2, anisotrope oder selektive Bildung eines Metallfilms. Als Ergebnis kann die Zahl der Herstellungsschritte verringert werden, wobei eine Kostenreduktion erreicht wird, eine hochgenaue Maskenausrichtung muss nicht durchgeführt werden, ein von Ausschwit zen freies Muster kann gebildet werden, ein schwierig zu ätzendes Material kann verwendet werden, und die Arbeitsgeschwindigkeit, die Dichte und Zuverlässigkeit eines Elementes können dementsprechend verbessert werden.

Claims (13)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, das die folgenden Stufen umfasst: Bilden einer ersten Isolierschicht (11) mit einem Loch auf einem Substrat (10); selektives Bilden einer leitenden Schicht (13) in dem Loch; selektives Bilden einer zweiten Isolierschicht (14) mit einem mit dem Loch ausgerichteten Loch auf der ersten Isolierschicht (11); Bemustern der zweiten Isolierschicht unter Bildung eines Öffnungsteils; und Bilden einer Verdrahtungsschicht (15) in dem Loch und dem Öffnungsteil der bemusterten zweiten Isolierschicht (14) derart, dass eine elektrische Verbindung mit der leitenden Schicht (13) besteht; dadurch gekennzeichnet, dass die selektive Bildung der zweiten Isolierschicht (14) ohne Maskenausrichtung durch selektive chemische Gasphasenabscheidung unter Verwendung einer gasförmigen polaren Siliciumverbindung als Speisegas durchgeführt wird und die erste und zweite Isolierschicht (11, 14) aus SiO2 bestehen.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Bildung der zweiten Isolierschicht (14) durch gerichtete selektive chemische Gasphasenabscheidung durchgeführt wird.
  3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die gasförmige polare Siliciumverbindung aus der aus SiH3Cl, SiCl2, SiCl3 und Si(CH3)3 bestehenden Gruppe ausgewählt ist.
  4. Verfahren nach Anspruch 1, das ferner vor der Stufe des Bildens der zweiten Isolierschicht (14) die Stufe des Behandelns der Oberflächen der ersten Isolierschicht (11) und der leitenden Schicht (13) durch ein H2-Plasma umfassst.
  5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe des Bildens der leitenden Schicht (13) durch selektive chemische Gasphasenabscheidung durchgeführt wird.
  6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe des Bildens der leitenden Schicht (13) die Teilstufen des Durchführens einer gerichteten chemischen Gasphasenabscheidung auf der gesamten Oberfläche und des Durchführens eines Spiegelglanzpolierens umfasst.
  7. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe des Bildens der leitenden Schicht (13) die Teilstufen des Durchführens einer gerichteten chemischen Gasphasenabscheidung auf der gesamten Oberfläche, des Abscheidens eines planmachenden Materials auf der gesamten Oberfläche zum Einebnen der Oberfläche und des Durchführens von Rückätzen umfasst.
  8. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass die gerichtete chemische Gasphasenabscheidung unter Anlegen einer Vorspannung an das Substrat durchgeführt wird.
  9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Stufe des Bildens der leitenden Schicht (13) die Teilstufen des Bildens einer Abstandsschicht auf der ersten Isolierschicht (11), des Durchführens einer gerichteten chemischen Gasphasenabscheidung auf der gesamten Oberfläche und des Entfernens der Abstandsschicht unter Hochheben eines Teils der leitenden Schicht (13) auf der Abstandsschicht umfasst.
  10. Verfahren nach Anspruch 1, das durch die folgenden Stufen gekennzeichnet ist: Bilden einer dritten Schicht (16) aus SiO2 mit einer Vielzahl von Löchern, die mit dem Loch und dem Öffnungsteil ausgerichtet sind, durch selektive chemische Gasphasenabscheidung unter Verwendung einer gasförmigen polaren Siliciumverbindung als Speisegas auf der bemusterten zweiten Isolierschicht (14); selektives Bilden von Teilen einer leitenden Schicht (17a, 17b, 17c) in den Löchern; Oxidieren der Oberfläche von einigen der Teile der leitenden Schicht (17b, 17c) mit Ausnahme eines Teils einer leitenden Schicht (17a), auf dem eine Verdrahtungsschicht (19) gebildet werden soll; selektives Bilden einer vierten Schicht (18) aus SiO2 auf der dritten Isolierschicht (16) und den einigen Teilen der leitenden Schicht (17b, 17c), die eine oxidierte Oberfläche aufweisen, unter Verwendung der gleichen selektiven chemischen Gasphasenabscheidung wie für die dritte Schicht aus SiO2; Bemustern der vierten Schicht aus SiO2 unter Bildung eines Öffnungsteils; und Bilden einer Verdrahtungsschicht (19) in dem Öffnungsteil der bemusterten vierten Schicht (18) aus SiO2 derart, dass eine elektrische Verbindung mit dem Teil der leitenden Schicht (17a), der eine nicht-oxidierte Oberfläche aufweist, besteht.
  11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die Stufe des Oxidierens der Oberfläche von einigen der Teile der leitenden Schicht (17b, 17c) durch Bestrahlen und Rastern der Oberfläche der leitenden Schicht (17b, 17c) mit einem Sauerstoffionenstrahl durchgeführt wird.
  12. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die Stufe des Oxidierens der Oberfläche von einigen der Teile der leitenden Schicht (17b, 17c) durch Implantieren von Sauerstoffionen in die leitende Schicht (17b, 17c) durch eine Maskierungsschicht durchgeführt wird.
  13. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die Stufe des Oxidierens der Oberfläche von einigen der Teile der einer leitenden Schicht (17b, 17c) durch thermische Oxidation der Oberfläche der leitenden Schicht (17b, 17c) durch eine Antioxidationsmaske durchgeführt wird.
DE69133316T 1990-02-14 1991-02-13 Verfahren zum Herstellen einer Halbleitervorrichtung Expired - Fee Related DE69133316T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP3268990 1990-02-14
JP3268990 1990-02-14
JP01658691A JP3469251B2 (ja) 1990-02-14 1991-02-07 半導体装置の製造方法
JP1658691 1991-02-07

Publications (2)

Publication Number Publication Date
DE69133316D1 DE69133316D1 (de) 2003-10-30
DE69133316T2 true DE69133316T2 (de) 2004-07-22

Family

ID=26352955

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69133316T Expired - Fee Related DE69133316T2 (de) 1990-02-14 1991-02-13 Verfahren zum Herstellen einer Halbleitervorrichtung

Country Status (4)

Country Link
US (4) US5192714A (de)
EP (1) EP0442718B1 (de)
JP (1) JP3469251B2 (de)
DE (1) DE69133316T2 (de)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JPH05102136A (ja) * 1991-10-03 1993-04-23 Toshiba Corp 半導体集積回路装置の製造方法
KR950012918B1 (ko) * 1991-10-21 1995-10-23 현대전자산업주식회사 선택적 텅스텐 박막의 2단계 퇴적에 의한 콘택 매립방법
EP0603461A3 (de) * 1992-10-30 1996-09-25 Ibm Herstellung von 3-D Siliziumsilizid-Strukturen.
US5436173A (en) * 1993-01-04 1995-07-25 Texas Instruments Incorporated Method for forming a semiconductor on insulator device
US5719447A (en) 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
JP3404873B2 (ja) * 1994-03-25 2003-05-12 株式会社デンソー 半導体装置の製造方法
EP0690494B1 (de) * 1994-06-27 2004-03-17 Infineon Technologies AG Verbindungs- und Aufbautechnik für Multichip-Module
KR0134108B1 (ko) * 1994-06-30 1998-04-20 김주용 반도체 소자의 제조방법
JPH0851103A (ja) * 1994-08-08 1996-02-20 Fuji Electric Co Ltd 薄膜の生成方法
JPH08148563A (ja) * 1994-11-22 1996-06-07 Nec Corp 半導体装置の多層配線構造体の形成方法
KR0138307B1 (ko) * 1994-12-14 1998-06-01 김광호 반도체 장치의 측면콘택 형성방법
JP3176017B2 (ja) * 1995-02-15 2001-06-11 株式会社東芝 半導体装置の製造方法
JP3073906B2 (ja) * 1995-03-27 2000-08-07 財団法人国際超電導産業技術研究センター 超電導デバイスの製造方法
US5626786A (en) * 1995-04-17 1997-05-06 Huntington; John H. Labile bromine fire suppressants
US5547900A (en) * 1995-05-26 1996-08-20 United Microelectronics Corporation Method of fabricating a self-aligned contact using a liquid-phase oxide-deposition process
JPH0922896A (ja) * 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US5504030A (en) * 1995-07-21 1996-04-02 United Microelectronics Corporation Process for fabricating high-density mask ROM devices
US5856707A (en) * 1995-09-11 1999-01-05 Stmicroelectronics, Inc. Vias and contact plugs with an aspect ratio lower than the aspect ratio of the structure in which they are formed
US6069370A (en) * 1997-03-26 2000-05-30 Nec Corporation Field-effect transistor and fabrication method thereof and image display apparatus
JPH09153616A (ja) * 1995-09-28 1997-06-10 Toshiba Corp 半導体装置およびその製造方法
JP2830812B2 (ja) * 1995-12-27 1998-12-02 日本電気株式会社 多層プリント配線板の製造方法
US5972788A (en) 1996-05-22 1999-10-26 International Business Machines Corporation Method of making flexible interconnections with dual-metal-dual-stud structure
US5846876A (en) * 1996-06-05 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit which uses a damascene process for producing staggered interconnect lines
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US6066548A (en) * 1996-10-31 2000-05-23 Micron Technology, Inc. Advance metallization process
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6121159A (en) 1997-06-19 2000-09-19 Lsi Logic Corporation Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
US5969805A (en) 1997-11-04 1999-10-19 Micron Technology, Inc. Method and apparatus employing external light source for endpoint detection
US7102737B2 (en) * 1997-11-04 2006-09-05 Micron Technology, Inc. Method and apparatus for automated, in situ material detection using filtered fluoresced, reflected, or absorbed light
US6704107B1 (en) 1997-11-04 2004-03-09 Micron Technology, Inc. Method and apparatus for automated, in situ material detection using filtered fluoresced, reflected, or absorbed light
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US6140235A (en) * 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
JP3123512B2 (ja) * 1998-06-02 2001-01-15 日本電気株式会社 半導体装置及びその製造方法
JP3431128B2 (ja) * 1998-08-05 2003-07-28 シャープ株式会社 半導体装置の製造方法
US6207493B1 (en) * 1998-08-19 2001-03-27 International Business Machines Corporation Formation of out-diffused bitline by laser anneal
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
JP2000195814A (ja) * 1998-12-28 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
KR100313510B1 (ko) * 1999-04-02 2001-11-07 김영환 반도체 소자의 제조방법
EP1050905B1 (de) * 1999-05-07 2017-06-21 Shinko Electric Industries Co. Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung mit isolierender Schicht
US6521501B1 (en) * 1999-05-11 2003-02-18 Advanced Micro Devices, Inc. Method of forming a CMOS transistor having ultra shallow source and drain regions
JP2003519440A (ja) * 1999-07-01 2003-06-17 インフィネオン テクノロジーズ アクチェンゲゼルシャフト 集積型半導体構造体におけるシリサイド化されたポリシリコンコンタクトの製造プロセス
US6395631B1 (en) * 1999-08-04 2002-05-28 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant dielectric layer fabrication method employing hard mask layer delamination
US6869750B2 (en) * 1999-10-28 2005-03-22 Fujitsu Limited Structure and method for forming a multilayered structure
US6882045B2 (en) * 1999-10-28 2005-04-19 Thomas J. Massingill Multi-chip module and method for forming and method for deplating defective capacitors
US6428942B1 (en) * 1999-10-28 2002-08-06 Fujitsu Limited Multilayer circuit structure build up method
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP2003023070A (ja) * 2001-07-05 2003-01-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6383930B1 (en) * 2001-07-12 2002-05-07 Taiwan Semiconductor Manufacturing Company Method to eliminate copper CMP residue of an alignment mark for damascene processes
KR100440472B1 (ko) * 2001-12-13 2004-07-14 아남반도체 주식회사 반도체 소자 제조 방법
JP2003224279A (ja) * 2002-01-31 2003-08-08 Oki Electric Ind Co Ltd 電界効果トランジスタ素子
US6797620B2 (en) * 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US6593221B1 (en) * 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7138690B2 (en) * 2003-07-21 2006-11-21 Agere Systems Inc. Shielding structure for use in a metal-oxide-semiconductor device
JP4581119B2 (ja) * 2003-09-17 2010-11-17 株式会社トリケミカル研究所 NiSi膜形成材料およびNiSi膜形成方法
JP2005217176A (ja) * 2004-01-29 2005-08-11 Tokyo Electron Ltd 半導体装置および積層膜の形成方法
US7280068B2 (en) * 2005-07-14 2007-10-09 Agilent Technologies, Inc. System and method for microwave imaging with suppressed sidelobes using a sparse antenna array
US7473999B2 (en) * 2005-09-23 2009-01-06 Megica Corporation Semiconductor chip and process for forming the same
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
JP4703364B2 (ja) 2005-10-24 2011-06-15 株式会社東芝 半導体装置及びその製造方法
US7382658B2 (en) * 2006-01-26 2008-06-03 Mosys, Inc. Non-volatile memory embedded in a conventional logic process and methods for operating same
US20070170489A1 (en) * 2006-01-26 2007-07-26 Fang Gang-Feng Method to increase charge retention of non-volatile memory manufactured in a single-gate logic process
JP5110885B2 (ja) * 2007-01-19 2012-12-26 キヤノン株式会社 複数の導電性の領域を有する構造体
KR100857229B1 (ko) * 2007-05-28 2008-09-05 삼성전자주식회사 반도체 소자 및 그 형성방법
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US10453749B2 (en) * 2017-02-14 2019-10-22 Tokyo Electron Limited Method of forming a self-aligned contact using selective SiO2 deposition
US10256405B2 (en) 2017-04-05 2019-04-09 International Business Machines Corporation Methods for fabricating artificial neural networks (ANN) based on doped semiconductor elements
US10847363B2 (en) * 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
CN111128708A (zh) * 2019-12-18 2020-05-08 华虹半导体(无锡)有限公司 自对准金属硅化物及晶体管中接触层的形成方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL191683C (nl) * 1977-02-21 1996-02-05 Zaidan Hojin Handotai Kenkyu Halfgeleidergeheugenschakeling.
JPS56105652A (en) * 1980-01-28 1981-08-22 Mitsubishi Electric Corp Manufacture of semiconductor device
JPS56135944A (en) * 1980-03-28 1981-10-23 Fujitsu Ltd Manufacture of semiconductor device
JPS57126131A (en) * 1981-01-28 1982-08-05 Toshiba Corp Manufacture of semiconductor device
US4493740A (en) * 1981-06-01 1985-01-15 Matsushita Electric Industrial Company, Limited Method for formation of isolation oxide regions in semiconductor substrates
JPS584947A (ja) * 1981-06-30 1983-01-12 Nippon Telegr & Teleph Corp <Ntt> 埋込配線層の形成法
CA1200624A (en) * 1981-08-10 1986-02-11 Susumu Muramoto Method for the manufacture of semiconductor device using refractory metal in a lift-off step
JPS59144151A (ja) * 1983-02-08 1984-08-18 Nec Corp 半導体装置の製造方法
JPS61111562A (ja) * 1984-11-05 1986-05-29 Mitsubishi Electric Corp 半導体装置の製造方法
JPS61137344A (ja) * 1984-12-07 1986-06-25 Toshiba Corp 半導体装置の製造方法
US5084414A (en) * 1985-03-15 1992-01-28 Hewlett-Packard Company Metal interconnection system with a planar surface
DE3650077T2 (de) * 1985-03-15 1995-02-23 Hewlett Packard Co Metallisches Verbindungssystem mit einer ebenen Fläche.
KR880000483B1 (ko) * 1985-08-05 1988-04-07 재단법인 한국전자통신 연구소 반도체소자의 제조방법
JPH0779133B2 (ja) * 1986-06-12 1995-08-23 松下電器産業株式会社 半導体装置の製造方法
US5069749A (en) * 1986-07-29 1991-12-03 Digital Equipment Corporation Method of fabricating interconnect layers on an integrated circuit chip using seed-grown conductors
US5063175A (en) * 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
US4746621A (en) * 1986-12-05 1988-05-24 Cornell Research Foundation, Inc. Planar tungsten interconnect
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4879257A (en) * 1987-11-18 1989-11-07 Lsi Logic Corporation Planarization process
US5104694A (en) * 1989-04-21 1992-04-14 Nippon Telephone & Telegraph Corporation Selective chemical vapor deposition of a metallic film on the silicon surface
JPH02307221A (ja) * 1989-05-22 1990-12-20 Nec Corp Cvd膜の成長方法
US4923826A (en) * 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
US5017317A (en) * 1989-12-04 1991-05-21 Board Of Regents, The Uni. Of Texas System Gas phase selective beam deposition
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
US5068207A (en) * 1990-04-30 1991-11-26 At&T Bell Laboratories Method for producing a planar surface in integrated circuit manufacturing
US5120572A (en) * 1990-10-30 1992-06-09 Microelectronics And Computer Technology Corporation Method of fabricating electrical components in high density substrates
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
US5290358A (en) * 1992-09-30 1994-03-01 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
US5518959A (en) * 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
JP3809545B2 (ja) * 1997-09-19 2006-08-16 株式会社日立製作所 冷蔵庫

Also Published As

Publication number Publication date
US5304510A (en) 1994-04-19
EP0442718A3 (en) 1993-08-11
JPH04211121A (ja) 1992-08-03
DE69133316D1 (de) 2003-10-30
EP0442718B1 (de) 2003-09-24
JP3469251B2 (ja) 2003-11-25
US5470791A (en) 1995-11-28
EP0442718A2 (de) 1991-08-21
US5654237A (en) 1997-08-05
US5192714A (en) 1993-03-09

Similar Documents

Publication Publication Date Title
DE69133316T2 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
EP0010624B1 (de) Verfahren zur Ausbildung sehr kleiner Maskenöffnungen für die Herstellung von Halbleiterschaltungsanordnungen
EP0010633B1 (de) Verfahren zur Herstellung sehr schmaler Dosierungsgebiete in einem Halbleiterkörper sowie Verwendung dieses Verfahrens bei der Erzeugung von voneinander isolierten Halbleiterkörperbereichen, Bipolar-Halbleiteranordnungen, integrieten Injektionslogikschaltungen und doppelt diffundierten FET-Halbleiteranordnungen
DE2817430C2 (de) Verfahren zum Herstellen von Feldeffekt-Transistoren mit isolierter Gate- Elektrode
DE60312467T2 (de) Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere
DE4224793C2 (de) Dünnfilmfeldeffektelement und Herstellungsverfahren dafür
DE10339989B4 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
DE60304225T2 (de) NIickelsilizid mit verminderter Grenzflächenrauhigkeit
DE19711482C2 (de) Verfahren zur Herstellung eines vertikalen MOS-Transistors
DE3334333A1 (de) Verfahren zur herstellung eines mos-einrichtung mit selbstjustierten kontakten
DE3834241A1 (de) Halbleitereinrichtung
DE3841588A1 (de) Dynamischer vertikal-halbleiterspeicher mit wahlfreiem zugriff und verfahren zu seiner herstellung
DE69736460T2 (de) Verfahren zur Herstellung von gerichtet abgeschiedenem Silizid über Transistorelektroden
EP0163871B1 (de) Verfahren zum Herstellen von aus Doppelschichten aus hochschmelzenden Metallsiliziden und dotiertem polykristallinem Silizium bestehenden Gate-Elektroden
DE2845460A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE4127967A1 (de) Mos-transistor mit gate-drain-elektrodenueberlapp und verfahren zu seiner herstellung
DE102011088584A1 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE10355575A1 (de) Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
DE2729973A1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE2510593C3 (de) Integrierte Halbleiter-Schaltungsanordnung
DE2365056A1 (de) Verfahren zur herstellung von halbleitereinrichtungen unter oertlicher oxidation einer silicium-oberflaeche
DE69738558T2 (de) Verfahren zur Herstellung eines Transistors mit selbstausrichtenden Kontakten
DE3931127C2 (de) Verfahren zum Herstellen einer Halbleitereinrichtung
DE4130890A1 (de) Verfahren zur herstellung eines kondensators unter verwendung des feldeffekttransistor-prozesses und mit hilfe des verfahrens hergestellte struktur
DE112010004205T5 (de) MOSFET mit hohem Betriebsstrom

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee