DE69312145D1 - Plasmaquelle und Herstellungsverfahren - Google Patents

Plasmaquelle und Herstellungsverfahren

Info

Publication number
DE69312145D1
DE69312145D1 DE69312145T DE69312145T DE69312145D1 DE 69312145 D1 DE69312145 D1 DE 69312145D1 DE 69312145 T DE69312145 T DE 69312145T DE 69312145 T DE69312145 T DE 69312145T DE 69312145 D1 DE69312145 D1 DE 69312145D1
Authority
DE
Germany
Prior art keywords
manufacturing process
plasma source
plasma
source
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69312145T
Other languages
English (en)
Other versions
DE69312145T2 (de
Inventor
Ajit P Paranjpe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of DE69312145D1 publication Critical patent/DE69312145D1/de
Publication of DE69312145T2 publication Critical patent/DE69312145T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
DE69312145T 1992-04-15 1993-04-01 Plasmaquelle und Herstellungsverfahren Expired - Fee Related DE69312145T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/868,818 US5231334A (en) 1992-04-15 1992-04-15 Plasma source and method of manufacturing

Publications (2)

Publication Number Publication Date
DE69312145D1 true DE69312145D1 (de) 1997-08-21
DE69312145T2 DE69312145T2 (de) 1998-01-02

Family

ID=25352372

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69312145T Expired - Fee Related DE69312145T2 (de) 1992-04-15 1993-04-01 Plasmaquelle und Herstellungsverfahren

Country Status (4)

Country Link
US (2) US5231334A (de)
EP (1) EP0565960B1 (de)
JP (1) JP3373885B2 (de)
DE (1) DE69312145T2 (de)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0384340B1 (de) * 1989-02-20 1994-08-31 Nippon Steel Corporation Vorrichtung zum Anritzen kornorientierter Elektrostahlbänder
USRE40963E1 (en) * 1993-01-12 2009-11-10 Tokyo Electron Limited Method for plasma processing by shaping an induced electric field
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
MY118033A (en) * 1995-11-16 2004-08-30 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus.
US5763851A (en) * 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6209480B1 (en) 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6116186A (en) * 1998-03-19 2000-09-12 Applied Materials, Inc. Apparatus for cooling a plasma generator
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
GB2387023B (en) * 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
EP1020892B1 (de) * 1999-01-14 2004-08-18 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Vorrichtung zum Aufbringen von Beschichtungen auf ein Substrat durch eine induktiv-angekoppelte magnetisch-begrenzte Plasmaquelle
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
WO2002005308A2 (en) * 2000-07-06 2002-01-17 Applied Materials, Inc. A plasma reactor having a symmetric parallel conductor coil antenna
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
KR20030041217A (ko) * 2001-11-19 2003-05-27 주성엔지니어링(주) Icp 발생 장치의 안테나 전극
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
EP1995458B1 (de) 2004-09-22 2013-01-23 Elwing LLC Raumfahrtstahlruder
CN100419944C (zh) * 2005-12-08 2008-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子处理线圈
US7591232B2 (en) * 2006-03-31 2009-09-22 Tokyo Electron Limited Internal coil with segmented shield and inductively-coupled plasma source and processing system therewith
US8454810B2 (en) 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
KR100873923B1 (ko) * 2007-05-18 2008-12-15 (주)제이하라 플라즈마 발생장치
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
RU2503079C1 (ru) 2012-04-24 2013-12-27 Евгений Владимирович Берлин Генератор плазмы (варианты)
US10180341B2 (en) 2013-10-24 2019-01-15 The United States Of America As Represented By The Administrator Of Nasa Multi-layer wireless sensor construct for use at electrically-conductive material surfaces
US10193228B2 (en) 2013-10-24 2019-01-29 The United States Of America As Represented By The Administrator Of Nasa Antenna for near field sensing and far field transceiving
US9497846B2 (en) * 2013-10-24 2016-11-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma generator using spiral conductors
US9401266B2 (en) * 2014-07-25 2016-07-26 Bruker Daltonics, Inc. Filament for mass spectrometric electron impact ion source
JP6539986B2 (ja) 2014-11-05 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW202402105A (zh) * 2020-02-19 2024-01-01 南韓商源多可股份有限公司 天線結構以及使用其之電感耦合電漿產生裝置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3343022A (en) * 1965-03-16 1967-09-19 Lockheed Aircraft Corp Transpiration cooled induction plasma generator
US4156159A (en) * 1974-06-21 1979-05-22 Futaba Denshi Kogyo Kabushiki Kaisha Self crossed field type ion source
EP0339554A3 (de) * 1988-04-26 1989-12-20 Hauzer Holding B.V. Hochfrequenz-Ionenstrahlquelle
US4894591A (en) * 1988-09-06 1990-01-16 General Electric Company Inverted Excitation coil for HID lamps
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5146234A (en) * 1989-09-08 1992-09-08 Ball Corporation Dual polarized spiral antenna
EP0489407A3 (en) * 1990-12-03 1992-07-22 Applied Materials, Inc. Plasma reactor using uhf/vhf resonant antenna source, and processes
FR2671931A1 (fr) * 1991-01-22 1992-07-24 Metal Process Dispositif de repartition d'une energie micro-onde pour l'excitation d'un plasma.
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber

Also Published As

Publication number Publication date
JP3373885B2 (ja) 2003-02-04
EP0565960B1 (de) 1997-07-16
DE69312145T2 (de) 1998-01-02
US5231334A (en) 1993-07-27
EP0565960A1 (de) 1993-10-20
JPH0696719A (ja) 1994-04-08
US5436528A (en) 1995-07-25

Similar Documents

Publication Publication Date Title
DE69312145T2 (de) Plasmaquelle und Herstellungsverfahren
DE69415068D1 (de) Mikromotor und Herstellungsverfahren desselben
DE69322807D1 (de) Zahnprothese und Herstellungsverfahren
DE69419691T2 (de) Gassperrefilm und Herstellungsverfahren dafür
DE69406723T2 (de) Organopolysiloxan und Herstellungsverfahren
KR950701061A (ko) 방탄제품 및 이의 제조방법(Ballistic-resistane articlt and process for making the same)
DE69309164D1 (de) Mehrelektrischen Plasma Behandlungsgerät
DE69116859D1 (de) Elektronenquelle und herstellungsverfahren
DE69420097T2 (de) Gassperrefilm und Herstellungsverfahren dafür
DE69429906T2 (de) Halbleiterstruktur und Herstellungsverfahren
DE69301952D1 (de) Induktiv gekoppelte Plasmaquelle
DE69433337D1 (de) Halbleiterbauelement und dessen Herstellungsverfahren
DE69504262D1 (de) Halbleiterlaser und dessen Herstellungsverfahren
DE69401733D1 (de) Halbleiterlaser und dessen Herstellungsverfahren
DE69325234T2 (de) Toner und dessen Herstellungsverfahren
DE69413214D1 (de) Plasmalichtbogenverfahren- und vorrichtung
DE69319317T2 (de) Halbleiterlaser und Herstellungsverfahren
DE69400533T2 (de) Halbleiterlaser und Herstellungsverfahren
DE69400459T2 (de) Halbleiterlaser und Herstellungsverfahren
DE69310624D1 (de) Magnetisches Material und Herstellungsverfahren
DE69301885T2 (de) Quantenbauelement und dessen Herstellungsverfahren
DE69627206T2 (de) Düse und Düsenherstellungsverfahren
DE69302357T2 (de) Zündungsquelle und Herstellungsverfahren derselben
DE69310454T2 (de) Verpackungsverfahren und entsprechende Verpackung
DE9321029U1 (de) Plasmasterilisiervorrichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee