DE69414274T2 - Verfahren und vorrichtung für die niedertemperatur-ablagerung von cvd- und pecvd-filmen - Google Patents

Verfahren und vorrichtung für die niedertemperatur-ablagerung von cvd- und pecvd-filmen

Info

Publication number
DE69414274T2
DE69414274T2 DE69414274T DE69414274T DE69414274T2 DE 69414274 T2 DE69414274 T2 DE 69414274T2 DE 69414274 T DE69414274 T DE 69414274T DE 69414274 T DE69414274 T DE 69414274T DE 69414274 T2 DE69414274 T2 DE 69414274T2
Authority
DE
Germany
Prior art keywords
cvd
low
temperature deposit
pecvd films
pecvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69414274T
Other languages
English (en)
Other versions
DE69414274D1 (de
Inventor
Robert Foster
Joseph Hillman
Rikhit Arora
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE69414274D1 publication Critical patent/DE69414274D1/de
Application granted granted Critical
Publication of DE69414274T2 publication Critical patent/DE69414274T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control
DE69414274T 1994-06-03 1994-11-29 Verfahren und vorrichtung für die niedertemperatur-ablagerung von cvd- und pecvd-filmen Expired - Fee Related DE69414274T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/253,714 US5628829A (en) 1994-06-03 1994-06-03 Method and apparatus for low temperature deposition of CVD and PECVD films
PCT/US1994/013614 WO1995033868A1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films

Publications (2)

Publication Number Publication Date
DE69414274D1 DE69414274D1 (de) 1998-12-03
DE69414274T2 true DE69414274T2 (de) 1999-03-25

Family

ID=22961417

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69414274T Expired - Fee Related DE69414274T2 (de) 1994-06-03 1994-11-29 Verfahren und vorrichtung für die niedertemperatur-ablagerung von cvd- und pecvd-filmen

Country Status (9)

Country Link
US (2) US5628829A (de)
EP (1) EP0763149B1 (de)
JP (1) JPH10501300A (de)
KR (1) KR970703446A (de)
AU (1) AU1294795A (de)
CA (1) CA2191456A1 (de)
DE (1) DE69414274T2 (de)
TW (1) TW335593B (de)
WO (1) WO1995033868A1 (de)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3877082B2 (ja) * 1995-08-10 2007-02-07 東京エレクトロン株式会社 研磨装置及び研磨方法
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP3635875B2 (ja) * 1997-06-25 2005-04-06 東京エレクトロン株式会社 成膜方法及び膜積層構造
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US6121140A (en) * 1997-10-09 2000-09-19 Tokyo Electron Limited Method of improving surface morphology and reducing resistivity of chemical vapor deposition-metal films
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6440494B1 (en) 2000-04-05 2002-08-27 Tokyo Electron Limited In-situ source synthesis for metal CVD
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
KR100501339B1 (ko) * 2001-11-02 2005-07-18 주성엔지니어링(주) 플라즈마 장치
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
KR100561642B1 (ko) * 2003-06-27 2006-03-20 엘지.필립스 엘시디 주식회사 표시소자 제조 장치 및 방법
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
EP1661161A2 (de) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter-partitions-ventil mit geschützten dichtungen
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
TW200737533A (en) * 2005-12-21 2007-10-01 Nat Science And Technology Dev Agency Low-cost and high performance solar cell manufacturing machine
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
EP2215282B1 (de) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Cvd-reaktor
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
JP5271648B2 (ja) * 2008-09-22 2013-08-21 株式会社ニューフレアテクノロジー 半導体製造方法および半導体製造装置
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
WO2011109348A2 (en) * 2010-03-03 2011-09-09 Veeco Instruments Inc. Wafer carrier with sloped edge
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) * 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
FR2997557B1 (fr) 2012-10-26 2016-01-01 Commissariat Energie Atomique Dispositif electronique a nanofil(s) muni d'une couche tampon en metal de transition, procede de croissance d'au moins un nanofil, et procede de fabrication d'un dispositif
FR2997420B1 (fr) * 2012-10-26 2017-02-24 Commissariat Energie Atomique Procede de croissance d'au moins un nanofil a partir d'une couche d'un metal de transition nitrure obtenue en deux etapes
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
EP2747122B1 (de) * 2012-12-20 2019-07-03 Applied Materials, Inc. Plasmaverstärkte Ablagerungsanordnung zur Verdampfung von dielektrischen Materialien, Ablagerungsvorrichtung und Verfahren zum Betrieb davon
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN104103561B (zh) * 2014-07-24 2016-08-24 河北神通光电科技有限公司 用于气态氟化氢刻蚀二氧化硅的刻蚀腔体及其刻蚀系统
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112921305A (zh) * 2021-01-22 2021-06-08 上海华虹宏力半导体制造有限公司 提高薄膜厚度均匀性的方法

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4618542A (en) * 1983-11-21 1986-10-21 Tdk Corporation Magnetic thin film
JPS60221566A (ja) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol 薄膜形成装置
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (de) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum herstellen von halbleiterschichten auf halbleiterkoerpern oder zur eindiffusion von stoerstellen im halbleiterkoerper
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) * 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
JPS61189626A (ja) * 1985-02-18 1986-08-23 Canon Inc 堆積膜形成法
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (ja) * 1985-03-28 1986-10-04 Canon Inc 複写装置
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (ja) * 1985-03-27 1996-09-25 キヤノン株式会社 機能性堆積膜の製造装置
JPH07101751B2 (ja) * 1985-03-28 1995-11-01 キヤノン株式会社 光起電力素子の製造方法
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPH0645890B2 (ja) * 1985-12-18 1994-06-15 キヤノン株式会社 堆積膜形成法
JPH084071B2 (ja) * 1985-12-28 1996-01-17 キヤノン株式会社 堆積膜形成法
CH671407A5 (de) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (de) * 1986-12-12 1996-02-22 Canon Kk Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0275965B1 (de) * 1987-01-19 1995-05-31 Hitachi, Ltd. Mit einem Plasma arbeitendes Gerät
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
JPS63233564A (ja) * 1987-03-23 1988-09-29 Canon Inc 接合型トランジスタの製造法
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH0192375A (ja) * 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
EP0317350B1 (de) * 1987-11-20 1995-06-21 Canon Kabushiki Kaisha Photovoltaisches PIN-Bauelement, Tandem-und Triple-Zellen
US5008726A (en) * 1987-11-20 1991-04-16 Canon Kabushiki Kaisha PIN junction photovoltaic element containing Zn, Se, Te, H in an amount of 1 to 4 atomic %
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198482A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
JPH01198481A (ja) * 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH01298164A (ja) * 1988-05-25 1989-12-01 Canon Inc 機能性堆積膜の形成方法
JPH01296611A (ja) * 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
JPH01306565A (ja) * 1988-06-02 1989-12-11 Canon Inc 堆積膜形成方法
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (ja) * 1988-07-01 1990-01-18 Canon Inc マイクロ波プラズマcvd装置
DE68927726T2 (de) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Einrichtung zum Trockenätzen mit einem Generator zum Erzeugen von wasserfreiem Flusssäuregas
DE3926023A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Cvd-beschichtungsverfahren zur herstellung von schichten und vorrichtung zur durchfuehrung des verfahrens
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2717583B2 (ja) * 1988-11-04 1998-02-18 キヤノン株式会社 積層型光起電力素子
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (ja) * 1988-11-29 1990-06-07 Canon Inc 半導体デバイスの連続形成装置
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
JPH02192771A (ja) * 1989-01-21 1990-07-30 Canon Inc 光起電力素子
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
JP2829653B2 (ja) * 1989-01-21 1998-11-25 キヤノン株式会社 光起電力素子
JP2892070B2 (ja) * 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
JPH02258689A (ja) 1989-03-31 1990-10-19 Canon Inc 結晶質薄膜の形成方法
EP0422243B1 (de) * 1989-03-31 1994-09-21 Canon Kabushiki Kaisha Verfahren zur herstellung eines polykristallinen filmes mittels chemischen dampfniederschlags
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (ja) 1989-12-13 1996-01-29 三菱電機株式会社 表面クリーニング装置及びその方法
KR0184279B1 (ko) * 1990-01-29 1999-04-15 미다 가쓰시게 금속 또는 금속실리사이드막의 형성방법
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
DE4016765A1 (de) 1990-05-25 1991-11-28 Philips Patentverwaltung Cvd-verfahren zur beschichtung ausgedehnter substrate
FR2664294B1 (fr) 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2583152B2 (ja) 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 基板回転式表面処理方法
JP3013455B2 (ja) 1991-02-07 2000-02-28 日本電気株式会社 酸化タンタル膜のプラズマ化学気相成長法
JP2939355B2 (ja) 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia

Also Published As

Publication number Publication date
DE69414274D1 (de) 1998-12-03
US5628829A (en) 1997-05-13
EP0763149A1 (de) 1997-03-19
AU1294795A (en) 1996-01-04
US6140215A (en) 2000-10-31
KR970703446A (ko) 1997-07-03
JPH10501300A (ja) 1998-02-03
EP0763149B1 (de) 1998-10-28
WO1995033868A1 (en) 1995-12-14
CA2191456A1 (en) 1995-12-14
TW335593B (en) 1998-07-01

Similar Documents

Publication Publication Date Title
DE69414274T2 (de) Verfahren und vorrichtung für die niedertemperatur-ablagerung von cvd- und pecvd-filmen
DE69634539D1 (de) Verfahren und vorrichtung für kaltwand-cvd
DE69521969D1 (de) Verfahren und Vorrichtung zur Lichtbogen unterstützten CVD
DE69636914D1 (de) Verfahren und Vorrichtung für Netzwerkverwaltung
DE69613123D1 (de) Verfahren und vorrichtung für assoziativnetzwerk
DE69612930T2 (de) Verfahren und Vorrichtung für die Reinigung von Werkstücken
DE69625314D1 (de) Flächenhaftverschluss sowie Verfahren und Vorrichtung zu dessen Herstellung
DE69609265D1 (de) Verfahren und Vorrichtung für Gasbehandlung
DE69621602T2 (de) Verschluss mit erhöhter flexibilität, verfahren und vorrichtung für dessen herstellung und so hergestelltes produkt
DE69508431D1 (de) Verfahren und vorrichtung für die einfache und sichere verwaltung von entfernten servern
DE69819030D1 (de) Doppelfrequenz cvd verfahren und vorrichtung
DE69430050D1 (de) Benzinschlauch verfahren und vorrichtung zu dessen herstellung
DE69629084D1 (de) Verfahren und gerät für textobjektverwaltung
DE69633958D1 (de) Verfahren und Vorrichtung für Injektion von heissen Ladungsträgern
DE69635099D1 (de) Verfahren und Vorrichtung für kontextempfindliches Pfadsende
DE69518046D1 (de) Sprühmethode für Geschirrspüler und Vorrichtung für deren Durchführung
DE19782058T1 (de) Vorrichtung und Verfahren für die Rohrernte
DE69519820T2 (de) Verfahren und Vorrichtung zur Sprachsynthese
DE69523998T2 (de) Verfahren und Vorrichtung zur Sprachsynthese
DE69624821T2 (de) Verfahren und vorrichtung zum beschichten von petrischalen
DE69606401T2 (de) Verfahren und Vorrichtung für die dosierte Abgabe von Produkten
ATE189885T1 (de) Verfahren und vorrichtung zur herstellung von mineralwolle
DE69120482D1 (de) Verfahren und Vorrichtung für die Flüssigkeitstrennung
DE69519818D1 (de) Verfahren und Vorrichtung zur Sprachsynthese
DE69609171T2 (de) Vorrichtung und gerät für die herstellung von vliesen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee