DE69416749T2 - Trockenreinigung von Halbleiterverarbeitungskammern - Google Patents

Trockenreinigung von Halbleiterverarbeitungskammern

Info

Publication number
DE69416749T2
DE69416749T2 DE69416749T DE69416749T DE69416749T2 DE 69416749 T2 DE69416749 T2 DE 69416749T2 DE 69416749 T DE69416749 T DE 69416749T DE 69416749 T DE69416749 T DE 69416749T DE 69416749 T2 DE69416749 T2 DE 69416749T2
Authority
DE
Germany
Prior art keywords
dry cleaning
process chamber
plasma
reactive
species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69416749T
Other languages
English (en)
Other versions
DE69416749D1 (de
Inventor
Yan Ye
Charles Steven Rhoades
Gerald Yin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69416749D1 publication Critical patent/DE69416749D1/de
Application granted granted Critical
Publication of DE69416749T2 publication Critical patent/DE69416749T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber
DE69416749T 1993-08-09 1994-06-10 Trockenreinigung von Halbleiterverarbeitungskammern Expired - Fee Related DE69416749T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/104,318 US5486235A (en) 1993-08-09 1993-08-09 Plasma dry cleaning of semiconductor processing chambers

Publications (2)

Publication Number Publication Date
DE69416749D1 DE69416749D1 (de) 1999-04-08
DE69416749T2 true DE69416749T2 (de) 1999-07-01

Family

ID=22299851

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69416749T Expired - Fee Related DE69416749T2 (de) 1993-08-09 1994-06-10 Trockenreinigung von Halbleiterverarbeitungskammern

Country Status (6)

Country Link
US (4) US5486235A (de)
EP (2) EP0638660B1 (de)
JP (1) JPH07153751A (de)
KR (2) KR100348329B1 (de)
AT (1) ATE177161T1 (de)
DE (1) DE69416749T2 (de)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5841651A (en) * 1992-11-09 1998-11-24 The United States Of America As Represented By The United States Department Of Energy Closed loop adaptive control of spectrum-producing step using neural networks
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
JPH08319586A (ja) * 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
US6554910B1 (en) 1996-05-17 2003-04-29 Micron Technology, Inc. Method for treating residues in semiconductor processing chambers
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5712702A (en) * 1996-12-06 1998-01-27 International Business Machines Corporation Method and apparatus for determining chamber cleaning end point
US5869401A (en) * 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
JP3594759B2 (ja) * 1997-03-19 2004-12-02 株式会社日立製作所 プラズマ処理方法
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
KR100253089B1 (ko) * 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US6576547B2 (en) 1998-03-05 2003-06-10 Micron Technology, Inc. Residue-free contact openings and methods for fabricating same
US6360754B2 (en) 1998-03-16 2002-03-26 Vlsi Technology, Inc. Method of protecting quartz hardware from etching during plasma-enhanced cleaning of a semiconductor processing chamber
US6232219B1 (en) 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6467490B1 (en) * 1998-08-31 2002-10-22 Texas Instruments Incorporated Process for using a high nitrogen concentration plasma for fluorine removal from a reactor
US6674158B2 (en) * 1998-09-03 2004-01-06 Micron Technology, Inc. Semiconductor die package having a UV cured polymeric die coating
US6186154B1 (en) 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
US6259105B1 (en) * 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
FI113750B (fi) 1999-05-21 2004-06-15 Kojair Tech Oy Menetelmä ja laitteisto puolijohdeteollisuuden työvälineiden pesemiseksi
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
US6423479B1 (en) 2000-01-31 2002-07-23 Advanced Micro Devices, Inc. Cleaning carbon contamination on mask using gaseous phase
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6190062B1 (en) 2000-04-26 2001-02-20 Advanced Micro Devices, Inc. Cleaning chamber built into SEM for plasma or gaseous phase cleaning
DE10045793C2 (de) * 2000-09-15 2002-07-18 Zeiss Carl Verfahren zum Strukturieren eines Substrats
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
JP2002334866A (ja) * 2001-05-09 2002-11-22 Tokyo Electron Ltd 被覆剤及びそれを施した耐プラズマ性部品
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
KR100879325B1 (ko) * 2002-03-11 2009-01-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 처리장치
US20030172953A1 (en) * 2002-03-14 2003-09-18 Koji Teranishi Method of treating inner wall of apparatus
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
FR2847713B1 (fr) * 2002-11-21 2005-03-18 Cit Alcatel Dispositif et procede de nettoyage des chambres de procedes et lignes de vide
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
WO2005059942A2 (en) * 2003-12-12 2005-06-30 Semequip, Inc. Method and apparatus for extending equipment uptime in ion implantation
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
US7267842B2 (en) 2004-03-15 2007-09-11 Air Products And Chemicals, Inc. Method for removing titanium dioxide deposits from a reactor
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US8486198B2 (en) * 2005-08-04 2013-07-16 Aviza Technology Limited Method of processing substrates
KR100676206B1 (ko) * 2005-11-14 2007-01-30 삼성전자주식회사 반도체 디바이스 제조설비에서의 프로세스 챔버 세정 방법
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
NL2005739A (en) * 2009-12-22 2011-06-23 Asml Netherlands Bv Object with an improved suitability for a plasma cleaning treatment.
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
TW201247334A (en) * 2011-05-18 2012-12-01 Auria Solar Co Ltd Method for cleaning deposition chamber
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10008396B2 (en) * 2014-10-06 2018-06-26 Lam Research Corporation Method for collapse-free drying of high aspect ratio structures
US10636661B2 (en) * 2016-01-15 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for wafer bonding
JP6851217B2 (ja) * 2017-02-16 2021-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
RU2669864C1 (ru) * 2017-08-03 2018-10-16 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Способ удаления перенапылённых углеводородных слоёв
US10766057B2 (en) 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
DE102018220677A1 (de) * 2018-11-30 2020-06-04 Siemens Aktiengesellschaft Vorrichtung zum Beschichten eines Bauelements sowie Reinigungseinrichtung und Verfahren zum Reinigen einer Beschichtungseinrichtung zum Beschichten wenigstens eines Bauelements
JP7374058B2 (ja) * 2020-09-18 2023-11-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN115354298A (zh) * 2022-07-05 2022-11-18 湖南红太阳光电科技有限公司 一种pecvd设备石墨舟清洗系统

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4451349A (en) * 1983-04-20 1984-05-29 International Business Machines Corporation Electrode treatment for plasma patterning of polymers
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4664939A (en) * 1985-04-01 1987-05-12 Energy Conversion Devices, Inc. Vertical semiconductor processor
US4689115A (en) * 1985-04-26 1987-08-25 American Telephone And Telegraph Company, At&T Bell Laboratories Gaseous etching process
US4863808A (en) * 1985-09-13 1989-09-05 Gould Inc. Copper-chromium-polyimide composite
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4886681A (en) * 1987-01-20 1989-12-12 International Business Machines Corporation Metal-polymer adhesion by low energy bombardment
JPS63210275A (ja) * 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4855015A (en) * 1988-04-29 1989-08-08 Texas Instruments Incorporated Dry etch process for selectively etching non-homogeneous material bilayers
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US4897153A (en) * 1989-04-24 1990-01-30 General Electric Company Method of processing siloxane-polyimides for electronic packaging applications
JP2539917B2 (ja) * 1989-07-10 1996-10-02 セントラル硝子株式会社 フッ化塩素ガスによる炭素材料のクリ―ニング方法
DE69025244T2 (de) * 1989-08-25 1996-06-27 Applied Materials Inc Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
DE4115043A1 (de) * 1991-05-08 1997-07-17 Gen Electric Dichtgepackte Verbindungsstruktur, die eine Kammer enthält
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5202291A (en) * 1990-09-26 1993-04-13 Intel Corporation High CF4 flow-reactive ion etch for aluminum patterning
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5336558A (en) * 1991-06-24 1994-08-09 Minnesota Mining And Manufacturing Company Composite article comprising oriented microstructures
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5391516A (en) * 1991-10-10 1995-02-21 Martin Marietta Corp. Method for enhancement of semiconductor device contact pads
DE4202158C1 (de) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5240555A (en) * 1992-04-16 1993-08-31 Eastman Kodak Company Method and apparatus for cleaning semiconductor etching machines
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
WO1994000251A1 (en) * 1992-06-22 1994-01-06 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5329569A (en) * 1993-02-18 1994-07-12 Sandia Corporation X-ray transmissive debris shield
US5464031A (en) * 1993-06-22 1995-11-07 Micron Semiconductor, Inc. Method of chamber cleaning in MOCVD applications
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
EP0663687B1 (de) * 1994-01-18 1997-07-09 Nisshinbo Industries, Inc. Vorrichtung und Verfahren zur Herstellung von Halbleitern

Also Published As

Publication number Publication date
DE69416749D1 (de) 1999-04-08
EP0786537A1 (de) 1997-07-30
EP0638660A3 (de) 1995-09-13
JPH07153751A (ja) 1995-06-16
KR100348329B1 (ko) 2002-12-02
ATE177161T1 (de) 1999-03-15
US5753137A (en) 1998-05-19
EP0638660A2 (de) 1995-02-15
KR950007013A (ko) 1995-03-21
US5676759A (en) 1997-10-14
US5685916A (en) 1997-11-11
US5486235A (en) 1996-01-23
EP0638660B1 (de) 1999-03-03
KR100336914B1 (ko) 2002-05-16

Similar Documents

Publication Publication Date Title
DE69416749T2 (de) Trockenreinigung von Halbleiterverarbeitungskammern
SE8702410D0 (sv) Forfarande for termokemisk ytbehandling av material i ett reaktivt gasplasma
ES2036253T3 (es) Metodo para tratar superficies de sustratos con ayuda de un plasma y un reactor para realizar el metodo.
DK0391035T3 (da) Fremgangsmåde til fotokemisk substratbehandling med tætfluidum
ATE21926T1 (de) Phosphatarme waeschewaschmittelzusammensetzungen.
DE69133617D1 (de) Protease von Hepatitis C Virus
ATE194780T1 (de) Trockene abgasbehandlung
ATE172664T1 (de) Verfahren zum modifizieren von fluor enthlatendem kunststoffs, modifizierten kunststoff und diesen kunststoff enthaltendes biomaterial
DK0592969T4 (da) Ved belægning stabiliserede natriumpercarbonater
KR910006044A (ko) 불필요한 물질의 플라즈마 제거
ATE128269T1 (de) Plasma-reaktionskammer.
DK356785D0 (da) Fremgangsmaade til inhibering af carbamylering ved behandling af peptider
YU48143B (sh) Mašina i postupak za promenu hemijskog sastava unutrašnje strane bačve
FR2393253A1 (fr) Sechage d'objets traites par un solvant
FR2700770B1 (fr) Procédé de fabrication du 1,1,1,2-tétrafluoro-2-chloroéthane et du pentafluoroéthane.
KR920001643A (ko) 처리장치
TR199501557A2 (tr) PreS2 peptid iceren hepatiti b viral yüzey antijenini aritma prosesi.
DE69807392D1 (de) Maschine zum schnellen Trocknen von Geschirr, Essbesteck, Gläsern und ähnlichem
DE59705559D1 (de) Vorrichtung zum behandeln von substraten in einem fluid-behälter
ATE100744T1 (de) Behandlung von fluessigmetall.
SE8304467L (sv) Sett att rengora sadant vatten som vid tvettning av cyanidberande gas alstras i en metallurgisk industri
RU93036401A (ru) Способ размерной электрохимической обработки
RU5049591A (ru) Способ химико-термической обработки изделий ионно-плазменным методом в среде реакционного газа
Coppens et al. Feed-Through Element for Application in a Vacuum Apparatus; Apparatus Provided With Such Feed-Through Elements as Well as Wire Material Formed in Such an Apparatus
KR940018164A (ko) 액체 연마제를 이용한 표면가공 방법 및 그 장치

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee