DE69522866D1 - Richtungsabhängiger und asymmetrischer Signalhub in Bussystem für Schaltungsmodularchitektur - Google Patents

Richtungsabhängiger und asymmetrischer Signalhub in Bussystem für Schaltungsmodularchitektur

Info

Publication number
DE69522866D1
DE69522866D1 DE69522866T DE69522866T DE69522866D1 DE 69522866 D1 DE69522866 D1 DE 69522866D1 DE 69522866 T DE69522866 T DE 69522866T DE 69522866 T DE69522866 T DE 69522866T DE 69522866 D1 DE69522866 D1 DE 69522866D1
Authority
DE
Germany
Prior art keywords
dependent
bus system
signal swing
modular architecture
circuit modular
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69522866T
Other languages
English (en)
Other versions
DE69522866T2 (de
Inventor
Wingyu Leung
Winston Lee
Fu-Chien Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Peraso Inc
Original Assignee
Monolithic System Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Monolithic System Technology Inc filed Critical Monolithic System Technology Inc
Application granted granted Critical
Publication of DE69522866D1 publication Critical patent/DE69522866D1/de
Publication of DE69522866T2 publication Critical patent/DE69522866T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/028Arrangements specific to the transmitter end
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • G06F13/4072Drivers or receivers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • G06F13/4072Drivers or receivers
    • G06F13/4077Precharging or discharging
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/026Arrangements for coupling transmitters, receivers or transceivers to transmission lines; Line drivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/0292Arrangements specific to the receiver end
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
DE69522866T 1994-07-05 1995-07-04 Richtungsabhängiger und asymmetrischer Signalhub in Bussystem für Schaltungsmodularchitektur Expired - Lifetime DE69522866T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/270,856 US5655113A (en) 1994-07-05 1994-07-05 Resynchronization circuit for a memory system and method of operating same

Publications (2)

Publication Number Publication Date
DE69522866D1 true DE69522866D1 (de) 2001-10-31
DE69522866T2 DE69522866T2 (de) 2002-04-04

Family

ID=23033091

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69522866T Expired - Lifetime DE69522866T2 (de) 1994-07-05 1995-07-04 Richtungsabhängiger und asymmetrischer Signalhub in Bussystem für Schaltungsmodularchitektur

Country Status (5)

Country Link
US (5) US5655113A (de)
EP (1) EP0691617B1 (de)
JP (2) JP2851258B2 (de)
DE (1) DE69522866T2 (de)
TW (1) TW258800B (de)

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960003526B1 (ko) 1992-10-02 1996-03-14 삼성전자주식회사 반도체 메모리장치
US6279116B1 (en) 1992-10-02 2001-08-21 Samsung Electronics Co., Ltd. Synchronous dynamic random access memory devices that utilize clock masking signals to control internal clock signal generation
US5796673A (en) 1994-10-06 1998-08-18 Mosaid Technologies Incorporated Delay locked loop implementation in a synchronous dynamic random access memory
US6035369A (en) * 1995-10-19 2000-03-07 Rambus Inc. Method and apparatus for providing a memory with write enable information
US6470405B2 (en) 1995-10-19 2002-10-22 Rambus Inc. Protocol for communication with dynamic memory
US6810449B1 (en) 1995-10-19 2004-10-26 Rambus, Inc. Protocol for communication with dynamic memory
US6209071B1 (en) 1996-05-07 2001-03-27 Rambus Inc. Asynchronous request/synchronous data dynamic random access memory
JP3378440B2 (ja) * 1996-07-22 2003-02-17 株式会社東芝 演算装置及びその遅延時間制御方法
US6567903B1 (en) * 1996-08-23 2003-05-20 Emc Corporation Data storage system having master/slave addressable memories
US6347365B1 (en) 1996-08-23 2002-02-12 Emc Corporation Data storage system having a[n] memory responsive to clock pulses produced on a bus and clock pulses produced by an internal clock
JP3173386B2 (ja) * 1996-09-18 2001-06-04 日本電気株式会社 ノイズ除去バスレシーバ
JP3189727B2 (ja) 1997-04-15 2001-07-16 日本電気株式会社 コプロセッサ内蔵パケット型メモリlsi、それを用いたメモリシステム及びそれらの制御方法
US5960191A (en) * 1997-05-30 1999-09-28 Quickturn Design Systems, Inc. Emulation system with time-multiplexed interconnect
US6115823A (en) * 1997-06-17 2000-09-05 Amphus, Inc. System and method for task performance based dynamic distributed power management in a computer system and design method therefor
US6178448B1 (en) * 1997-06-18 2001-01-23 International Business Machines Corporation Optimal link scheduling for multiple links by obtaining and utilizing link quality information
US6266379B1 (en) 1997-06-20 2001-07-24 Massachusetts Institute Of Technology Digital transmitter with equalization
US6939855B2 (en) * 1997-07-31 2005-09-06 Elan Pharmaceuticals, Inc. Anti-inflammatory compositions and method
WO1999019874A1 (en) * 1997-10-10 1999-04-22 Rambus Incorporated Power control system for synchronous memory device
US6401167B1 (en) * 1997-10-10 2002-06-04 Rambus Incorporated High performance cost optimized memory
AU9693398A (en) * 1997-10-10 1999-05-03 Rambus Incorporated Apparatus and method for pipelined memory operations
WO1999019805A1 (en) 1997-10-10 1999-04-22 Rambus Incorporated Method and apparatus for two step memory write operations
US7007130B1 (en) * 1998-02-13 2006-02-28 Intel Corporation Memory system including a memory module having a memory module controller interfacing between a system memory controller and memory devices of the memory module
AU1798999A (en) 1997-12-05 1999-06-28 Intel Corporation Memory system including a memory module having a memory module controller
US6067606A (en) * 1997-12-15 2000-05-23 Intel Corporation Computer processor with dynamic setting of latency values for memory access
JP3979716B2 (ja) * 1998-01-06 2007-09-19 富士通株式会社 クロック同期型メモリ装置及びそのスケジューラ回路
US6970968B1 (en) * 1998-02-13 2005-11-29 Intel Corporation Memory module controller for providing an interface between a system memory controller and a plurality of memory devices on a memory module
US6968419B1 (en) * 1998-02-13 2005-11-22 Intel Corporation Memory module having a memory module controller controlling memory transactions for a plurality of memory devices
US7024518B2 (en) * 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6587896B1 (en) * 1998-02-27 2003-07-01 Micron Technology, Inc. Impedance matching device for high speed memory bus
KR100272671B1 (ko) * 1998-03-09 2000-11-15 윤종용 데이터 트랜시버 및 그것을 갖는 버스 인터페이스
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
JP3795665B2 (ja) * 1998-03-23 2006-07-12 Necエレクトロニクス株式会社 バス電位中間電圧セット回路及びその制御方法
WO1999057810A2 (en) * 1998-05-06 1999-11-11 Koninklijke Philips Electronics N.V. Can bus driver with symmetrical differential output signals
US6065093A (en) * 1998-05-15 2000-05-16 International Business Machines Corporation High bandwidth narrow I/O memory device with command stacking
JP3246443B2 (ja) * 1998-05-28 2002-01-15 日本電気株式会社 同期式バッファ回路及びこれを用いたデータ伝送回路
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
US6127839A (en) * 1998-09-01 2000-10-03 Micron Technology, Inc. Method and apparatus for reducing induced switching transients
KR100295052B1 (ko) 1998-09-02 2001-07-12 윤종용 전압제어지연라인의단위지연기들의수를가변시킬수있는제어부를구비하는지연동기루프및이에대한제어방법
KR100301048B1 (ko) 1998-10-19 2001-09-06 윤종용 지연단의수가가변하는지연동기루프및이를구동하는방법
JP2000163974A (ja) * 1998-11-30 2000-06-16 Nec Corp 半導体メモリ
US6593967B1 (en) 1998-12-16 2003-07-15 Eastman Kodak Company Electronic camera having dual clocked line memory
US6347350B1 (en) 1998-12-22 2002-02-12 Intel Corporation Driving the last inbound signal on a line in a bus with a termination
US6738844B2 (en) * 1998-12-23 2004-05-18 Intel Corporation Implementing termination with a default signal on a bus line
US6338144B2 (en) 1999-02-19 2002-01-08 Sun Microsystems, Inc. Computer system providing low skew clock signals to a synchronous memory unit
US6229335B1 (en) * 1999-03-19 2001-05-08 Via Technologies, Inc. Input/output buffer capable of supporting a multiple of transmission logic buses
US6400706B1 (en) * 1999-04-02 2002-06-04 Qualcomm Incorporated System and method for re-synchronizing a phase-independent first-in first-out memory
US6369604B1 (en) * 1999-04-26 2002-04-09 Intel Corporation Self-compensating output buffer
US6311285B1 (en) * 1999-04-27 2001-10-30 Intel Corporation Method and apparatus for source synchronous transfers at frequencies including an odd fraction of a core frequency
US6647524B1 (en) * 1999-04-30 2003-11-11 Worldwide Semiconductor Manufacturing Corporation Built-in-self-test circuit for RAMBUS direct RDRAM
US6791370B1 (en) * 1999-07-16 2004-09-14 Micron Technology, Inc. Apparatus and method for adjusting clock skew
US6501293B2 (en) 1999-11-12 2002-12-31 International Business Machines Corporation Method and apparatus for programmable active termination of input/output devices
US6643752B1 (en) * 1999-12-09 2003-11-04 Rambus Inc. Transceiver with latency alignment circuitry
US7010629B1 (en) * 1999-12-22 2006-03-07 Intel Corporation Apparatus and method for coupling to a memory module
US6404660B1 (en) * 1999-12-23 2002-06-11 Rambus, Inc. Semiconductor package with a controlled impedance bus and method of forming same
US7266634B2 (en) 2000-01-05 2007-09-04 Rambus Inc. Configurable width buffered module having flyby elements
US6502161B1 (en) * 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
US7363422B2 (en) 2000-01-05 2008-04-22 Rambus Inc. Configurable width buffered module
US7010642B2 (en) * 2000-01-05 2006-03-07 Rambus Inc. System featuring a controller device and a memory module that includes an integrated circuit buffer device and a plurality of integrated circuit memory devices
US7404032B2 (en) * 2000-01-05 2008-07-22 Rambus Inc. Configurable width buffered module having switch elements
US7356639B2 (en) 2000-01-05 2008-04-08 Rambus Inc. Configurable width buffered module having a bypass circuit
US6646982B1 (en) * 2000-03-07 2003-11-11 Juniper Networks, Inc. Redundant source synchronous busses
US6426656B1 (en) 2000-04-19 2002-07-30 Velio Communications, Inc. High speed, low-power inter-chip transmission system
US6965299B1 (en) 2000-04-19 2005-11-15 Lsi Logic Corporation High-speed, low-power crossbar switch
US6359465B1 (en) * 2000-05-30 2002-03-19 International Business Machines Corporation CMOS small signal switchable adjustable impedence terminator network
US6356104B1 (en) * 2000-05-30 2002-03-12 International Business Machines Corporation CMOS small signal switchable, impedence and voltage adjustable terminator network
US6611905B1 (en) 2000-06-29 2003-08-26 International Business Machines Corporation Memory interface with programable clock to output time based on wide range of receiver loads
US6420903B1 (en) * 2000-08-14 2002-07-16 Sun Microsystems, Inc. High speed multiple-bit flip-flop
US6433603B1 (en) 2000-08-14 2002-08-13 Sun Microsystems, Inc. Pulse-based high speed flop circuit
JP2002073330A (ja) 2000-08-28 2002-03-12 Mitsubishi Electric Corp データ処理装置
US6591385B1 (en) * 2000-09-11 2003-07-08 Agilent Technologies, Inc. Method and apparatus for inserting programmable latency between address and data information in a memory tester
US6553450B1 (en) * 2000-09-18 2003-04-22 Intel Corporation Buffer to multiply memory interface
US6820163B1 (en) 2000-09-18 2004-11-16 Intel Corporation Buffering data transfer between a chipset and memory modules
US6833833B1 (en) * 2000-09-26 2004-12-21 Intel Corporation Feedback path for video benchmark testing
JP2002109885A (ja) * 2000-09-28 2002-04-12 Toshiba Corp 半導体記憶装置
US6697888B1 (en) 2000-09-29 2004-02-24 Intel Corporation Buffering and interleaving data transfer between a chipset and memory modules
US20040030952A1 (en) * 2000-09-29 2004-02-12 Piccirillo Gary J. Rambus based hot plug memory
US6489809B2 (en) * 2000-11-30 2002-12-03 Infineon Technologies Ag Circuit for receiving and driving a clock-signal
KR100399435B1 (ko) * 2001-02-27 2003-09-29 주식회사 하이닉스반도체 반도체 메모리 장치와 그의 리페어 해석 방법
US7610447B2 (en) * 2001-02-28 2009-10-27 Rambus Inc. Upgradable memory system with reconfigurable interconnect
US6889304B2 (en) 2001-02-28 2005-05-03 Rambus Inc. Memory device supporting a dynamically configurable core organization
US20020133769A1 (en) * 2001-03-15 2002-09-19 Cowles Timothy B. Circuit and method for test and repair
US6904552B2 (en) * 2001-03-15 2005-06-07 Micron Technolgy, Inc. Circuit and method for test and repair
US20020194558A1 (en) * 2001-04-10 2002-12-19 Laung-Terng Wang Method and system to optimize test cost and disable defects for scan and BIST memories
US7003643B1 (en) 2001-04-16 2006-02-21 Micron Technology, Inc. Burst counter controller and method in a memory device operable in a 2-bit prefetch mode
US7500075B1 (en) 2001-04-17 2009-03-03 Rambus Inc. Mechanism for enabling full data bus utilization without increasing data granularity
US8391039B2 (en) 2001-04-24 2013-03-05 Rambus Inc. Memory module with termination component
US6675272B2 (en) * 2001-04-24 2004-01-06 Rambus Inc. Method and apparatus for coordinating memory operations among diversely-located memory components
KR100412130B1 (ko) 2001-05-25 2003-12-31 주식회사 하이닉스반도체 램버스 디램의 출력전류 제어회로
US6785832B2 (en) 2001-06-22 2004-08-31 International Business Machines Corporation Process independent source synchronous data capture apparatus and method
DE10136853B4 (de) * 2001-07-27 2004-11-18 Infineon Technologies Ag Verfahren zur Datenkommunikation mehrerer Halbleiterspeicherbausteine mit einem Controllerbaustein und dafür eingerichteter Halbleiterspeicherbaustein
JP2003045195A (ja) * 2001-07-31 2003-02-14 Mitsubishi Electric Corp 半導体メモリ
US7187685B2 (en) * 2001-08-09 2007-03-06 International Business Machines Corporation Multi-module switching system
JP3799251B2 (ja) * 2001-08-24 2006-07-19 エルピーダメモリ株式会社 メモリデバイス及びメモリシステム
US6538951B1 (en) 2001-08-30 2003-03-25 Micron Technology, Inc. Dram active termination control
US6825841B2 (en) * 2001-09-07 2004-11-30 Rambus Inc. Granularity memory column access
US6938133B2 (en) * 2001-09-28 2005-08-30 Hewlett-Packard Development Company, L.P. Memory latency and bandwidth optimizations
US20030097519A1 (en) * 2001-11-21 2003-05-22 Yoon Ha Ryong Memory subsystem
US6657906B2 (en) * 2001-11-28 2003-12-02 Micron Technology, Inc. Active termination circuit and method for controlling the impedance of external integrated circuit terminals
US8386727B2 (en) * 2001-12-31 2013-02-26 Hewlett-Packard Development Company, L.P. Supporting interleaved read/write operations from/to multiple target devices
US6807603B2 (en) * 2002-02-22 2004-10-19 Hewlett-Packard Development Company, L.P. System and method for input/output module virtualization and memory interleaving using cell map
US6874070B2 (en) 2002-02-22 2005-03-29 Hewlett-Packard Development Company, L.P. System and method for memory interleaving using cell map with entry grouping for higher-way interleaving
US20030161198A1 (en) * 2002-02-26 2003-08-28 Peddle Charles I. Method and apparatus for implementing a selectively operable clock booster for DDR memory or other logic modules which utilize partially-defective memory parts, or a combination of partially-defective and flawless memory parts
US6941433B1 (en) * 2002-05-22 2005-09-06 Juniper Networks, Inc. Systems and methods for memory read response latency detection
US7050307B2 (en) 2002-06-28 2006-05-23 Sun Microsystems, Inc. Circuit board orientation in a computer system
US7296106B2 (en) * 2002-06-28 2007-11-13 Sun Microsystems, Inc. Centerplaneless computer system
US7149824B2 (en) * 2002-07-10 2006-12-12 Micron Technology, Inc. Dynamically setting burst length of memory device by applying signal to at least one external pin during a read or write transaction
JP2004047017A (ja) * 2002-07-15 2004-02-12 Renesas Technology Corp 救済回路付き半導体記憶装置
JP3808026B2 (ja) * 2002-10-23 2006-08-09 株式会社ルネサステクノロジ 半導体装置
KR100498233B1 (ko) * 2002-10-31 2005-07-01 한국전자통신연구원 선입선출 메모리 회로 및 그 구현 방법
US6876224B2 (en) * 2002-11-05 2005-04-05 Hewlett-Packard Development Company, L.P. Method and apparatus for high speed bus having adjustable, symmetrical, edge-rate controlled, waveforms
US6986116B2 (en) * 2003-01-07 2006-01-10 International Business Machines Corporation Signal balancing between voltage domains
US7073145B2 (en) * 2003-01-07 2006-07-04 International Business Machines Corporation Programmable delay method for hierarchical signal balancing
US6807114B2 (en) * 2003-01-17 2004-10-19 Micron Technology, Inc. Method and system for selecting redundant rows and columns of memory cells
KR100486301B1 (ko) * 2003-01-27 2005-04-29 삼성전자주식회사 전력 소비를 감소시키는 종단 회로.
US7366935B1 (en) * 2003-04-01 2008-04-29 Extreme Networks, Inc. High speed bus with alignment, re-timing and buffer underflow/overflow detection enhancements
US7272672B1 (en) 2003-04-01 2007-09-18 Extreme Networks, Inc. High speed bus with flow control and extended burst enhancements between sender and receiver wherein counter is maintained at sender for free buffer space available
DE10315527B3 (de) * 2003-04-04 2004-09-30 Infineon Technologies Ag Eingangsschaltung zum Empfangen eines Signals an einem Eingang einer integrierten Schaltung
US6996785B1 (en) 2003-04-25 2006-02-07 Universal Network Machines, Inc . On-chip packet-based interconnections using repeaters/routers
US7068064B1 (en) 2003-05-12 2006-06-27 Pericom Semiconductor Corp. Memory module with dynamic termination using bus switches timed by memory clock and chip select
US7139213B2 (en) * 2003-06-02 2006-11-21 Silicon Aquarius, Inc. Multiple data path memories and systems
US7254690B2 (en) * 2003-06-02 2007-08-07 S. Aqua Semiconductor Llc Pipelined semiconductor memories and systems
US6794925B1 (en) * 2003-06-17 2004-09-21 Honeywell International, Inc. Cold spare circuit for CMOS output circuit
US7278083B2 (en) * 2003-06-27 2007-10-02 International Business Machines Corporation Method and system for optimized instruction fetch to protect against soft and hard errors
JP4478922B2 (ja) * 2003-08-29 2010-06-09 旭有機材工業株式会社 作動流体用継手の受口およびその受口を有する弁
WO2005024843A1 (en) * 2003-09-04 2005-03-17 Koninklijke Philips Electronics N.V. Integrated circuit and a method of cache remapping
US20050091467A1 (en) * 2003-10-22 2005-04-28 Robotham Robert E. Method and apparatus for accessing data segments having arbitrary alignment with the memory structure in which they are stored
US7019553B2 (en) * 2003-12-01 2006-03-28 Micron Technology, Inc. Method and circuit for off chip driver control, and memory device using same
KR100582358B1 (ko) * 2003-12-29 2006-05-22 주식회사 하이닉스반도체 반도체 기억 소자의 온 다이 터미네이션 구동 회로 및 방법
US8250295B2 (en) 2004-01-05 2012-08-21 Smart Modular Technologies, Inc. Multi-rank memory module that emulates a memory module having a different number of ranks
US7177189B2 (en) * 2004-03-01 2007-02-13 Intel Corporation Memory defect detection and self-repair technique
TWI233616B (en) * 2004-05-06 2005-06-01 Carry Computer Eng Co Ltd Silicon storage media and controller thereof, controlling method thereof, and data frame based storage media
US8190808B2 (en) * 2004-08-17 2012-05-29 Rambus Inc. Memory device having staggered memory operations
US7245551B2 (en) * 2004-08-19 2007-07-17 Micron Technology, Inc. Read command triggered synchronization circuitry
US7171508B2 (en) * 2004-08-23 2007-01-30 Micron Technology, Inc. Dual port memory with asymmetric inputs and outputs, device, system and method
US7301831B2 (en) 2004-09-15 2007-11-27 Rambus Inc. Memory systems with variable delays for write data signals
US7254075B2 (en) * 2004-09-30 2007-08-07 Rambus Inc. Integrated circuit memory system having dynamic memory bank count and page size
JP2006128635A (ja) * 2004-09-30 2006-05-18 Matsushita Electric Ind Co Ltd 半導体集積回路
US7280428B2 (en) * 2004-09-30 2007-10-09 Rambus Inc. Multi-column addressing mode memory system including an integrated circuit memory device
US7177216B2 (en) * 2004-11-19 2007-02-13 Infineon Technologies Ag Twin-cell bit line sensing configuration
US8595459B2 (en) 2004-11-29 2013-11-26 Rambus Inc. Micro-threaded memory
US7193450B1 (en) * 2004-12-02 2007-03-20 National Semiconductor Corporation Load sensing buffer circuit with controlled switching current noise (di/dt)
US7286439B2 (en) * 2004-12-30 2007-10-23 Sandisk 3D Llc Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders
US7996590B2 (en) * 2004-12-30 2011-08-09 Samsung Electronics Co., Ltd. Semiconductor memory module and semiconductor memory system having termination resistor units
KR100666484B1 (ko) * 2005-02-04 2007-01-09 삼성전자주식회사 반도체 메모리 장치의 입출력 회로 및 입출력 방법
US7215579B2 (en) 2005-02-18 2007-05-08 Micron Technology, Inc. System and method for mode register control of data bus operating mode and impedance
DE102005009491A1 (de) * 2005-02-24 2006-08-31 Volkswagen Ag Transceiver für ein Steuergerät
US9459960B2 (en) 2005-06-03 2016-10-04 Rambus Inc. Controller device for use with electrically erasable programmable memory chip with error detection and retry modes of operation
US7831882B2 (en) 2005-06-03 2010-11-09 Rambus Inc. Memory system with error detection and retry modes of operation
DE102005032059B3 (de) * 2005-07-08 2007-01-18 Infineon Technologies Ag Halbleiterspeichermodul mit Busarchitektur
US7560956B2 (en) * 2005-08-03 2009-07-14 Micron Technology, Inc. Method and apparatus for selecting an operating mode based on a determination of the availability of internal clock signals
US7568118B2 (en) * 2005-09-20 2009-07-28 Intel Corporation Deterministic operation of an input/output interface
US11328764B2 (en) 2005-09-26 2022-05-10 Rambus Inc. Memory system topologies including a memory die stack
US7562271B2 (en) 2005-09-26 2009-07-14 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US7464225B2 (en) * 2005-09-26 2008-12-09 Rambus Inc. Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
KR100753081B1 (ko) * 2005-09-29 2007-08-31 주식회사 하이닉스반도체 내부 어드레스 생성장치를 구비하는 반도체메모리소자
US7694050B1 (en) 2005-11-07 2010-04-06 National Semiconductor Corporation Method and system for addressing multiple instances of a same type of device on a bus
DE102005053486B4 (de) * 2005-11-09 2007-12-20 Qimonda Ag Schaltungsanordnung zur Erzeugung eines n-Bit Ausgangszeigers, Halbleiterspeicher und Verfahren
US7368950B2 (en) * 2005-11-16 2008-05-06 Montage Technology Group Limited High speed transceiver with low power consumption
US7577039B2 (en) * 2005-11-16 2009-08-18 Montage Technology Group, Ltd. Memory interface to bridge memory buses
US7562285B2 (en) 2006-01-11 2009-07-14 Rambus Inc. Unidirectional error code transfer for a bidirectional data link
JP4861022B2 (ja) * 2006-02-28 2012-01-25 富士通セミコンダクター株式会社 半導体装置の試験用回路および試験方法、半導体ウエハ、並びに半導体チップの製造方法
US20070260841A1 (en) 2006-05-02 2007-11-08 Hampel Craig E Memory module with reduced access granularity
US8352805B2 (en) 2006-05-18 2013-01-08 Rambus Inc. Memory error detection
US20070271495A1 (en) * 2006-05-18 2007-11-22 Ian Shaeffer System to detect and identify errors in control information, read data and/or write data
US20100228904A1 (en) * 2006-08-21 2010-09-09 Nxp, B.V. Circuit arrangement and method for data processing
WO2008023220A1 (en) * 2006-08-23 2008-02-28 Chan Kam-Fu Running operating system on dynamic virtual memory
KR100809699B1 (ko) * 2006-08-25 2008-03-07 삼성전자주식회사 디스플레이용 데이터 구동 장치, 데이터 출력 장치 및디스플레이용 데이터 구동 방법
KR100866601B1 (ko) * 2006-12-04 2008-11-03 삼성전자주식회사 반도체 장치의 종단 저항을 제어할 수 있는 장치 및 방법
US20080256271A1 (en) * 2006-12-12 2008-10-16 Breed Paul T Methods and apparatus for reducing storage usage in devices
WO2008073493A2 (en) * 2006-12-12 2008-06-19 Netburner, Inc. Methods and apparatus for reducing storage usage in devices
WO2008100494A2 (en) * 2007-02-12 2008-08-21 Rambus Inc. Differential receiver with common-gate input stage
WO2008099931A1 (ja) * 2007-02-15 2008-08-21 Fujitsu Ten Limited マイクロコンピュータの模擬装置
CN100524267C (zh) * 2007-02-15 2009-08-05 威盛电子股份有限公司 数据处理系统及数据处理方法
US8046527B2 (en) * 2007-02-22 2011-10-25 Mosaid Technologies Incorporated Apparatus and method for using a page buffer of a memory device as a temporary cache
US7594047B2 (en) * 2007-07-09 2009-09-22 Hewlett-Packard Development Company, L.P. Buffer circuit
US7975082B2 (en) * 2007-07-12 2011-07-05 Oracle America, Inc. System and method to facilitate deterministic testing of data transfers between independent clock domains on a chip
US8130526B2 (en) * 2007-08-10 2012-03-06 International Business Machines Corporation Programming a microchip ID register
US8120958B2 (en) * 2007-12-24 2012-02-21 Qimonda Ag Multi-die memory, apparatus and multi-die memory stack
US8356151B2 (en) 2008-02-01 2013-01-15 International Business Machines Corporation Reporting of partially performed memory move
US8095758B2 (en) 2008-02-01 2012-01-10 International Business Machines Corporation Fully asynchronous memory mover
US8327101B2 (en) 2008-02-01 2012-12-04 International Business Machines Corporation Cache management during asynchronous memory move operations
US8275963B2 (en) 2008-02-01 2012-09-25 International Business Machines Corporation Asynchronous memory move across physical nodes with dual-sided communication
US7941627B2 (en) * 2008-02-01 2011-05-10 International Business Machines Corporation Specialized memory move barrier operations
KR100897302B1 (ko) * 2008-04-10 2009-05-14 주식회사 하이닉스반도체 데이터 라인 터미네이션 회로
US8516185B2 (en) 2009-07-16 2013-08-20 Netlist, Inc. System and method utilizing distributed byte-wise buffers on a memory module
US8001434B1 (en) 2008-04-14 2011-08-16 Netlist, Inc. Memory board with self-testing capability
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
JP5211889B2 (ja) * 2008-06-25 2013-06-12 富士通株式会社 半導体集積回路
US7948269B1 (en) * 2009-01-20 2011-05-24 Xilinx, Inc. System and method for open drain/open collector structures in an integrated circuit
US8171234B2 (en) 2009-03-16 2012-05-01 Mosys, Inc. Multi-bank multi-port architecture
US9128632B2 (en) 2009-07-16 2015-09-08 Netlist, Inc. Memory module with distributed data buffers and method of operation
KR101642831B1 (ko) * 2009-07-31 2016-07-26 삼성전자주식회사 등화기 및 이를 구비하는 반도체 메모리 장치
US7800399B1 (en) * 2009-08-04 2010-09-21 Broadcom Corporation Virtual regulator for controlling a termination voltage in a termination circuit
TWI474179B (zh) * 2010-04-28 2015-02-21 Hon Hai Prec Ind Co Ltd 多設備連接系統
TWI425364B (zh) * 2010-06-22 2014-02-01 Mstar Semiconductor Inc 記憶體共享系統及方法
JPWO2012046343A1 (ja) 2010-10-08 2014-02-24 富士通株式会社 メモリモジュール冗長化方法、記憶処理装置、及びデータ処理装置
US9477597B2 (en) * 2011-03-25 2016-10-25 Nvidia Corporation Techniques for different memory depths on different partitions
US8701057B2 (en) 2011-04-11 2014-04-15 Nvidia Corporation Design, layout, and manufacturing techniques for multivariant integrated circuits
US8665007B2 (en) * 2011-06-10 2014-03-04 Cypress Semiconductor Corporation Dynamic power clamp for RFID power control
US9529712B2 (en) 2011-07-26 2016-12-27 Nvidia Corporation Techniques for balancing accesses to memory having different memory types
US9268719B2 (en) 2011-08-05 2016-02-23 Rambus Inc. Memory signal buffers and modules supporting variable access granularity
WO2013185111A2 (en) 2012-06-07 2013-12-12 Apple Inc. Methods and apparatus for synchronization among integrated circuits within a wireless network
US8885435B2 (en) * 2012-09-18 2014-11-11 Silicon Image, Inc. Interfacing between integrated circuits with asymmetric voltage swing
US9721641B2 (en) * 2012-11-30 2017-08-01 Intel Corporation Apparatus, method and system for memory device access with a multi-cycle command
US9158667B2 (en) 2013-03-04 2015-10-13 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
KR101732295B1 (ko) 2013-03-15 2017-05-02 애플 인크. 멀티드롭 디지털 버스를 위한 방법 및 장치
US9287859B2 (en) * 2013-04-19 2016-03-15 Micron Technology, Inc. Flexible input/output transceiver
US8964496B2 (en) 2013-07-26 2015-02-24 Micron Technology, Inc. Apparatuses and methods for performing compare operations using sensing circuitry
US10324841B2 (en) 2013-07-27 2019-06-18 Netlist, Inc. Memory module with local synchronization
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9153305B2 (en) 2013-08-30 2015-10-06 Micron Technology, Inc. Independently addressable memory array address spaces
US9019785B2 (en) 2013-09-19 2015-04-28 Micron Technology, Inc. Data shifting via a number of isolation devices
US9449675B2 (en) 2013-10-31 2016-09-20 Micron Technology, Inc. Apparatuses and methods for identifying an extremum value stored in an array of memory cells
US9430191B2 (en) 2013-11-08 2016-08-30 Micron Technology, Inc. Division operations for memory
US9934856B2 (en) 2014-03-31 2018-04-03 Micron Technology, Inc. Apparatuses and methods for comparing data patterns in memory
US9711206B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9830999B2 (en) 2014-06-05 2017-11-28 Micron Technology, Inc. Comparison operations in memory
US9704540B2 (en) 2014-06-05 2017-07-11 Micron Technology, Inc. Apparatuses and methods for parity determination using sensing circuitry
US9910787B2 (en) 2014-06-05 2018-03-06 Micron Technology, Inc. Virtual address table
US9455020B2 (en) 2014-06-05 2016-09-27 Micron Technology, Inc. Apparatuses and methods for performing an exclusive or operation using sensing circuitry
US10074407B2 (en) 2014-06-05 2018-09-11 Micron Technology, Inc. Apparatuses and methods for performing invert operations using sensing circuitry
US9786335B2 (en) 2014-06-05 2017-10-10 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9711207B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9779019B2 (en) 2014-06-05 2017-10-03 Micron Technology, Inc. Data storage layout
US9449674B2 (en) 2014-06-05 2016-09-20 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9496023B2 (en) 2014-06-05 2016-11-15 Micron Technology, Inc. Comparison operations on logical representations of values in memory
US9847110B2 (en) 2014-09-03 2017-12-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in multiple columns of an array corresponding to digits of a vector
US9589602B2 (en) 2014-09-03 2017-03-07 Micron Technology, Inc. Comparison operations in memory
US9904515B2 (en) 2014-09-03 2018-02-27 Micron Technology, Inc. Multiplication operations in memory
US9747961B2 (en) 2014-09-03 2017-08-29 Micron Technology, Inc. Division operations in memory
US10068652B2 (en) 2014-09-03 2018-09-04 Micron Technology, Inc. Apparatuses and methods for determining population count
US9740607B2 (en) 2014-09-03 2017-08-22 Micron Technology, Inc. Swap operations in memory
US9898252B2 (en) 2014-09-03 2018-02-20 Micron Technology, Inc. Multiplication operations in memory
US9940026B2 (en) 2014-10-03 2018-04-10 Micron Technology, Inc. Multidimensional contiguous memory allocation
US9836218B2 (en) 2014-10-03 2017-12-05 Micron Technology, Inc. Computing reduction and prefix sum operations in memory
US10163467B2 (en) 2014-10-16 2018-12-25 Micron Technology, Inc. Multiple endianness compatibility
US10147480B2 (en) 2014-10-24 2018-12-04 Micron Technology, Inc. Sort operation in memory
US9779784B2 (en) 2014-10-29 2017-10-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US10085224B2 (en) 2014-11-19 2018-09-25 Apple Inc. Methods and apparatus for synchronization of media playback within a wireless network
US10073635B2 (en) 2014-12-01 2018-09-11 Micron Technology, Inc. Multiple endianness compatibility
US9747960B2 (en) 2014-12-01 2017-08-29 Micron Technology, Inc. Apparatuses and methods for converting a mask to an index
US9509640B2 (en) * 2014-12-05 2016-11-29 Xilinx, Inc. Latency control in a transmitter/receiver buffer
US10032493B2 (en) 2015-01-07 2018-07-24 Micron Technology, Inc. Longest element length determination in memory
US10061590B2 (en) 2015-01-07 2018-08-28 Micron Technology, Inc. Generating and executing a control flow
US9583163B2 (en) 2015-02-03 2017-02-28 Micron Technology, Inc. Loop structure for operations in memory
CN107408404B (zh) 2015-02-06 2021-02-12 美光科技公司 用于存储器装置的设备及方法以作为程序指令的存储
CN107408405B (zh) 2015-02-06 2021-03-05 美光科技公司 用于并行写入到多个存储器装置位置的设备及方法
WO2016126472A1 (en) 2015-02-06 2016-08-11 Micron Technology, Inc. Apparatuses and methods for scatter and gather
CN107408408B (zh) 2015-03-10 2021-03-05 美光科技公司 用于移位决定的装置及方法
US9741399B2 (en) 2015-03-11 2017-08-22 Micron Technology, Inc. Data shift by elements of a vector in memory
US9898253B2 (en) 2015-03-11 2018-02-20 Micron Technology, Inc. Division operations on variable length elements in memory
WO2016144726A1 (en) 2015-03-12 2016-09-15 Micron Technology, Inc. Apparatuses and methods for data movement
US10146537B2 (en) 2015-03-13 2018-12-04 Micron Technology, Inc. Vector population count determination in memory
US10049054B2 (en) 2015-04-01 2018-08-14 Micron Technology, Inc. Virtual register file
US10140104B2 (en) 2015-04-14 2018-11-27 Micron Technology, Inc. Target architecture determination
US9959923B2 (en) 2015-04-16 2018-05-01 Micron Technology, Inc. Apparatuses and methods to reverse data stored in memory
US10073786B2 (en) 2015-05-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for compute enabled cache
US9704541B2 (en) 2015-06-12 2017-07-11 Micron Technology, Inc. Simulating access lines
US9921777B2 (en) 2015-06-22 2018-03-20 Micron Technology, Inc. Apparatuses and methods for data transfer from sensing circuitry to a controller
US9996479B2 (en) 2015-08-17 2018-06-12 Micron Technology, Inc. Encryption of executables in computational memory
US9905276B2 (en) 2015-12-21 2018-02-27 Micron Technology, Inc. Control of sensing components in association with performing operations
US9952925B2 (en) 2016-01-06 2018-04-24 Micron Technology, Inc. Error code calculation on sensing circuitry
US10048888B2 (en) 2016-02-10 2018-08-14 Micron Technology, Inc. Apparatuses and methods for partitioned parallel data movement
US9892767B2 (en) 2016-02-12 2018-02-13 Micron Technology, Inc. Data gathering in memory
US9971541B2 (en) 2016-02-17 2018-05-15 Micron Technology, Inc. Apparatuses and methods for data movement
US9899070B2 (en) 2016-02-19 2018-02-20 Micron Technology, Inc. Modified decode for corner turn
US10956439B2 (en) 2016-02-19 2021-03-23 Micron Technology, Inc. Data transfer with a bit vector operation device
US9697876B1 (en) 2016-03-01 2017-07-04 Micron Technology, Inc. Vertical bit vector shift in memory
US10262721B2 (en) 2016-03-10 2019-04-16 Micron Technology, Inc. Apparatuses and methods for cache invalidate
US9997232B2 (en) 2016-03-10 2018-06-12 Micron Technology, Inc. Processing in memory (PIM) capable memory device having sensing circuitry performing logic operations
US10379772B2 (en) 2016-03-16 2019-08-13 Micron Technology, Inc. Apparatuses and methods for operations using compressed and decompressed data
US9910637B2 (en) 2016-03-17 2018-03-06 Micron Technology, Inc. Signed division in memory
US11074988B2 (en) 2016-03-22 2021-07-27 Micron Technology, Inc. Apparatus and methods for debugging on a host and memory device
US10388393B2 (en) 2016-03-22 2019-08-20 Micron Technology, Inc. Apparatus and methods for debugging on a host and memory device
US10120740B2 (en) 2016-03-22 2018-11-06 Micron Technology, Inc. Apparatus and methods for debugging on a memory device
US10474581B2 (en) 2016-03-25 2019-11-12 Micron Technology, Inc. Apparatuses and methods for cache operations
US10977033B2 (en) 2016-03-25 2021-04-13 Micron Technology, Inc. Mask patterns generated in memory from seed vectors
US10430244B2 (en) 2016-03-28 2019-10-01 Micron Technology, Inc. Apparatuses and methods to determine timing of operations
US10074416B2 (en) 2016-03-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for data movement
US10453502B2 (en) 2016-04-04 2019-10-22 Micron Technology, Inc. Memory bank power coordination including concurrently performing a memory operation in a selected number of memory regions
US10607665B2 (en) 2016-04-07 2020-03-31 Micron Technology, Inc. Span mask generation
US9818459B2 (en) 2016-04-19 2017-11-14 Micron Technology, Inc. Invert operations using sensing circuitry
US10153008B2 (en) 2016-04-20 2018-12-11 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US9659605B1 (en) 2016-04-20 2017-05-23 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US10042608B2 (en) 2016-05-11 2018-08-07 Micron Technology, Inc. Signed division in memory
US9659610B1 (en) 2016-05-18 2017-05-23 Micron Technology, Inc. Apparatuses and methods for shifting data
US10049707B2 (en) 2016-06-03 2018-08-14 Micron Technology, Inc. Shifting data
US10387046B2 (en) 2016-06-22 2019-08-20 Micron Technology, Inc. Bank to bank data transfer
US10037785B2 (en) 2016-07-08 2018-07-31 Micron Technology, Inc. Scan chain operation in sensing circuitry
US10388360B2 (en) 2016-07-19 2019-08-20 Micron Technology, Inc. Utilization of data stored in an edge section of an array
US10733089B2 (en) 2016-07-20 2020-08-04 Micron Technology, Inc. Apparatuses and methods for write address tracking
US10387299B2 (en) 2016-07-20 2019-08-20 Micron Technology, Inc. Apparatuses and methods for transferring data
US9767864B1 (en) 2016-07-21 2017-09-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in a sensing circuitry element
US9972367B2 (en) 2016-07-21 2018-05-15 Micron Technology, Inc. Shifting data in sensing circuitry
US10303632B2 (en) 2016-07-26 2019-05-28 Micron Technology, Inc. Accessing status information
US10468087B2 (en) 2016-07-28 2019-11-05 Micron Technology, Inc. Apparatuses and methods for operations in a self-refresh state
US9990181B2 (en) 2016-08-03 2018-06-05 Micron Technology, Inc. Apparatuses and methods for random number generation
US11029951B2 (en) 2016-08-15 2021-06-08 Micron Technology, Inc. Smallest or largest value element determination
US10606587B2 (en) 2016-08-24 2020-03-31 Micron Technology, Inc. Apparatus and methods related to microcode instructions indicating instruction types
US10466928B2 (en) 2016-09-15 2019-11-05 Micron Technology, Inc. Updating a register in memory
US10387058B2 (en) 2016-09-29 2019-08-20 Micron Technology, Inc. Apparatuses and methods to change data category values
US10014034B2 (en) 2016-10-06 2018-07-03 Micron Technology, Inc. Shifting data in sensing circuitry
US10529409B2 (en) 2016-10-13 2020-01-07 Micron Technology, Inc. Apparatuses and methods to perform logical operations using sensing circuitry
US9805772B1 (en) 2016-10-20 2017-10-31 Micron Technology, Inc. Apparatuses and methods to selectively perform logical operations
CN207637499U (zh) 2016-11-08 2018-07-20 美光科技公司 用于形成在存储器单元阵列上方的计算组件的设备
US10423353B2 (en) 2016-11-11 2019-09-24 Micron Technology, Inc. Apparatuses and methods for memory alignment
US9761300B1 (en) 2016-11-22 2017-09-12 Micron Technology, Inc. Data shift apparatuses and methods
US10402340B2 (en) 2017-02-21 2019-09-03 Micron Technology, Inc. Memory array page table walk
US10403352B2 (en) 2017-02-22 2019-09-03 Micron Technology, Inc. Apparatuses and methods for compute in data path
US10268389B2 (en) 2017-02-22 2019-04-23 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10838899B2 (en) 2017-03-21 2020-11-17 Micron Technology, Inc. Apparatuses and methods for in-memory data switching networks
US11222260B2 (en) 2017-03-22 2022-01-11 Micron Technology, Inc. Apparatuses and methods for operating neural networks
US10185674B2 (en) 2017-03-22 2019-01-22 Micron Technology, Inc. Apparatus and methods for in data path compute operations
US10049721B1 (en) 2017-03-27 2018-08-14 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10043570B1 (en) 2017-04-17 2018-08-07 Micron Technology, Inc. Signed element compare in memory
US10147467B2 (en) 2017-04-17 2018-12-04 Micron Technology, Inc. Element value comparison in memory
US9997212B1 (en) 2017-04-24 2018-06-12 Micron Technology, Inc. Accessing data in memory
US10942843B2 (en) 2017-04-25 2021-03-09 Micron Technology, Inc. Storing data elements of different lengths in respective adjacent rows or columns according to memory shapes
US10236038B2 (en) 2017-05-15 2019-03-19 Micron Technology, Inc. Bank to bank data transfer
US10068664B1 (en) 2017-05-19 2018-09-04 Micron Technology, Inc. Column repair in memory
US10013197B1 (en) 2017-06-01 2018-07-03 Micron Technology, Inc. Shift skip
US10152271B1 (en) 2017-06-07 2018-12-11 Micron Technology, Inc. Data replication
US10262701B2 (en) 2017-06-07 2019-04-16 Micron Technology, Inc. Data transfer between subarrays in memory
US10318168B2 (en) 2017-06-19 2019-06-11 Micron Technology, Inc. Apparatuses and methods for simultaneous in data path compute operations
US10162005B1 (en) 2017-08-09 2018-12-25 Micron Technology, Inc. Scan chain operations
US10534553B2 (en) 2017-08-30 2020-01-14 Micron Technology, Inc. Memory array accessibility
US10416927B2 (en) 2017-08-31 2019-09-17 Micron Technology, Inc. Processing in memory
US10346092B2 (en) 2017-08-31 2019-07-09 Micron Technology, Inc. Apparatuses and methods for in-memory operations using timing circuitry
US10741239B2 (en) 2017-08-31 2020-08-11 Micron Technology, Inc. Processing in memory device including a row address strobe manager
US10534554B2 (en) * 2017-10-13 2020-01-14 Silicon Storage Technology, Inc. Anti-hacking mechanisms for flash memory device
US10481976B2 (en) 2017-10-24 2019-11-19 Spin Memory, Inc. Forcing bits as bad to widen the window between the distributions of acceptable high and low resistive bits thereby lowering the margin and increasing the speed of the sense amplifiers
US10529439B2 (en) 2017-10-24 2020-01-07 Spin Memory, Inc. On-the-fly bit failure detection and bit redundancy remapping techniques to correct for fixed bit defects
US10409739B2 (en) 2017-10-24 2019-09-10 Micron Technology, Inc. Command selection policy
US10656994B2 (en) 2017-10-24 2020-05-19 Spin Memory, Inc. Over-voltage write operation of tunnel magnet-resistance (“TMR”) memory device and correcting failure bits therefrom by using on-the-fly bit failure detection and bit redundancy remapping techniques
US10489245B2 (en) 2017-10-24 2019-11-26 Spin Memory, Inc. Forcing stuck bits, waterfall bits, shunt bits and low TMR bits to short during testing and using on-the-fly bit failure detection and bit redundancy remapping techniques to correct them
US10558366B2 (en) 2017-11-14 2020-02-11 International Business Machines Corporation Automatic pinning of units of memory
US10552070B2 (en) * 2017-11-14 2020-02-04 International Business Machines Corporation Separation of memory-based configuration state registers based on groups
US10496437B2 (en) 2017-11-14 2019-12-03 International Business Machines Corporation Context switch by changing memory pointers
US10761983B2 (en) * 2017-11-14 2020-09-01 International Business Machines Corporation Memory based configuration state registers
US10664181B2 (en) 2017-11-14 2020-05-26 International Business Machines Corporation Protecting in-memory configuration state registers
US10642757B2 (en) 2017-11-14 2020-05-05 International Business Machines Corporation Single call to perform pin and unpin operations
US10635602B2 (en) * 2017-11-14 2020-04-28 International Business Machines Corporation Address translation prior to receiving a storage reference using the address to be translated
US10761751B2 (en) 2017-11-14 2020-09-01 International Business Machines Corporation Configuration state registers grouped based on functional affinity
US10592164B2 (en) 2017-11-14 2020-03-17 International Business Machines Corporation Portions of configuration state registers in-memory
US10698686B2 (en) * 2017-11-14 2020-06-30 International Business Machines Corporation Configurable architectural placement control
US10901738B2 (en) 2017-11-14 2021-01-26 International Business Machines Corporation Bulk store and load operations of configuration state registers
US10522210B2 (en) 2017-12-14 2019-12-31 Micron Technology, Inc. Apparatuses and methods for subarray addressing
US10332586B1 (en) 2017-12-19 2019-06-25 Micron Technology, Inc. Apparatuses and methods for subrow addressing
US10614875B2 (en) 2018-01-30 2020-04-07 Micron Technology, Inc. Logical operations using memory cells
US11194477B2 (en) 2018-01-31 2021-12-07 Micron Technology, Inc. Determination of a match between data values stored by three or more arrays
US10437557B2 (en) 2018-01-31 2019-10-08 Micron Technology, Inc. Determination of a match between data values stored by several arrays
US11361839B2 (en) 2018-03-26 2022-06-14 Rambus Inc. Command/address channel error detection
US10725696B2 (en) 2018-04-12 2020-07-28 Micron Technology, Inc. Command selection policy with read priority
KR102573833B1 (ko) * 2018-04-18 2023-09-04 에스케이하이닉스 주식회사 메모리에 대한 테스트 회로 및 이를 포함하는 메모리 모듈
US10440341B1 (en) 2018-06-07 2019-10-08 Micron Technology, Inc. Image processor formed in an array of memory cells
US11568229B2 (en) * 2018-07-11 2023-01-31 Silicon Storage Technology, Inc. Redundant memory access for rows or columns containing faulty memory cells in analog neural memory in deep learning artificial neural network
US11442517B2 (en) * 2018-09-20 2022-09-13 Canaan Creative Co., Ltd. On-chip passive power supply compensation circuit and operation unit, chip, hash board and computing device using same
US10769071B2 (en) 2018-10-10 2020-09-08 Micron Technology, Inc. Coherent memory access
US11175915B2 (en) 2018-10-10 2021-11-16 Micron Technology, Inc. Vector registers implemented in memory
US10483978B1 (en) 2018-10-16 2019-11-19 Micron Technology, Inc. Memory device processing
US11184446B2 (en) 2018-12-05 2021-11-23 Micron Technology, Inc. Methods and apparatus for incentivizing participation in fog networks
US20190188165A1 (en) * 2019-02-22 2019-06-20 Intel Corporation Extended mode (xm) bus mode change, configuration register accesses and broadcast / multi-cast transactions to devices on a xm bus
US10867655B1 (en) 2019-07-08 2020-12-15 Micron Technology, Inc. Methods and apparatus for dynamically adjusting performance of partitioned memory
US11360768B2 (en) 2019-08-14 2022-06-14 Micron Technolgy, Inc. Bit string operations in memory
US11449577B2 (en) 2019-11-20 2022-09-20 Micron Technology, Inc. Methods and apparatus for performing video processing matrix operations within a memory array
US11853385B2 (en) 2019-12-05 2023-12-26 Micron Technology, Inc. Methods and apparatus for performing diversity matrix operations within a memory array
US11302415B2 (en) 2019-12-12 2022-04-12 Marvell Asia Pte, Ltd. Row address comparator for a row redundancy control circuit in a memory
US11227641B1 (en) 2020-07-21 2022-01-18 Micron Technology, Inc. Arithmetic operations in memory
US11670351B1 (en) * 2021-11-29 2023-06-06 Qualcomm Incorporated Memory with single-ended sensing using reset-set latch

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3585378A (en) * 1969-06-30 1971-06-15 Ibm Error detection scheme for memories
US3651473A (en) * 1970-03-27 1972-03-21 Burroughs Corp Expandable interlock exchange for multiprocessing systems
NL7106491A (de) * 1971-05-12 1972-11-14
US3938094A (en) * 1971-08-31 1976-02-10 Texas Instruments Incorporated Computing system bus
US3849872A (en) * 1972-10-24 1974-11-26 Ibm Contacting integrated circuit chip terminal through the wafer kerf
US3803562A (en) * 1972-11-21 1974-04-09 Honeywell Inf Systems Semiconductor mass memory
GB1461245A (en) * 1973-01-28 1977-01-13 Hawker Siddeley Dynamics Ltd Reliability of random access memory systems
US4038648A (en) * 1974-06-03 1977-07-26 Chesley Gilman D Self-configurable circuit structure for achieving wafer scale integration
US3969706A (en) * 1974-10-08 1976-07-13 Mostek Corporation Dynamic random access memory misfet integrated circuit
IT1063025B (it) * 1975-04-29 1985-02-11 Siemens Ag Disposizione circuitale logica integrata e programmabile
JPS51142925A (en) * 1975-06-04 1976-12-08 Hitachi Ltd Address buffer circuit
US4007452A (en) * 1975-07-28 1977-02-08 Intel Corporation Wafer scale integration system
US4071887A (en) * 1975-10-30 1978-01-31 Motorola, Inc. Synchronous serial data adaptor
US4063225A (en) * 1976-03-08 1977-12-13 Rca Corporation Memory cell and array
US4092733A (en) * 1976-05-07 1978-05-30 Mcdonnell Douglas Corporation Electrically alterable interconnection
GB1569298A (en) * 1976-06-25 1980-06-11 Knox J H Intercommunication systems
JPS5914827B2 (ja) * 1976-08-23 1984-04-06 株式会社日立製作所 アドレス選択システム
US4164751A (en) * 1976-11-10 1979-08-14 Texas Instruments Incorporated High capacity dynamic ram cell
US4188670A (en) * 1978-01-11 1980-02-12 Mcdonnell Douglas Corporation Associative interconnection circuit
US4156288A (en) * 1978-06-13 1979-05-22 Sperry Rand Corporation Asynchronous shift register with turnpike feature
US4227045A (en) * 1978-06-28 1980-10-07 Honeywell Inc. Data processing protocol system
US4215430A (en) * 1978-09-26 1980-07-29 Control Data Corporation Fast synchronization circuit for phase locked looped decoder
FR2450008A1 (fr) * 1979-02-21 1980-09-19 Portejoie Jean Francois Circuit de synchronisation de signaux numeriques plesiochrones par justification
US4443864A (en) * 1979-10-09 1984-04-17 Texas Instruments Incorporated Memory system for microprocessor with multiplexed address/data bus
US4319356A (en) * 1979-12-19 1982-03-09 Ncr Corporation Self-correcting memory system
US4355391A (en) * 1980-03-31 1982-10-19 Texas Instruments Incorporated Apparatus and method of error detection and/or correction in a data set
US4438352A (en) * 1980-06-02 1984-03-20 Xerox Corporation TTL Compatible CMOS input buffer
US4329685A (en) * 1980-06-09 1982-05-11 Burroughs Corporation Controlled selective disconnect system for wafer scale integrated circuits
US4379327A (en) * 1980-07-21 1983-04-05 Motorola, Inc. Universal interface circuit for synchronous and asynchronous buses
US4407014A (en) * 1980-10-06 1983-09-27 Honeywell Information Systems Inc. Communications subsystem having a direct connect clock
JPS5785255A (en) * 1980-11-17 1982-05-27 Nec Corp Memory storage for integrated circuit
US4458297A (en) * 1981-01-16 1984-07-03 Mosaic Systems, Inc. Universal interconnection substrate
US4467400A (en) * 1981-01-16 1984-08-21 Burroughs Corporation Wafer scale integrated circuit
US4494196A (en) * 1981-05-19 1985-01-15 Wang Laboratories, Inc. Controller for peripheral data storage units
US4400794A (en) * 1981-11-17 1983-08-23 Burroughs Corporation Memory mapping unit
US4414480A (en) * 1981-12-17 1983-11-08 Storage Technology Partners CMOS Circuit using transmission line interconnections
US4485461A (en) * 1982-04-12 1984-11-27 Nippon Electric Co., Ltd. Memory circuit
US4476642A (en) 1982-11-30 1984-10-16 Hemphill Industries, Inc. Digging tooth and holder assembly
IT1161467B (it) * 1983-01-21 1987-03-18 Cselt Centro Studi Lab Telecom Interfaccia di tipo parallelo per la gestione del colloquio tra un bus asincrono e un bus sincrono collegato a piu terminali dotati ognuno di un proprio segnale di sincronizzazione
JPS59200326A (ja) * 1983-04-26 1984-11-13 Nec Corp データ処理装置
US4615017A (en) * 1983-09-19 1986-09-30 International Business Machines Corporation Memory controller with synchronous or asynchronous interface
US4649384A (en) * 1983-10-07 1987-03-10 Dialogic Systems Corp. Method and apparatus for fault tolerant serial communication of digital information
US4605928A (en) * 1983-10-24 1986-08-12 International Business Machines Corporation Fault-tolerant array of cross-point switching matrices
US4639933A (en) * 1983-12-14 1987-01-27 General Electric Company Steering logic circuit for a digital data transceiver
US4747081A (en) * 1983-12-30 1988-05-24 Texas Instruments Incorporated Video display system using memory with parallel and serial access employing serial shift registers selected by column address
US4627058A (en) * 1984-01-27 1986-12-02 Pioneer Electronic Corporation Code error correction method
US4703436A (en) * 1984-02-01 1987-10-27 Inova Microelectronics Corporation Wafer level integration technique
US4623986A (en) * 1984-02-23 1986-11-18 Texas Instruments Incorporated Memory access controller having cycle number register for storing the number of column address cycles in a multiple column address/single row address memory access cycle
US4646298A (en) * 1984-05-01 1987-02-24 Texas Instruments Incorporated Self testing data processing system with system test master arbitration
IT1179081B (it) * 1984-08-28 1987-09-16 Cselt Centro Studi Lab Telecom Sistema di comunicazione integrato a larga banda in ambito locale
US4796233A (en) * 1984-10-19 1989-01-03 Fujitsu Limited Bipolar-transistor type semiconductor memory device having redundancy configuration
US4736365A (en) * 1984-10-26 1988-04-05 Dialogic Systems Corporation Method and apparatus for controlling access to an asynchronous communication network
US4653050A (en) * 1984-12-03 1987-03-24 Trw Inc. Fault-tolerant memory system
US4630355A (en) * 1985-03-08 1986-12-23 Energy Conversion Devices, Inc. Electric circuits having repairable circuit lines and method of making the same
US4707808A (en) * 1985-04-26 1987-11-17 Rockwell International Corporation Small size, high speed GaAs data latch
US4667328A (en) * 1985-04-29 1987-05-19 Mieczyslaw Mirowski Clocking circuit with back-up clock source
US5206832A (en) * 1985-06-17 1993-04-27 Hitachi, Ltd. Semiconductor memory device
EP0209306B1 (de) * 1985-07-09 1992-06-10 Nec Corporation Phasenverriegelte Taktregenerierschaltung für digitale Übertragungssysteme
US4719621A (en) * 1985-07-15 1988-01-12 Raytheon Company Packet fastbus
GB2181870B (en) * 1985-10-14 1988-11-23 Anamartic Ltd Control circuit for chained circuit modules
US4906987A (en) * 1985-10-29 1990-03-06 Ohio Associated Enterprises, Inc. Printed circuit board system and method
US4872137A (en) * 1985-11-21 1989-10-03 Jennings Iii Earle W Reprogrammable control circuit
US4756006A (en) * 1986-02-26 1988-07-05 International Business Machines Corporation Bus transceiver
US4876700A (en) * 1986-04-16 1989-10-24 E. F. Johnson Company Data demodulator
US4680780A (en) * 1986-05-01 1987-07-14 Tektronix, Inc. Clock recovery digital phase-locked loop
US4890224A (en) * 1986-06-27 1989-12-26 Hewlett-Packard Company Method and apparatus for fault tolerant communication within a computing system
US4785415A (en) * 1986-08-29 1988-11-15 Hewlett-Packard Company Digital data buffer and variable shift register
US4866508A (en) * 1986-09-26 1989-09-12 General Electric Company Integrated circuit packaging configuration for rapid customized design and unique test capability
JPH0661066B2 (ja) * 1986-10-20 1994-08-10 株式会社日立製作所 記憶制御装置
JPS63184987A (ja) * 1987-01-28 1988-07-30 Nec Corp 半導体記憶装置
JP2605271B2 (ja) * 1987-02-10 1997-04-30 ソニー株式会社 エラー訂正及びチエツク装置
US5133064A (en) * 1987-04-27 1992-07-21 Hitachi, Ltd. Data processing system generating clock signal from an input clock, phase locked to the input clock and used for clocking logic devices
EP0293933B1 (de) * 1987-06-04 1993-10-13 Nec Corporation Dynamische Speicherschaltung mit einem Abfühlschema
JPH01109599A (ja) * 1987-10-22 1989-04-26 Nec Corp 書込み・消去可能な半導体記憶装置
JP2618938B2 (ja) * 1987-11-25 1997-06-11 株式会社東芝 半導体記憶装置
US4916670A (en) * 1988-02-02 1990-04-10 Fujitsu Limited Semiconductor memory device having function of generating write signal internally
JPH01251738A (ja) * 1988-03-31 1989-10-06 Toshiba Corp スタンダードセル
US4943966A (en) * 1988-04-08 1990-07-24 Wang Laboratories, Inc. Memory diagnostic apparatus and method
US5055897A (en) * 1988-07-27 1991-10-08 Intel Corporation Semiconductor cell for neural network and the like
JP2633645B2 (ja) * 1988-09-13 1997-07-23 株式会社東芝 半導体メモリ装置
US5001712A (en) * 1988-10-17 1991-03-19 Unisys Corporation Diagnostic error injection for a synchronous bus system
US5172396A (en) * 1988-10-20 1992-12-15 General Electric Company Public service trunking simulcast system
US4912633A (en) * 1988-10-24 1990-03-27 Ncr Corporation Hierarchical multiple bus computer architecture
US5341486A (en) * 1988-10-27 1994-08-23 Unisys Corporation Automatically variable memory interleaving system
US4985895A (en) * 1988-11-14 1991-01-15 Wegener Communications, Inc. Remote controlled receiving system apparatus and method
US4984192A (en) * 1988-12-02 1991-01-08 Ultrasystems Defense Inc. Programmable state machines connectable in a reconfiguration switching network for performing real-time data processing
KR910009444B1 (ko) * 1988-12-20 1991-11-16 삼성전자 주식회사 반도체 메모리 장치
US4970724A (en) * 1988-12-22 1990-11-13 Hughes Aircraft Company Redundancy and testing techniques for IC wafers
US5077738A (en) * 1988-12-30 1991-12-31 Intel Corporation Test mode enable scheme for memory
US4916717A (en) * 1989-01-23 1990-04-10 Racal Data Communications Inc. Clock resynchronization mechanism
JP2796329B2 (ja) * 1989-02-08 1998-09-10 株式会社日立製作所 表示メモリとそれを備えた画像処理装置
US4974048A (en) * 1989-03-10 1990-11-27 The Boeing Company Integrated circuit having reroutable conductive paths
EP0389203A3 (de) * 1989-03-20 1993-05-26 Fujitsu Limited Halbleiterspeichergerät beinhaltend Information, die die Anwesenheit mangelhafter Speicherzellen anzeigt
US5043820A (en) * 1989-03-27 1991-08-27 Hughes Aircraft Company Focal plane array readout employing one capacitive feedback transimpedance amplifier for each column
US5020020A (en) * 1989-04-07 1991-05-28 Digital Equipment Corporation Computer interconnect system with transmit-abort function
JP2837433B2 (ja) * 1989-06-05 1998-12-16 三菱電機株式会社 半導体記憶装置における不良ビット救済回路
US4985872A (en) * 1989-06-23 1991-01-15 Vlsi Technology, Inc. Sequencing column select circuit for a random access memory
JPH0329342A (ja) * 1989-06-26 1991-02-07 Toshiba Corp 半導体装置
US4955020A (en) * 1989-06-29 1990-09-04 Infotron Systems Corporation Bus architecture for digital communications
US5217401A (en) 1989-07-07 1993-06-08 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a field-emission type switching device
US5187779A (en) * 1989-08-11 1993-02-16 Micral, Inc. Memory controller with synchronous processor bus and asynchronous i/o bus interfaces
US5077737A (en) * 1989-08-18 1991-12-31 Micron Technology, Inc. Method and apparatus for storing digital data in off-specification dynamic random access memory devices
US5218686A (en) * 1989-11-03 1993-06-08 Compaq Computer Corporation Combined synchronous and asynchronous memory controller
US5161152A (en) * 1989-12-15 1992-11-03 Alcatel Network Systems, Inc. High-speed synchronous transmission line access terminal
US5021985A (en) * 1990-01-19 1991-06-04 Weitek Corporation Variable latency method and apparatus for floating-point coprocessor
DE69123987T2 (de) * 1990-01-31 1997-04-30 Hewlett Packard Co Stossbetrieb für Mikroprozessor mit externem Systemspeicher
JPH03227547A (ja) * 1990-02-01 1991-10-08 Mitsubishi Electric Corp 半導体装置
US5128737A (en) * 1990-03-02 1992-07-07 Silicon Dynamics, Inc. Semiconductor integrated circuit fabrication yield improvements
US5118975A (en) * 1990-03-05 1992-06-02 Thinking Machines Corporation Digital clock buffer circuit providing controllable delay
US5103424A (en) * 1990-03-26 1992-04-07 Trw Inc. Memory column interface with fault tolerance
JP2953737B2 (ja) * 1990-03-30 1999-09-27 日本電気株式会社 複数ビット並列テスト回路を具備する半導体メモリ
US5252507A (en) * 1990-03-30 1993-10-12 Tactical Fabs, Inc. Very high density wafer scale device architecture
US5243703A (en) * 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5063304A (en) * 1990-04-27 1991-11-05 Texas Instruments Incorporated Integrated circuit with improved on-chip power supply control
US5261077A (en) * 1990-06-29 1993-11-09 Digital Equipment Corporation Configurable data path arrangement for resolving data type incompatibility
US5278967A (en) * 1990-08-31 1994-01-11 International Business Machines Corporation System for providing gapless data transfer from page-mode dynamic random access memories
US5214657A (en) * 1990-09-21 1993-05-25 Micron Technology, Inc. Method for fabricating wafer-scale integration wafers and method for utilizing defective wafer-scale integration wafers
US5159273A (en) * 1990-09-28 1992-10-27 Hughes Aircraft Company Tri-state bus driver to support reconfigurable fault tolerant logic
JP3019869B2 (ja) * 1990-10-16 2000-03-13 富士通株式会社 半導体メモリ
US5131015A (en) * 1990-10-22 1992-07-14 Cirrus Logic, Inc. Combined BAUD rate generator and digital phase locked loop
US5204836A (en) * 1990-10-30 1993-04-20 Sun Microsystems, Inc. Method and apparatus for implementing redundancy in parallel memory structures
US5247522A (en) * 1990-11-27 1993-09-21 Digital Equipment Corporation Fault tolerant bus
US5303061A (en) * 1991-06-18 1994-04-12 Matsushita Electric Industrial Co., Ltd. Apparatus for rejecting time base error of video signal
EP0520634A1 (de) * 1991-06-21 1992-12-30 The Whitaker Corporation Kommunikationsmittel mit einem dualen aktiven/passiven Bus
US5265216A (en) * 1991-06-28 1993-11-23 Digital Equipment Corporation High performance asynchronous bus interface
EP0523885A1 (de) * 1991-07-15 1993-01-20 National Semiconductor Corporation Phasendetektor für Hochfrequenz-Takt und Datenrückgewinnungsschaltungen
US5357462A (en) * 1991-09-24 1994-10-18 Kabushiki Kaisha Toshiba Electrically erasable and programmable non-volatile semiconductor memory with automatic write-verify controller
US5278800A (en) * 1991-10-31 1994-01-11 International Business Machines Corporation Memory system and unique memory chip allowing island interlace
US5386150A (en) * 1991-11-20 1995-01-31 Fujitsu Limited Tracking pulse generator and RAM with tracking precharge pulse generator
US5408146A (en) * 1992-01-31 1995-04-18 Lsi Logic Corporation High performance backplane driver circuit
US5295101A (en) * 1992-01-31 1994-03-15 Texas Instruments Incorporated Array block level redundancy with steering logic
WO1993018459A1 (en) * 1992-03-06 1993-09-16 Rambus Inc. Prefetching into a cache to minimize main memory access time and cache size in a computer system
US5355391A (en) * 1992-03-06 1994-10-11 Rambus, Inc. High speed bus system
DE4345604B3 (de) * 1992-03-06 2012-07-12 Rambus Inc. Vorrichtung zur Kommunikation mit einem DRAM
JPH05276004A (ja) * 1992-03-30 1993-10-22 Mitsubishi Electric Corp 出力回路
JPH05283999A (ja) * 1992-03-31 1993-10-29 Oki Electric Ind Co Ltd 半導体装置
US5254883A (en) * 1992-04-22 1993-10-19 Rambus, Inc. Electrical current source circuitry for a bus
US5268639A (en) * 1992-06-05 1993-12-07 Rambus, Inc. Testing timing parameters of high speed integrated circuit devices
US5227677A (en) * 1992-06-10 1993-07-13 International Business Machines Corporation Zero power transmission line terminator
WO1994003901A1 (en) * 1992-08-10 1994-02-17 Monolithic System Technology, Inc. Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration
US5329174A (en) * 1992-10-23 1994-07-12 Xilinx, Inc. Circuit for forcing known voltage on unconnected pads of an integrated circuit
US5539345A (en) * 1992-12-30 1996-07-23 Digital Equipment Corporation Phase detector apparatus
CA2106271C (en) * 1993-01-11 2004-11-30 Joseph H. Steinmetz Single and multistage stage fifo designs for data transfer synchronizers
US5311083A (en) * 1993-01-25 1994-05-10 Standard Microsystems Corporation Very low voltage inter-chip CMOS logic signaling for large numbers of high-speed output lines each associated with large capacitive loads
US5300835A (en) * 1993-02-10 1994-04-05 Cirrus Logic, Inc. CMOS low power mixed voltage bidirectional I/O buffer
US5493657A (en) * 1993-06-21 1996-02-20 Apple Computer, Inc. High speed dominant mode bus for differential signals
US5371417A (en) * 1993-07-02 1994-12-06 Tandem Computers Incorporated Multiple frequency output clock generator system
US5377146A (en) * 1993-07-23 1994-12-27 Alliance Semiconductor Corporation Hierarchical redundancy scheme for high density monolithic memories
US5477539A (en) * 1993-07-23 1995-12-19 Ericsson Inc. Narrow band simulcast system having low speed data distribution
US5381370A (en) * 1993-08-24 1995-01-10 Cypress Semiconductor Corporation Memory with minimized redundancy access delay
US5402388A (en) * 1993-12-16 1995-03-28 Mosaid Technologies Incorporated Variable latency scheme for synchronous memory
US5434996A (en) * 1993-12-28 1995-07-18 Intel Corporation Synchronous/asynchronous clock net with autosense
US5592510A (en) * 1994-03-29 1997-01-07 Apple Computer, Inc. Common mode early voltage compensation subcircuit for current driver
JP2705590B2 (ja) * 1994-10-28 1998-01-28 日本電気株式会社 半導体記憶装置
JP3141923B2 (ja) * 1996-06-07 2001-03-07 日本電気株式会社 半導体記憶装置
US5742552A (en) * 1996-10-31 1998-04-21 Texas Instruments Incorporated Timing control for clocked sense amplifiers
US6279069B1 (en) * 1996-12-26 2001-08-21 Intel Corporation Interface for flash EEPROM memory arrays
US5892703A (en) * 1997-06-13 1999-04-06 Micron Technology, Inc, Memory architecture and decoder addressing
JP3828249B2 (ja) * 1997-07-29 2006-10-04 株式会社東芝 ダイナミック型半導体記憶装置
US5841718A (en) * 1997-08-08 1998-11-24 Mosel Vitelic, Inc. Use of voltage equalization in signal-sensing circuits
US5828612A (en) * 1997-10-27 1998-10-27 Motorola, Inc. Method and circuit for controlling a precharge cycle of a memory device

Also Published As

Publication number Publication date
EP0691617B1 (de) 2001-09-26
JPH10233088A (ja) 1998-09-02
US6272577B1 (en) 2001-08-07
JP3618531B2 (ja) 2005-02-09
DE69522866T2 (de) 2002-04-04
US6754746B1 (en) 2004-06-22
JPH08227394A (ja) 1996-09-03
JP2851258B2 (ja) 1999-01-27
US5655113A (en) 1997-08-05
US6393504B1 (en) 2002-05-21
EP0691617A2 (de) 1996-01-10
US5729152A (en) 1998-03-17
TW258800B (en) 1995-10-01
EP0691617A3 (de) 1996-09-11

Similar Documents

Publication Publication Date Title
DE69522866D1 (de) Richtungsabhängiger und asymmetrischer Signalhub in Bussystem für Schaltungsmodularchitektur
PT712862E (pt) Acilacao selectiva de grupos epsilon-amino em ensulina
DE69526899D1 (de) Radarmodul und Radarsystem
DE69422750T2 (de) Serielles Bussystem
DE69529679T2 (de) Signalsystem
DE69518687D1 (de) Versorgung-unterscheidendes und Versorgung-adaptierendes elektronisches System
DE69621526T2 (de) Stromverstärker und Datenbusschaltung
IL115140A0 (en) Connection structures for reducing signal transmit-times in data-processing devices
DE69735330D1 (de) Bewegungsdetektionsschaltung und Speichersteuerungsschaltung in Y/C-Trennschaltung für PAL-Signale
KR970032722U (ko) 개선된 자동차 후진 경보장치
KR960012073U (ko) 시내버스 승차인원 계수기
KR960010775U (ko) 에어백 시스템에서의 경고동 구동회로
KR970033197U (ko) 자동차 경보장치
KR970061636U (ko) 자동차의 경보장치
DE9420940U1 (de) Erinnerungsrufsystem
DE9411772U1 (de) Kfz-Uhr
KR970034358U (ko) 버스용 화물대구조
KR970033346U (ko) 자동차의 전기 단락시 경보장치
KR970031113U (ko) 자동차의 비정상적 급가속 방지 장치
KR960021666U (ko) 자동차의 경보장치
KR970038399U (ko) 유치원시설이 구비된 버스
KR960009005U (ko) 시내버스 승차인원 계수기
KR950028535U (ko) 카오디오의 표시등고정장치
BR7001127U (pt) Aperfeicoamento em sinalizador de emergencia
KR970054217U (ko) 자동차용 시간 및 속도 음성경보장치

Legal Events

Date Code Title Description
8327 Change in the person/name/address of the patent owner

Owner name: MONOLITHIC SYSTEM TECHNOLOGY, INC., SUNNYVALE, CAL

8364 No opposition during term of opposition