DE69524665D1 - Verfahren zur Herstellung einer porösen dielektrischen Schicht für eine Halbleiteranordnung - Google Patents

Verfahren zur Herstellung einer porösen dielektrischen Schicht für eine Halbleiteranordnung

Info

Publication number
DE69524665D1
DE69524665D1 DE69524665T DE69524665T DE69524665D1 DE 69524665 D1 DE69524665 D1 DE 69524665D1 DE 69524665 T DE69524665 T DE 69524665T DE 69524665 T DE69524665 T DE 69524665T DE 69524665 D1 DE69524665 D1 DE 69524665D1
Authority
DE
Germany
Prior art keywords
producing
semiconductor device
dielectric layer
porous dielectric
porous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69524665T
Other languages
English (en)
Other versions
DE69524665T2 (de
Inventor
Bruce E Gnade
Chih-Chen Cho
Douglas M Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of DE69524665D1 publication Critical patent/DE69524665D1/de
Application granted granted Critical
Publication of DE69524665T2 publication Critical patent/DE69524665T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
DE69524665T 1994-05-20 1995-05-19 Verfahren zur Herstellung einer porösen dielektrischen Schicht für eine Halbleiteranordnung Expired - Fee Related DE69524665T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/247,195 US5470802A (en) 1994-05-20 1994-05-20 Method of making a semiconductor device using a low dielectric constant material

Publications (2)

Publication Number Publication Date
DE69524665D1 true DE69524665D1 (de) 2002-01-31
DE69524665T2 DE69524665T2 (de) 2002-08-08

Family

ID=22933980

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69524665T Expired - Fee Related DE69524665T2 (de) 1994-05-20 1995-05-19 Verfahren zur Herstellung einer porösen dielektrischen Schicht für eine Halbleiteranordnung

Country Status (4)

Country Link
US (3) US5470802A (de)
EP (1) EP0684642B1 (de)
JP (1) JP3400607B2 (de)
DE (1) DE69524665T2 (de)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JPH0845936A (ja) * 1994-05-31 1996-02-16 Texas Instr Inc <Ti> ダミーリードを用いた高速lsi半導体装置およびその信頼性改善方法
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US5658832A (en) * 1994-10-17 1997-08-19 Regents Of The University Of California Method of forming a spacer for field emission flat panel displays
US6107213A (en) * 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
US5627082A (en) * 1995-03-29 1997-05-06 Texas Instruments Incorporated High thermal resistance backfill material for hybrid UFPA's
JPH08304173A (ja) * 1995-04-28 1996-11-22 Texas Instr Inc <Ti> ハイブリッド熱検出器構造体およびその製造方法
US6509520B1 (en) * 1995-06-07 2003-01-21 Raytheon Company High strength composite thermoelectric cooler and method for making same
US5689151A (en) * 1995-08-11 1997-11-18 Texas Instruments Incorporated Anode plate for flat panel display having integrated getter
US5948482A (en) * 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5879808A (en) 1995-10-27 1999-03-09 Alpha Metals, Inc. Parylene polymer layers
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US6319852B1 (en) * 1995-11-16 2001-11-20 Texas Instruments Incorporated Nanoporous dielectric thin film formation using a post-deposition catalyst
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US5807607A (en) * 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US6130152A (en) * 1995-11-16 2000-10-10 Texas Instruments Incorporated Aerogel thin film formation from multi-solvent systems
US6380105B1 (en) 1996-11-14 2002-04-30 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
EP0775669B1 (de) 1995-11-16 2001-05-02 Texas Instruments Incorporated Wenig flüchtiges Lösungsmittel enthaltender Vorläufer für nanoporöses Aerogel
US5753305A (en) * 1995-11-16 1998-05-19 Texas Instruments Incorporated Rapid aging technique for aerogel thin films
KR100440418B1 (ko) * 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
US5861342A (en) * 1995-12-26 1999-01-19 Vlsi Technology, Inc. Optimized structures for dummy fill mask design
US5618757A (en) * 1996-01-30 1997-04-08 Vlsi Technology, Inc. Method for improving the manufacturability of the spin-on glass etchback process
US5639697A (en) * 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US5783488A (en) * 1996-01-31 1998-07-21 Vlsi Technology, Inc. Optimized underlayer structures for maintaining chemical mechanical polishing removal rates
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5789325A (en) * 1996-04-29 1998-08-04 Dow Corning Corporation Coating electronic substrates with silica derived from polycarbosilane
WO1998000862A1 (en) * 1996-06-28 1998-01-08 Advanced Micro Devices, Inc. Solid porous insulated conductive lines
KR100207491B1 (ko) * 1996-08-21 1999-07-15 윤종용 액정표시장치 및 그 제조방법
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5922299A (en) 1996-11-26 1999-07-13 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
EP0849796A3 (de) * 1996-12-17 1999-09-01 Texas Instruments Incorporated Verbesserungen in oder in Beziehung zu integrierten Schaltungen
US6054769A (en) * 1997-01-17 2000-04-25 Texas Instruments Incorporated Low capacitance interconnect structures in integrated circuits having an adhesion and protective overlayer for low dielectric materials
US5854125A (en) * 1997-02-24 1998-12-29 Vlsi Technology, Inc. Dummy fill patterns to improve interconnect planarity
US5806319A (en) * 1997-03-13 1998-09-15 Wary; John Method and apparatus for cryogenically cooling a deposition chamber
US6051276A (en) * 1997-03-14 2000-04-18 Alpha Metals, Inc. Internally heated pyrolysis zone
US6172120B1 (en) * 1997-04-09 2001-01-09 Cabot Corporation Process for producing low density gel compositions
US6315971B1 (en) 1997-04-09 2001-11-13 Cabot Corporation Process for producing low density gel compositions
US6071486A (en) * 1997-04-09 2000-06-06 Cabot Corporation Process for producing metal oxide and organo-metal oxide compositions
US5976625A (en) * 1997-04-11 1999-11-02 Lucent Technologies Inc. Process for forming patterned dielectric oxide films
DE69705387T2 (de) * 1997-04-28 2001-10-11 St Microelectronics Srl Verbundfolie mit niedriger Dielektrizitätskonstante für integrierte Schaltungen aus anorganischem Aerogel und mit einem organischen Füllstoff gepfropftes anorganisches Material sowie dessen Herstellung
US6737118B2 (en) 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6589644B1 (en) 1997-05-28 2003-07-08 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
JPH1140665A (ja) * 1997-07-18 1999-02-12 Nec Corp 半導体集積回路およびその製造方法
US6048803A (en) * 1997-08-19 2000-04-11 Advanced Microdevices, Inc. Method of fabricating a semiconductor device having fluorine bearing oxide between conductive lines
US6306722B1 (en) * 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US5801092A (en) * 1997-09-04 1998-09-01 Ayers; Michael R. Method of making two-component nanospheres and their use as a low dielectric constant material for semiconductor devices
US6858526B2 (en) * 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6333556B1 (en) 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US6251470B1 (en) 1997-10-09 2001-06-26 Micron Technology, Inc. Methods of forming insulating materials, and methods of forming insulating materials around a conductive component
US6350704B1 (en) 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
JP3509510B2 (ja) * 1997-11-05 2004-03-22 セイコーエプソン株式会社 半導体装置およびその製造方法
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
KR100524204B1 (ko) 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
JP3571522B2 (ja) 1998-02-12 2004-09-29 松下電器産業株式会社 多孔質膜の形成方法及び多孔質膜の形成材料
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
JP2921759B1 (ja) 1998-03-31 1999-07-19 株式会社半導体理工学研究センター 半導体装置の製造方法
AU3055599A (en) 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US6420278B1 (en) * 1998-06-12 2002-07-16 Advanced Micro Devices, Inc. Method for improving the dielectric constant of silicon-based semiconductor materials
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6140221A (en) * 1998-07-29 2000-10-31 Philips Electronics North America Corp. Method for forming vias through porous dielectric material and devices formed thereby
CN1146964C (zh) * 1998-08-27 2004-04-21 联合讯号公司 使纳米级微孔二氧化硅机械强度最优化的方法
US6124640A (en) * 1998-08-31 2000-09-26 Advanced Micro Devices, Inc. Scalable and reliable integrated circuit inter-level dielectric
US6133619A (en) * 1998-08-31 2000-10-17 Advanced Micro Devices, Inc. Reduction of silicon oxynitride film delamination in integrated circuit inter-level dielectrics
US6187672B1 (en) * 1998-09-22 2001-02-13 Conexant Systems, Inc. Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6614097B1 (en) * 1998-09-30 2003-09-02 Lsi Logic Corporation Method for composing a dielectric layer within an interconnect structure of a multilayer semiconductor device
KR20000024717A (ko) * 1998-10-01 2000-05-06 김영환 다공성 절연막 형성 방법
TW429576B (en) * 1998-10-14 2001-04-11 United Microelectronics Corp Manufacturing method for metal interconnect
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6350316B1 (en) * 1998-11-04 2002-02-26 Tokyo Electron Limited Apparatus for forming coating film
JP3330335B2 (ja) * 1998-11-04 2002-09-30 東京エレクトロン株式会社 塗布膜形成装置およびエージング処理装置
JP3533968B2 (ja) 1998-12-22 2004-06-07 セイコーエプソン株式会社 半導体装置の製造方法
EP1144310B1 (de) * 1998-12-23 2007-05-30 Battelle Memorial Institute Mesoporöser siliciumdioxidfilm ausgehend von tensid enthaltender lösung und verfahren zu dessen herstellung
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6469390B2 (en) 1999-01-26 2002-10-22 Agere Systems Guardian Corp. Device comprising thermally stable, low dielectric constant material
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
JP3328931B2 (ja) * 1999-02-05 2002-09-30 日本電気株式会社 半導体装置及びその製造方法
US6413882B1 (en) 1999-04-14 2002-07-02 Alliedsignal Inc. Low dielectric foam dielectric formed from polymer decomposition
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6287987B1 (en) 1999-04-30 2001-09-11 Lsi Logic Corporation Method and apparatus for deposition of porous silica dielectrics
US6492249B2 (en) * 1999-05-03 2002-12-10 Advanced Micro Devices, Inc. High-K gate dielectric process with process with self aligned damascene contact to damascene gate and a low-k inter level dielectric
TW410430B (en) * 1999-05-26 2000-11-01 Taiwan Semiconductor Mfg Planarization of inter metal dielectric layer
JP3792438B2 (ja) * 1999-06-23 2006-07-05 株式会社神戸製鋼所 エアロゲル膜の製造方法及び製造装置
US6350679B1 (en) 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US7276788B1 (en) 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
US7335965B2 (en) 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
US6709968B1 (en) * 2000-08-16 2004-03-23 Micron Technology, Inc. Microelectronic device with package with conductive elements and associated method of manufacture
US6670719B2 (en) 1999-08-25 2003-12-30 Micron Technology, Inc. Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6395647B1 (en) * 1999-09-02 2002-05-28 Micron Technology, Inc. Chemical treatment of semiconductor substrates
US6528153B1 (en) * 1999-09-30 2003-03-04 Novellus Systems, Inc. Low dielectric constant porous materials having improved mechanical strength
US20040089238A1 (en) * 1999-10-04 2004-05-13 Jerome Birnbaum Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica
JP2001118842A (ja) * 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
US6689700B1 (en) * 1999-11-02 2004-02-10 University Of Massachusetts Chemical fluid deposition method for the formation of metal and metal alloy films on patterned and unpatterned substrates
JP2001181577A (ja) 1999-12-27 2001-07-03 Sumitomo Chem Co Ltd 多孔質有機膜形成用塗布液および多孔質有機膜の形成方法
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
KR100425242B1 (ko) * 2000-05-29 2004-03-30 주식회사 엘지화학 반도체 층간 절연용 재료 및 이를 이용한 반도체 장치
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6677209B2 (en) 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6413827B2 (en) 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
US6890847B1 (en) 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
JP2001294660A (ja) 2000-04-17 2001-10-23 Sumitomo Chem Co Ltd ポリエーテル共重合体、その製造方法、多孔質有機膜形成用塗布液および多孔質有機膜の形成方法
EP1172847A3 (de) 2000-07-10 2004-07-28 Interuniversitair Micro-Elektronica Centrum Vzw Methode, eine poröse Siliziumoxidschicht herzustellen
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6486078B1 (en) * 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6703324B2 (en) * 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
US7077859B2 (en) 2000-12-22 2006-07-18 Avantec Vascular Corporation Apparatus and methods for variably controlled substance delivery from implanted prostheses
US6533855B1 (en) 2001-02-13 2003-03-18 Novellus Systems, Inc. Dispersions of silicalite and zeolite nanoparticles in nonpolar solvents
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6583488B1 (en) 2001-03-26 2003-06-24 Advanced Micro Devices, Inc. Low density, tensile stress reducing material for STI trench fill
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
US6624092B2 (en) * 2001-06-28 2003-09-23 Macronix International Co., Ltd. Method for forming low dielectric constant insulating layer with foamed structure
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
JP2003133070A (ja) * 2001-10-30 2003-05-09 Seiko Epson Corp 積層膜の製造方法、電気光学装置、電気光学装置の製造方法、有機エレクトロルミネッセンス装置の製造方法、及び電子機器
JP3886779B2 (ja) * 2001-11-02 2007-02-28 富士通株式会社 絶縁膜形成用材料及び絶縁膜の形成方法
WO2003044843A2 (en) * 2001-11-16 2003-05-30 Trikon Holdings Limited Forming low k dielectric layers
US8042771B2 (en) 2007-05-25 2011-10-25 Karl F. Milde, Jr. Method and apparatus for treating fuel to temporarily reduce its combustibility
US7222821B2 (en) * 2001-11-21 2007-05-29 Matos Jeffrey A Method and apparatus for treating fuel to temporarily reduce its combustibility
US6783862B2 (en) * 2001-12-13 2004-08-31 International Business Machines Corporation Toughness, adhesion and smooth metal lines of porous low k dielectric interconnect structures
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US6653236B2 (en) * 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US7341947B2 (en) * 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
TW548327B (en) * 2002-06-25 2003-08-21 Ind Tech Res Inst SOG materials for anodic bonding spacer
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
JP3793143B2 (ja) * 2002-11-28 2006-07-05 株式会社シマノ 自転車用電子制御装置
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US6812132B2 (en) * 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100615586B1 (ko) * 2003-07-23 2006-08-25 삼성전자주식회사 다공성 유전막 내에 국부적인 상전이 영역을 구비하는상전이 메모리 소자 및 그 제조 방법
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US20050106895A1 (en) * 2003-11-17 2005-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Supercritical water application for oxide formation
US20050137882A1 (en) * 2003-12-17 2005-06-23 Cameron Don T. Method for authenticating goods
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US7531209B2 (en) * 2005-02-24 2009-05-12 Michael Raymond Ayers Porous films and bodies with enhanced mechanical strength
TR200500923A2 (tr) * 2005-03-16 2010-02-22 T�Rk�Ye B�L�Msel Ve Tekn�K Ara�Tirma Kurumu İleri Teknoloji Uygulamaları için Küçük Dielektrik Sabitli K
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7422975B2 (en) * 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US8734931B2 (en) * 2007-07-23 2014-05-27 3M Innovative Properties Company Aerogel composites
US20090184976A1 (en) * 2008-01-22 2009-07-23 Alcatel-Lucent System and Method for Color-Compensating a Video Signal Having Reduced Computational Requirements
US8129669B2 (en) * 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
US8231692B2 (en) * 2008-11-06 2012-07-31 International Business Machines Corporation Method for manufacturing an electronic device
CN102317210A (zh) 2008-12-18 2012-01-11 3M创新有限公司 远螯混合气凝胶
EP2376381A4 (de) * 2008-12-18 2012-08-01 3M Innovative Properties Co Hydrophobe aerogele
DE102010030759B4 (de) * 2010-06-30 2018-10-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit Metallisierungsstapel mit sehr kleinem ε (ULK) mit reduzierter Wechselwirkung zwischen Chip und Gehäuse
KR102606765B1 (ko) * 2018-02-07 2023-11-27 삼성전자주식회사 비아 플러그를 갖는 반도체 소자 및 그 형성 방법
US10822807B2 (en) 2019-02-18 2020-11-03 Royal Building Products (Usa) Inc. Assembly for improved insulation

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4169926A (en) * 1978-01-16 1979-10-02 Phillips Petroleum Company Inorganic hydrogels and uses thereof
US4312967A (en) * 1980-02-06 1982-01-26 Phillips Petroleum Co. Polymerization catalyst and process
US4460756A (en) * 1981-04-02 1984-07-17 Phillips Petroleum Company Olefin polymerization method
US4652467A (en) * 1985-02-25 1987-03-24 The United States Of America As Represented By The United States Department Of Energy Inorganic-polymer-derived dielectric films
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US4954327A (en) * 1988-08-12 1990-09-04 Blount David H Production of silica aerogels
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
US5023208A (en) * 1989-12-19 1991-06-11 Orion Laboratories, Inc. Sol-gel process for glass and ceramic articles
CA2009518C (en) * 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
JPH0764540B2 (ja) * 1990-08-02 1995-07-12 出光興産株式会社 疎水化シリカゾルの製造方法
FR2665699A1 (fr) * 1990-08-07 1992-02-14 Thomson Csf Ceramique piezoelectrique a porosite controlee.
JP2906282B2 (ja) * 1990-09-20 1999-06-14 富士通株式会社 ガラスセラミック・グリーンシートと多層基板、及び、その製造方法
JPH0799646B2 (ja) * 1991-05-03 1995-10-25 インターナショナル・ビジネス・マシーンズ・コーポレイション 分子的多孔性エーロゲルで充填された低誘電率複合積層品
IT1251937B (it) * 1991-10-17 1995-05-27 Donegani Guido Ist Xerogels di silice ad alta porosita` e processo per la loro preparazione.
JPH05283542A (ja) * 1992-03-31 1993-10-29 Mitsubishi Electric Corp 半導体集積回路装置及びその製造方法
US5565142A (en) * 1992-04-01 1996-10-15 Deshpande; Ravindra Preparation of high porosity xerogels by chemical surface modification.
US5390073A (en) * 1993-01-11 1995-02-14 Maxwell Laboratories, Inc. Dielectric material containing dipolar molecules
US5278103A (en) * 1993-02-26 1994-01-11 Lsi Logic Corporation Method for the controlled formation of voids in doped glass dielectric films
US5393712A (en) * 1993-06-28 1995-02-28 Lsi Logic Corporation Process for forming low dielectric constant insulation layer on integrated circuit structure
US5443746A (en) * 1994-02-14 1995-08-22 Hughes Aircraft Company Ferroelectric aerogel composites for voltage-variable dielectric tuning, and method for making the same
US5641711A (en) * 1994-04-28 1997-06-24 Texas Instruments Incorporated Low dielectric constant insulation in VLSI applications
US5432128A (en) * 1994-05-27 1995-07-11 Texas Instruments Incorporated Reliability enhancement of aluminum interconnects by reacting aluminum leads with a strengthening gas

Also Published As

Publication number Publication date
US5789819A (en) 1998-08-04
US5804508A (en) 1998-09-08
JP3400607B2 (ja) 2003-04-28
EP0684642B1 (de) 2001-12-19
JPH08162450A (ja) 1996-06-21
EP0684642A1 (de) 1995-11-29
US5470802A (en) 1995-11-28
DE69524665T2 (de) 2002-08-08

Similar Documents

Publication Publication Date Title
DE69524665D1 (de) Verfahren zur Herstellung einer porösen dielektrischen Schicht für eine Halbleiteranordnung
DE69730940D1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE69425643T2 (de) Reinigungsmittel für Halbleiter-Anordnung und Verfahren zur Herstellung einer Halbleiter-Anordnung
DE69621723D1 (de) Verfahren zur Herstellung einer Schicht
DE69708268D1 (de) Verfahren zur herstellung einer optischen schicht
DE69918636D1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE69836401D1 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE69528409D1 (de) Verfahren zur Herstellung von Löchern in einer dielektrischen Schicht mit niedriger Dielektrizitätskonstante auf einer Halbleitervorrichtung
DE69602686T2 (de) Verfahren zur Herstellung einer Elektrodenstruktur für eine Halbleitervorrichtung
DE69528611T2 (de) Verfahren zur Herstellung eines Halbleitersubstrates
DE69942812D1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE69503532T2 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE69832134D1 (de) Verfahren zur Herstellung einer leitenden Elektrode für eine Halbleitervorrichtung
DE69940737D1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE69934680D1 (de) Verfahren zur herstellung einer schicht
DE69524730D1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung für Mikrowellen
DE69535348D1 (de) Verfahren zur Herstellung einer verbesserten dielektrischen Schicht für eine integrierte Schaltung
DE69520538D1 (de) Verfahren zur Herstellung einer dünnen polykristallinen Halbleiterschicht
DE69722661D1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE69942186D1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE69940074D1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE59712590D1 (de) Verfahren zur Erzeugung einer supraleitfähigen Schicht
DE69719403T2 (de) Verfahren zur Herstellung einer Verbindungshalbleiterschicht
DE69511490T2 (de) Beschichtungslösung für die herstellung einer magnesiumoxidschicht und verfahren zur herstellung einer solchen schicht
DE59700065D1 (de) Verfahren zur Herstellung einer photochromen Schicht

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee