DE69535438T2 - Verfahren zur Planierung von Dielektrika in Halbleitervorrichtungen - Google Patents

Verfahren zur Planierung von Dielektrika in Halbleitervorrichtungen Download PDF

Info

Publication number
DE69535438T2
DE69535438T2 DE69535438T DE69535438T DE69535438T2 DE 69535438 T2 DE69535438 T2 DE 69535438T2 DE 69535438 T DE69535438 T DE 69535438T DE 69535438 T DE69535438 T DE 69535438T DE 69535438 T2 DE69535438 T2 DE 69535438T2
Authority
DE
Germany
Prior art keywords
layer
conductors
thickness
aspect ratio
hdp oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69535438T
Other languages
English (en)
Other versions
DE69535438D1 (de
Inventor
Manoj K. Plano Jain
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of DE69535438D1 publication Critical patent/DE69535438D1/de
Publication of DE69535438T2 publication Critical patent/DE69535438T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Description

  • Diese Erfindung betrifft allgemein die Planarisierung von Dielektrika auf Halbleitervorrichtungen und insbesondere Verfahren zum Verbessern der lokalen und globalen Waferplanarität.
  • HINTERGRUND DER ERFINDUNG
  • Halbleiter werden weit verbreitet in integrierten Schaltungen für elektronische Vorrichtungen, wie Computer und Fernsehgeräte, verwendet. Diese integrierten Schaltungen kombinieren typischerweise Tausende oder sogar Millionen Transistoren auf einem Einkristall-Siliciumchip, um komplexe Funktionen auszuführen und Daten zu speichern. Weil die gewünschte Speicherkapazität und Funktionalität den aktuellen Herstellungskapazitäten stets vorauszueilen scheinen, hat sich ein großer Teil der Forschung in der Halbleiterindustrie traditionell auf Prozessverbesserungen konzentriert, welche es ermöglichen, dass mehr Transistoren auf einen Chip mit einer gegebenen Größe gepackt werden. In der Vergangenheit haben solche Prozessverbesserungen zu einer jährlichen Verringerung der minimalen Merkmalsbreiten, die für Transistoren und Verbindungen erreichbar sind, von etwa 13 % geführt.
  • Mit aktuellen Miniaturisierungs- und Funktionalitätstrends hat sich eine entsprechende Erhöhung der Komplexität der zum Übermitteln von Signalen über einen Chip verwendeten Verbindungsverdrahtung ergeben. Diese Verdrahtung, die vor noch nicht sehr vielen Jahren auf eine einzige Ebene von Metallleitern beschränkt war, kann nun bis zu fünf (wobei noch mehr erwünscht sind) gestapelte Verbindungsebenen dicht gepackter Leiter enthalten. Jede Ebene der strukturierten Leiter wird typischerweise über einer vorzugsweise planaren Isolierschicht gebildet, und es werden dann die Spalte zwischen benachbarten Leitern mit einem Isoliermaterial, wie Siliciumdioxid, gefüllt. Die Spaltfüllungsaufgabe wird leider schwieriger, wenn die Verdrahtungsbreiten und -abstände schrumpfen, weil es im Allgemeinen nicht erwünscht ist, die Höhe (d.h. die Dicke) der Verdrahtung proportional zu schrumpfen. Diese Bedingung führt zur Bildung tiefer und schmaler Spalte zwischen Leitern, die sich nur schwer füllen lassen, ohne Hohlräume und Diskontinuitäten in dem Isoliermaterial zu erzeugen.
  • Gegenwärtig werden viele Materialien und Prozesse als Spaltfüllungslösungen der nächsten Generation entwickelt. Ein viel versprechendes Verfahren ist im Olmer am 18. Februar 1992 erteilten US-Patent US-A-5 089 442 beschrieben. Das Patent mit der Endnummer 442 offenbart einen Siliciumdioxid-Abscheidungsprozess mit zwei Schritten. Während des ersten Schritts wird dielektrisches Material gleichzeitig abgeschieden und Sputter-geätzt, d.h. durch plasmaverstärkte chemische Dampfabscheidung (PECVD) in einem von außen angelegten Magnetfeld. Es wird behauptet, dass das Sputter-Atzen bei der Spaltfüllung hilft, indem es auf den oberen Ecken von Leitern abgeschiedenes dielektrisches Material entfernt und einen Teil dieses Materials in den Spalten zwischen Leitern wieder abscheidet. Es wird behauptet, dass dadurch gewährleistet wird, dass die Spalte gefüllt werden, um eine im Wesentlichen V-förmige dielektrische Fläche zu erzeugen, die glatter ist (die Kanten sind weniger scharf) als die Form der darunter liegenden Leiter. An irgendeinem Punkt wird der Wafer zu einer herkömmlichen PECVD-Kammer (d.h. ohne eine Sputter-Ätzfähigkeit) entfernt, wo zusätzliches Oxid als eine zweite Schicht hinzugefügt wird. Wahlweise wird der Wafer dann planarisiert, beispielsweise durch Polieren bis hinab zu den oberen Teilen der Leiter. Ein Nachteil des Verfahrens des Patents mit der Endnummer 442 ist eine verhältnismäßig geringe (70 nm/min) Nettoabscheidungsrate für den Sputter-Abscheidungsschritt. Ein anderer Nachteil besteht darin, dass der Sputter-Abscheidungsschritt die Planarität des Dielektrikums zum Bewirken einer "glatteren" Oberfläche, die keine eingebetteten Hohlräume und Diskontinuitäten enthält, nicht zu verbessern scheint und tatsächlich sogar zu beeinträchtigen scheint.
  • Ein anderes Verfahren ist in EP 0 386 337 A2 beschrieben. Eine konforme Isolationsschicht wird auf einer strukturierten Schaltungsstruktur abgeschieden. Eine niedrig schmelzende Planarisierungsschicht aus anorganischem Glas wird dann über der Isolationsschicht abgeschieden. Nach dem Trockenätzen der Planarisierungsschicht zum Planarisieren der darunter liegenden Isolationsschicht wird eine weitere Isolationsschicht unter Verwendung von CVD abgeschieden.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die in Anspruch 1 definierte vorliegende Erfindung stellt Verbindungsstrukturen mit einer erhöhten Planarität und Verfahren zum Erreichen eines erhöhten Herstellungsdurchsatzes, einer guten Spaltfüllung und einer erhöhten Planarität und einer erhöhten Gleichmäßigkeit von Verbindungsschichten auf Halbleitervorrichtungen innerhalb des Wafers bereit. Die Planarität wird typischerweise durch die anteilige Verringerung der Größe (durch einen gegebenen Prozess) von auf einem Wafer beobachteten Stufenhöhen (von Spitze zu Tal) quantifiziert. Eine gute dielektrische Planarität kann von entscheidender Bedeutung sein, insbesondere wenn zusätzliche leitende Schichten über einer gegebenen Verbindungsschicht gebildet werden müssen. Das Planarisieren wird in der Hinsicht von einem Glätten unterschieden, dass das Glätten im Allgemeinen die Kantensteigungen abgestufter Merkmale verringert, während das Planarisieren die relative Höhe abgestufter Merkmale verringert. Die Gleichmäßigkeit innerhalb des Wafers ist durch die Standardabweichung einer Sammlung über einen Wafer genommener Dickenmessungen definiert, so dass dies ein Maß für langsam veränderliche Dickenänderungen ist. Niedrige Standardabweichungen charakterisieren einen Prozess mit einer hohen Gleichmäßigkeit innerhalb eines Wafers, was unter anderem für eine hochauflösende Lithographie und eine Verringerung von Überätzungsanforderungen wünschenswert ist. Eine typische Ungleichmäßigkeit kann durch chemisch-mechanisches Polieren (CMP) erzeugt werden, das zu Dickenänderungen des Dielektrikums über den Wafer führen kann.
  • Die Hochdichteplasma-(HDP)-Oxidabscheidung ist als chemische Dampfabscheidung mit einem gleichzeitigen DC-Bias-Sputtern unter Verwendung einer Mischung von siliciumhaltigen, sauerstoffhaltigen und nicht reaktiven Gasen (beispielsweise einem Edelgas) definiert. Dieses Verfahren bildet im Allgemeinen ein Oxid hoher Qualität mit einer guten thermischen Stabilität, einer geringen Feuchtigkeitsaufnahme und feinen mechanischen Eigenschaften, wobei HDP-Oxid auf viele Arten einem dichten thermisch gewachsenen Oxid ähnlich ist. Anscheinend hat HDP viele Vorteile gegenüber der in dem vorstehend erwähnten Patent mit der Endnummer 442 offenbarten Sputter-Technik mit einem externen Magnetfeld, einschließlich einer praktischeren Kammerkonstruktion, insbesondere für das Skalieren auf höhere Wafer-Größen, Abscheidungsraten von mehr als 500 nm/min und einer von der Leiterbreite abhängigen Planarisierungseigenschaft. Es wird hier erkannt, dass eine HDP-SiO2-Abscheidungstechnik, die eine Abscheidung und ein gleichzeitiges DC-Bias-Sputtern verwendet, um die Spaltfüllung zu verbessern, auch zu einer erhöhten Planarisierung eines Zwischenschichtdielektrikums führen kann, insbesondere über Leitern geringer Breite und um diese herum. Überraschenderweise wurde herausgefunden, dass ein allgemeiner Zwischenschichtdielektrikum-(ILD)-Ansatz, einschließlich des Bildens einer HDP-Oxidspaltfüllschicht durch gleichzeitiges CVD/DC-Bias-Sputtern (nachstehend als HDP bezeichnet), anschließendes Bilden einer isolierenden Polierschicht durch eines von mehreren anderen Verfahren (beispielsweise PETEOS oder plasmaverstärkte Tetraethylorthosilicat-CVD), gefolgt von einem verhältnismäßig kurzen chemisch-mechanischen Polieren dieser Zwischenstruktur, unerwartet zu gleichzeitigen Verbesserungen des Waferdurchsatzes, der Planarität und der Gleichmäßigkeit innerhalb des Wafers führen kann. Dies kann teilweise auf mehrere beobachtete Attribute zurückzuführen sein, einschließlich einer komplementären Planarisierung des HDP- und des CMP-Prozesses (Strukturmerkmale, die durch HDP nicht gut planarisiert werden, können selektiv durch CMP planarisiert werden und umgekehrt), höherer beobachteter Abscheidungs- und Polierraten für Kandidaten von Polierschichtmaterialien als für HDP-Oxid und einer geringeren benötigten originalen Abscheidungsdicke für eine gegebene Enddicke und Planarität.
  • Das Verfahren zum Herstellen einer planarisierten Verbindungsschicht auf einem Halbleitersubstrat kann zuvor abgeschiedene leitende/isolierende Schichten aufweisen. Das Verfahren beinhaltet das Bereitstellen einer Schicht strukturierter Leiter auf dem Substrat, wobei die Leiter vorzugsweise bis zu einer vorgegebenen Dicke über dem Substrat abgeschieden werden und vorzugsweise sowohl Leiter mit einem niedrigen Seitenverhältnis (d.h. mit einem Höhe-zu- Breite-Verhältnis von weniger als 0,5) als auch Leiter mit einem hohen Seitenverhältnis (d.h. mit einem Höhe-zu-Breite-Verhältnis von mehr als 1,0) einschließen. Das Verfahren kann weiter das Abscheiden einer konformen dielektrischen Keimschicht (d.h. ohne ein erhebliches Rücksputtern) über den Leitern und dem Substrat aufweisen. Das Verfahren weist weiter das Abscheiden einer dielektrischen Spaltfüllschicht über den strukturierten Leitern und dem Substrat durch ein gleichzeitiges CVD- und Sputterverfahren auf. Dieses dielektrische Abscheidungsverfahren verwendet vorzugsweise eine Gasmischung mit siliciumhaltigen, sauerstoffhaltigen und reaktionsträgen Komponenten (und bevorzugter Silan, O2 und einem Gas der Gruppe VIII, wie Argon). Die Spaltfüllschicht wird vorzugsweise über Leitern mit einem niedrigen Seitenverhältnis bis zum mindestens 1,5Fachen der Dicke, die über Leitern mit einem hohen Seitenverhältnis abgeschieden ist, abgeschieden. Die Spaltfüllschicht wird vorzugsweise auch bis zu einer Dicke zwischen 50 % und 125 % der Dicke der darunter liegenden Leiter, gemessen in einem oder mehreren Spalten zwischen Leitern, abgeschieden. Eine weiche konforme dielektrische Polierschicht (die leichter zu polieren ist als die Spaltfüllschicht) kann dann über der gesamten Struktur abgeschieden werden. Falls eine solche Polierschicht aufgenommen wird, kann sie aus Materialien bestehen, die aus der Gruppe ausgewählt sind, die aus PETEOS, BPSG, BSG, PSG, Silanoxid und Kombinationen davon besteht. Das Verfahren weist weiter einen verhältnismäßig kurzen CMP-Schritt zum Abschließen der Planarisierung auf. Durch das erfindungsgemäße Verfahren kann es möglich sein, alle dielektrischen Abscheidungen in der gleichen Reaktionskammer auszuführen.
  • Die in Anspruch 15 definierte vorliegende Erfindung beschreibt auch eine Halbleiterstruktur mit einer Schicht strukturierter Leiter, vorzugsweise einschließlich sowohl Leiter mit einem niedrigen Seitenverhältnis als auch Leiter mit einem hohen Seitenverhältnis, die auf einem Substrat gebildet sind. Die Struktur kann weiter eine konforme Keimschicht, vorzugsweise aus Siliciumdioxid, aufweisen, die über den Leitern und dem Substrat abgeschieden ist. Die Struktur weist weiter eine über den Leitern gebildete Schicht aus HDP- Oxid auf, die bis zum mindestens 1,5Fachen der über Leitern mit einem hohen Seitenverhältnis abgeschiedenen Dicke abgeschieden ist. Die HDP-Oxidschicht wird vorzugsweise bis zu einer Dicke zwischen 50 % und 125 % der Dicke der darunter liegenden Leiter, gemessen in einem oder mehreren Spalten zwischen Leitern, abgeschieden. Die Struktur kann weiter eine über der HDP-Oxidschicht liegende Oxidpolierschicht aufweisen, welche eine im Wesentlichen planare obere Fläche aufweist, die mindestens 50 % der Leiterdicke über den oberen Teilen der Leiter aufweist.
  • KURZBESCHREIBUNG DER ZEICHNUNG
  • Diese Erfindung kann, einschließlich verschiedener Merkmale und Vorteile, am besten anhand der folgenden Zeichnung verstanden werden, wobei:
  • die 1A1B Schnittansichten einer Schicht strukturierter Leiter nach einer herkömmlichen PECVD einer dielektrischen Schicht bzw. nach dem Polieren der dielektrischen Schicht sind,
  • die 2A2F Schnittansichten einer ähnlichen Schicht von Leitern sind, worin die Abscheidung einer HDP-Oxidschicht an mehreren Schritten in dem Abscheidungsprozess dargestellt ist,
  • 3 eine Draufsicht eines Abschnitts einer Testschaltung ist, worin die relative Position und die relativen Größen mehrerer Elemente der Schaltung dargestellt sind,
  • 4 eine Graphik der durchschnittlichen Stufenhöhe gegenüber der HDP-Oxidabscheidungsdicke für mehrere auf derselben Testschaltung vorhandene Strukturmerkmale ist,
  • 5 ein Blockdiagramm einer Ausführungsform der vorliegenden Erfindung ist,
  • die 6A6B Schnittansichten einer Ausführungsform der vorliegenden Erfindung, vor bzw. nach dem CMP sind,
  • die 7 und 8 Graphiken der durchschnittlichen Stufenhöhe für einen dielektrischen Stapel von 1900 nm bis 2000 nm gegenüber der Dicke von HDP-Oxid in dem Stapel nach einem Polieren von 300 nm bzw. 500 nm sind, und
  • 9 die allgemeine Anordnung einer Maschine zum chemisch-mechanischen Polieren zeigt.
  • DETAILLIERTE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • In 1A sind Leiter verschiedener Breite mit einer Höhe von etwa 750 nm im Querschnitt auf einem Substrat 20 dargestellt. Zu Erläuterungszwecken sind spezifische Breiten und Höhen Leitern und dem Leiterabstand zugeordnet, wenngleich die allgemeinen Grundgedanken der Erfindung auf einen Bereich von Leitergrößen und -abständen angewendet werden können. Große Leiter 22 (beispielsweise Kontaktstellen) haben eine Breite von mehr als 3 mm (Seitenverhältnis von weniger als 0,25). Kleine Leiter 24 haben Breiten und Abstände von 0,4 mm (Seitenverhältnis 1,9), und mittlere Leiter 26 (beispielsweise Versorgungsschienen) haben Breiten und Abstände von 0,5 mm (Seitenverhältnis 1,5).
  • Ein Zwischenschichtdielektrikum (ILD) 28, das durch den bekannten Prozess PETEOS CVD abgeschieden wird, kann demjenigen aus 1A nach der Abscheidung von 2000 nm ähneln. Beispielsweise kann der Wafer in eine Abscheidungskammer Applied Materials Precision 5000 eingebracht werden, die auf einen Druck von etwa 1333 Pa evakuiert ist. Eine Gasmischung von 10 % TEOS, 10 % O2 und 80 % Ar wird in einem Bereich knapp oberhalb eines auf etwa 400 °C erwärmten Wafers in ein RF-Plasma eingebracht, wodurch bewirkt wird, dass Siliciumdioxid mit einer Rate von 800 bis 900 nm/min auf der Oberfläche des Wafers abgeschieden wird. Ein solcher Prozess planarisiert im Allgemeinen nicht große Spalte in der Art des Spalts 29. Falls die Abscheidungsdicke im Allgemeinen die Hälfte der Spaltbreite übersteigt, kann das auf die einander gegenüberstehenden Wände benachbarter Leiter aufgebrachte dielektrische Material jedoch den Spalt von den Seiten schließen, wodurch eine Diskontinuität 30 hervorgerufen wird. Falls die oberen Ecken der einander gegenüberstehenden Wände dielektrisches Material schneller empfangen als die unteren Abschnitte der einander gegenüberstehenden Wände, ist es wahrscheinlich, dass sich die oberen Ecken zuerst treffen, wodurch die Bildung eines Hohlraums 32 bewirkt wird. Sowohl die Diskontinuitäts- als auch die Hohlraummerkmale können die lokalen dielektrischen und mechanischen Eigenschaften des ILD 28 beeinträchtigen.
  • Andere Probleme bei einer solchen Abscheidung treten auf, weil sie typischerweise die obere Fläche des ILD nicht planarisiert, sondern Stufendiskontinuitäten verbleiben, die mit der Höhe der darunter liegenden Leiter vergleichbar sind. Weil es im Allgemeinen erwünscht ist, die obere Fläche so weit wie möglich zu planarisieren, kann ein CMP verwendet werden, um die Vorrichtungstopologie zu glätten. Beispielsweise kann die Vorrichtung auf einer im Handel erhältlichen Poliermaschine, wie Strasbaugh 6DS-SP, planarisiert werden, deren allgemeine Anordnung in 9 dargestellt ist. Die Poliermaschine weist eine Hauptandruckplatte 50 mit einem Durchmesser von 30" auf, welche von einer oder mehreren Polierauflagen 52 bedeckt ist (beispielsweise einer unteren Auflage Rodel Suba IV und einer oberen Auflage Rodel IC 1000). Ein Polierschlamm 54, typischerweise eine Grundlösung, die eine Suspension von kolloidalem Siliciumdioxid enthält, wird durch ein Zuführrohr 56 mit einer gesteuerten Rate von beispielsweise 200 ml/min auf die Auflage geleitet, während die Andruckplatte beispielsweise bei 35 U/min gedreht wird. Wafer werden umgekehrt auf Waferträgern (von denen zwei auf der Strasbaugh-Maschine existieren) oder Spindeln 58, die sich vorzugsweise auch mit etwa 20 U/min drehen, montiert. Die den Wafer enthaltende Spindel wird vorzugsweise mit einer abwärts gerichteten Kraft von 35 kPa bis 41 kPa gegen die Polierauflage gedrückt. Unter diesen Bedingungen werden typischerweise Polierraten von 180 bis 200 nm/min für PETEOS-Abscheidungen beobachtet.
  • 1B zeigt die Vorrichtung aus 1A nach einem vorstehend beschriebenen 2,5 Minuten dauernden CMP-Polieren. Über dem rechten großen Leiter 22 liegt die Planarität des ILD innerhalb von 150 nm, das ILD über den kleinen und mittleren Leitern 24 und 26 bleibt jedoch bis zu 300 nm von der Planarität entfernt. Die lokale Planarität kann im Allgemeinen durch ein längeres Polieren verbessert werden. Die Nachteile des längeren Polierens können jedoch einschließen: die Notwendigkeit einer dickeren anfänglichen Abscheidung (weil mehr fortpoliert werden muss) zusammen mit einer größeren Unsicherheit der Abscheidungstiefe, ein längeres Polieren erhöht die Unbestimmtheit der endgültigen ILD-Dicke, sowohl eine dickere Abscheidung als auch ein längeres Polieren können den Waferdurchsatz verringern, und ein längeres Polieren ist gewöhnlich schädlich für die Gleichmäßigkeit innerhalb eines Wafers (beispielsweise können die Ränder des Wafers stärker poliert werden als die Mitte des Wafers). Daher kann es selbst dann, wenn Hohlräume und Diskontinuitäten in dem ILD vermieden werden können, unmöglich sein, die Ziele der gewünschten Planarität, des gewünschten Durchsatzes und der gewünschten Gleichmäßigkeit innerhalb des Wafers mit einem solchen Prozess gleichzeitig zu erreichen.
  • HDP ist ein verhältnismäßig neues Verfahren, das für die chemische Dampfabscheidung von Siliciumdioxid verwendet wird. Mit Bezug auf die 2A2F sei bemerkt, dass ein Satz großer, mittlerer und kleiner Leiter ähnlich jenen aus 1 auf einem Substrat bereitgestellt werden. HDP guter Qualität kann beispielsweise in einer Abscheidungskammer von Applied Materials unter Verwendung der folgenden Prozedur abgeschieden werden: Ein Wafer (der das Substrat enthält) wird so in der Kammer montiert, dass eine rückseitige Heliumkühlung zum Steuern der Temperatur verwendet werden kann, die Kammer wird dann auf 0,9 Pa evakuiert, und eine Mischung von 68 sccm O2 und 100 sccm Ar wird der Kammer zugeführt, 2500 W der Quellen-RF-Leistung werden verwendet, um ein Plasma zu erzeugen (wodurch auch der Wafer erwärmt wird), und die Temperatur des Wafers wird durch rückseitiges Kühlen bei 330 °C gehalten, nach 50 Sekunden des Betriebs werden 50 sccm Silan in die Kammer eingebracht, wodurch bewirkt wird, dass ein Silanoxid auf dem Wafer abgeschieden wird (dieser Schritt kann zur Bildung einer integralen Keimschicht mit einem verhältnismäßig nahtlosen Übergang zur HDP-Abscheidung verwendet werden), nach 56 Sekunden des Betriebs wird eine Bias-Leistung von 1600 W angewendet, um das DC-Bias-Sputtern einzuleiten, und an diesem Punkt fällt die Nettoabscheidungsrate auf 4 nm/s bei einem Abscheidung-zu-Sputter-Verhältnis von etwa 4 : 1 ab. Bei dieser Rate kann ein Oxid ausgezeichneter Qualität abgeschieden werden, wenngleich die Rate noch wesentlich niedriger ist als beispielsweise bei PETEOS. Zusätzlich wurde, weil dieses HDP-Oxid dichter als PETEOS ist, herausgefunden, dass es eine in etwa 25 % niedrigere durchschnittliche Polierrate aufweist. Es wird angenommen, dass das Sputtern die HDP-Oxidabscheidung angrenzend an den oberen Teil einer Stufe, beispielsweise an der oberen Fläche, an der sich der obere Teil und die Seite eines Leiters treffen, zu einem Winkel von etwa 45 Grad formt.
  • 2B zeigt in etwa das Aussehen eines HDP ILD 34 nach der Abscheidung bis zu einer Tiefe von etwa 300 nm (einschließlich beispielsweise einer 30 nm bis 100 nm messenden integralen Keimschicht). Über den großen Leitern 22 beträgt die ILD-Abscheidungstiefe, unabhängig vom Abstand von benachbarten Leitern, wie erwartet 300 nm. Über den mittleren und kleinen Leitern 26, 24 beträgt die maximale Abscheidungstiefe jedoch unerwartet nur 220 nm bzw. 175 nm. Es wird angenommen, dass, weil die Breite der kleinen Leiter 24 die Abscheidungstiefe von 300 nm nicht erheblich übersteigt, das ILD 34 bereits begonnen hat, über diesen Leitern ein dreieckiges Aussehen anzunehmen. Dies gilt auch für die mittleren Leiter 26, wenngleich sich das dreieckige Aussehen etwas langsamer und größer bildet. Überraschenderweise wird Material in allen Spalten, unabhängig vom Leiterabstand oder von der Breite benachbarter Leiter, bei in etwa der gleichen Rate abgeschieden.
  • 2C zeigt in etwa das Aussehen des ILD 34 nach der fortgesetzten Abscheidung bis zu einer Tiefe von etwa 500 nm. Die ILD-Tiefe über den großen Leitern 22 beträgt auch etwa 500 nm, die ILD-Tiefe über den mittleren und kleinen Leitern 26, 24 beträgt jedoch noch 220 nm bzw. 175 nm. Wenngleich dies nicht gut verstanden wird, wird angenommen, dass ein breitenabhängiger Gleichgewichtspunkt für die HDP-Abscheidung auf der oberen Fläche eines abgestuften Merkmals erreicht wird, woraufhin eine Nettoabscheidungsrate von etwa Null auf diesem Merkmal beibehalten wird, solange die Stufe bestehen bleibt.
  • 2D zeigt in etwa das Aussehen des ILD 34 nach der fortgesetzten Abscheidung bis zu einer Tiefe von etwa 700 nm. Es werden Trends ähnlich jenen bei 500 nm für die Leiterabdeckung beobachtet, wenngleich sich die Form der oberen Fläche des ILD zwischen benachbarten kleinen Leitern und zwischen benachbarten großen Leitern zu glätten beginnen kann, wenn die Spalte fast gefüllt sind.
  • 2E zeigt in etwa das Aussehen des ILD 34 nach der fortgesetzten Abscheidung bis zu einer Tiefe von etwa 1000 nm. An diesem Punkt übersteigt die Abscheidungstiefe die Leiterdicke, und die Bereiche über den kleinen Leitern 24 haben Stufenhöhen von nur 40 nm. Die Bereiche über den mittleren Leitern 26 haben Stufenhöhen von nur 90 nm. Die Stufenhöhe über den großen Leitern 22 beträgt jedoch noch etwa 750 nm.
  • Schließlich zeigt 2F in etwa das Aussehen des ILD 34, nachdem die Abscheidung bei 1800 nm unterbrochen wurde. Selbst bei dieser Tiefe gelingt es durch die HDP-Abscheidung nicht, das ILD über den großen Leitern 22 zu planarisieren, wenngleich das abgestufte Merkmal schmaler sein kann als der darunter liegende Leiter. Überraschenderweise wurde das ILD über den kleinen und mittleren Leitern während dieser letzten 800 nm der Abscheidung, in erster Linie infolge der Glättung, nur bis etwa 20 nm planarisiert. Allgemein wurde herausgefunden, dass die HDP-Abscheidung Merkmale entsprechend dem Seitenverhältnis planarisiert, wobei Merkmale mit einem hohen Seitenverhältnis zuerst planarisiert werden. Merkmale ähnlicher Größe werden, unabhängig von der Breite des das Merkmal umgebenden Spalts, mit einer ähnlichen Rate planarisiert.
  • BEISPIEL 1
  • Der vorstehend erwähnte HDP-Prozess wurde auf die Metallisierungstestschaltung aus 3 angewendet. Diese Schaltung besteht aus verschiedenen Leiter-Layouts, die durch eine Abscheidung von etwa 750 nm einer Aluminium-0,5 % Kupfer-Legierung gebildet sind. Der als DD1 bezeichnete Bereich besteht aus einer 1500 mm × 3000 mm-Blockstruktur regelmäßig beabstandeter Leiter, die jeweils 0,5 mm breit sind und 0,5 mm von benachbarten Leitern beabstandet sind. Der als DD2 bezeichnete Bereich besteht aus einer 1500 mm × 3000 mm-Blockstruktur regelmäßig beabstandeter Leiter, die jeweils 0,4 mm breit sind und 0,4 mm von benachbarten Leitern beabstandet sind. Sowohl DD1 als auch DD2 sind von etwa 10 mm breiten Verteilungsschienen 38 flankiert. Zusätzlich ist die gesamte Struktur von Zeilen aus 110 mm × 110 mm messenden Bondkontaktstellen 36 flankiert, wobei benachbarte Kontaktstellen etwa 50 mm voneinander beabstandet sind. Rechts der Struktur existiert eine große zusätzliche Anzahl von Bondkontaktstellen 36.
  • In diesem Experiment wurden mehrere eine solche Testschaltung enthaltende Wafer zuerst mit einer 100 nm messenden PETEOS-Keimschicht bedeckt (eine solche Keimschicht kann auch eine Silanoxidschicht sein, wie zuvor beschrieben wurde). Es wurde herausgefunden, dass eine solche Schicht vorteilhaft sein kann, um das Sputtern der Leiter selbst während der HDP-Abscheidung zu verhindern. Die Wafer wurden dann einem HDP-Abscheidungsprozess unterzogen, jedoch für verschiedene Zeitdauern, so dass Wafer mit HDP-Oxiddicken von etwa 300 nm, 500 nm, 750 nm, 1000 nm und 1800 nm erzeugt wurden. Diese Wafer wurden dann individuell mit einem Tencor-P1-Profilometer (einer Vorrichtung, die eine feine Tastspitze verwendet, um Höhenänderungen entlang einer Oberfläche zu messen) geprüft.
  • Die aus Beispiel 1 erhaltenen Planarisierungsergebnisse sind in 4 zusammengefasst, worin die Stufenhöhe (vom oberen Teil einer Struktur bis zu einem nahe gelegenen Bereich des ILD über dem Substrat gemessen) für DD1, DD2 und die Bondkontaktstellen 36 dargestellt ist. Eine Auftragung für die Verteilungsschienen 38 ist nicht dargestellt, weil sie im Wesentlichen jener ähnelt, die für die Bondkontaktstellen dargestellt ist. Alle Strukturen begannen mit einer gemessenen Stufenhöhe von etwa 800 nm vor der HDP-Abscheidung. 4 kann entnommen werden, dass die Stufenhöhen für DD1 und DD2 von einer 300-nm-HDP-Abscheidung bis zu einer Abscheidung von etwa 1000 nm einem linearen 1 : 1-Trend folgen, so dass die Stufenhöhen um etwa den Abscheidungsbetrag verringert werden. DD2 mit geringeren Leitungsbreiten scheint jedoch zuerst in den linearen Bereich eingetreten zu sein. Diese Beobachtungen unterstützen die Theorie eines Betriebsbereichs mit einer Nettoabscheidungsrate von nahezu Null für die Abscheidung auf schmalen Strukturen. Weiterhin sei bemerkt, dass nach 1000 nm Material über und zwischen schmalen Leitern bei in etwa der gleichen Rate abgeschieden zu werden scheint. Die Bondkontaktstellen und die Verteilungsschienen sind selbst nach einer Abscheidung von 1800 nm nicht erheblich planarisiert.
  • Es wurde herausgefunden, dass HDP-Oxid im Allgemeinen härter als PETEOS-Oxid ist. Unter ähnlichen Polierbedingungen poliert HDP-Oxid etwa 25% langsamer als PETEOS-Oxid. Im Allgemeinen ist ein hoher Prozentsatz der Oberfläche von Submikrometer-Metallisierungsschichten mit dicht gepackten Leitern mit einem hohen Seitenverhältnis strukturiert, und ein verhältnismäßig kleiner Prozentsatz ihrer Oberfläche ist mit Leitern mit einem niedrigen Seitenverhältnis, wie Bondkontaktstellen, strukturiert. Diese Eigenschaften haben in Kombination mit der selektiven Planarisierung von HDP zu einer verbesserten ILD-Struktur und einem verbesserten ILD-Verfahren geführt. Mit Bezug auf 5 sei bemerkt, dass der erste Schritt bei dem neuen ILD-Verfahren eine Oxidabscheidung für eine Spaltfüllung und eine Planarisierung einer feinen Struktur ist. Zweitens wird eine Polierschicht aus Oxid hinzugefügt. Diese Schicht braucht die Oberfläche nicht speziell weiter zu planarisieren oder zu glätten, sie ist jedoch vorzugsweise leichter zu polieren als die Spaltfüllschicht. Schließlich planarisiert ein CMP-Schritt die restliche Struktur, in erster Linie durch Fortpolieren eines Abschnitts der Polierschicht, wenngleich bei manchen Ausführungsformen einige Bereiche der Spaltfüllschicht auch poliert werden können. Die Vorteile dieses Verfahrens werden während der Erörterung aus 6 verständlich werden.
  • In 6A ist eine Zwischenstruktur einer Ausführungsform der Erfindung dargestellt. Eine Spaltfüllschicht 34 (vorzugsweise ein HDP-Oxid mit einer integralen Keimschicht) wird in etwa bis zur Höhe der Leiter abgeschieden. Eine Polierschicht 40, möglicherweise aus PETEOS oder aus einem dotierten Oxid, wie Borophosphosilikatglas (BPSG), Borosilikatglas (BSG) oder Phosphosilikatglas (PSG), wird, vorzugsweise in einer konformen Weise, über dieser Struktur abgeschieden. Alternativ kann die Polierschicht vorzugsweise aus Silanoxid bestehen, das in der gleichen Weise wie das HDP-Oxid abgeschieden wird. Das Silanoxid kann beispielsweise unter Verwendung von 50 sccm Silan und 1000 sccm N2O bei einer Temperatur von 400 °C, einem Druck von 666 bis 1333 Pa und einer RF-Leistung von 400 W abgeschieden werden. In jedem Fall lässt sich diese Schicht vorzugsweise leichter polieren und hat eine höhere Abscheidungsrate als die Spaltfüllschicht 34. Es sei auf die Unterschiede des Querschnitts zwischen 6A und 1A verwiesen, die nur PETEOS enthält. Insbesondere ist in 1A ein großer Prozentsatz des ILD 28 angehoben und muss über das ursprüngliche Niveau des Spalts 29 hinaus poliert werden. Dagegen weist 6A zwei Hauptvorsprünge von der Oberfläche der Schicht 40 über den großen Leitern 22 auf. Wenn ein Polierdruck auf die Oberfläche des Wafers ausgeübt wird, wird eine Kraft über diesen Vorsprungbereichen konzentriert (welche im Allgemeinen einen kleinen Bruchteil der Waferoberfläche belegen), wodurch eine höhere Polierrate über den großen Leitern erreicht wird.
  • 6B zeigt die endgültige ILD-Struktur, die für die Weiterverarbeitung bereit ist. Es sei bemerkt, dass fast die gesamte Polierschicht über dem großen Leiter 22 entfernt ist, wenngleich dies bei einer gegebenen Ausführungsform mit einem anderen Verhältnis zwischen der Spaltfüllung und der Dicke der Polierschicht nicht unbedingt geschehen braucht.
  • BEISPIEL 2
  • Mit Bezug auf die 7 und 8 sei bemerkt, dass sechs identische Lose von vier Testwafern jeweils mit dem Testleitermuster aus 3 konfiguriert wurden. Über jedem Wafer wurden eine Silanoxid-Keimschicht, eine HDP-Oxidschicht und eine PETEOS-Polierschicht bis zu den in 1 dargestellten Dicken abgeschieden.
  • Tabelle
    Figure 00140001
  • Jeder Wafer wurde unter identischen CMP-Bedingungen für eine Zeit poliert, in der 300 nm von dem auf einem Rohwafer abgeschiedenen PETEOS entfernt wurden. Die Stufenhöhen von DD1, DD2 und Bondkontaktstellen wurden auf jedem Wafer nach dem Polieren gemessen, und die Stufenhöhen wurden für jedes Los gemittelt, um die Graphik aus 7 zu erhalten. Jeder Wafer wurde wieder während einer Zeit poliert, so dass insgesamt 500 nm PETEOS von einem Rohwafer entfernt wurden (infolge beider Polierungen). Ähnliche Stufenhöhenmessungen wurden zusammengestellt, um 8 zu erzeugen.
  • Die 7 und 8 zeigen, dass die ILD-Planarität gegenüber einem ausschließlich PETEOS verwendenden Prozess durch Aufnehmen einer HDP-Spaltfüllschicht erheblich vergrößert werden kann. Für ein Polieren von 300 nm werden Stufenhöhen von weniger als 220 nm mit einer 500-nm-HDP-Schicht beobachtet, was mit Stufenhöhen von fast 500 nm für ein ganz aus PETEOS bestehendes ILD zu vergleichen ist. Für ein Polieren bei 500 nm werden Stufenhöhen von weniger als 20 nm mit einer 750-nm-HDP-Schicht beobachtet, was mit Stufenhöhen von 200 nm für ein ganz aus PETEOS bestehendes ILD zu vergleichen ist. Es sei bemerkt, dass für sehr dicke HDP-Abscheidungen die Gesamtplanarität nach dem Polieren tatsächlich schlechter als bei Abscheidungen mit einer mittleren Dicke sein kann, wie durch negative Stufenhöhen oder "Einsenkungen" für die Strukturen DD1 und DD2 bei HDP-Dicken von 1000 nm und 1800 nm angegeben ist.
  • Im Allgemeinen spezifizieren Polieranforderungen eine durch CMP zu erreichende Planarität, und die Polierzeit wird eingestellt, um die Spezifikation zu erfüllen. Beispielsweise kann für eine Planaritätsspezifikation von 100 nm ein um 33 % höherer CMP-Durchsatz (einschließlich einer konstanten Behandlungszeit) erreicht werden, indem die mittlere ILD-Struktur von Los 3 oder 4 statt Los 1 verwendet wird. Dieser Durchsatz kann durch die Verwendung von BPSG oder eines ähnlichen schnell polierbaren Materials für die Polierschicht weiter erhöht werden.
  • Tabelle 2 bietet einen Überblick über einige Ausführungsformen, auf die in den Zeichnungen verwiesen wird.
  • Tabelle 2
    Figure 00160001

Claims (20)

  1. Verfahren zum Herstellen einer planarisierten Verbindungsschicht auf einem Substrat, das sowohl Leiter (24) mit einem hohen Seitenverhältnis als auch Leiter (22) mit einem niedrigen Seitenverhältnis aufweist, wobei das Verfahren die folgenden sequenziellen Schritte aufweist: Bereitstellen einer Schicht strukturierter Leiter (22, 24, 26), die bis zu einer ersten Dicke auf dem Substrat (20) gebildet wird, Verwenden einer Hochdichteplasma-(HDP)-Oxidabscheidung zum Abscheiden einer HDP-Oxid-Spaltfüllschicht (34) über den Leitern (22, 24, 26) und dem Substrat (20), wobei die Abscheidung Spitzen in der HDP-Oxid-Spaltfüllschicht (34) über den Leitern (24) mit einem hohen Seitenverhältnis bildet, wobei die Spitzen eine erste Stufenhöhe haben, und wobei die Abscheidung abgeflachte Bereiche in der HDP-Oxid-Spaltfüllschicht (34) über den Leitern (22) mit einem niedrigen Seitenverhältnis bildet, wobei die abgeflachten Bereiche eine zweite Stufenhöhe haben, wobei die erste Stufenhöhe kleiner als die zweite Stufenhöhe ist, Abscheiden einer konformen dielektrischen Polierschicht (40) über der HDP-Oxid-Spaltfüllschicht (34) und Polieren der oberen Fläche der dielektrischen Polierschicht (40), um eine im Wesentlichen planare obere dielektrische Fläche bereitzustellen.
  2. Verfahren nach Anspruch 1, wobei die im Wesentlichen planare obere dielektrische Fläche mindestens 50 % der ersten Dicke über den oberen Teilen der Leiter (22, 24, 26) aufweist.
  3. Verfahren nach einem der vorstehenden Ansprüche, wobei eine dielektrische Keimschicht über den Leitern (22, 24, 26) und dem Substrat (20) gebildet wird und die HDP-Oxid-Spaltfüllschicht (34) auf der Keimschicht gebildet wird.
  4. Verfahren nach Anspruch 3, wobei die dielektrische Keimschicht so gebildet wird, dass die Keimschicht mit der HDP-Oxid-Spaltfüllschicht (34) integriert ausgebildet ist, indem zuerst die Keimschicht durch chemische Dampfabscheidung (CVD) abgeschieden wird und zum Abscheiden der HDP-Oxid-Spaltfüllschicht (34) umgeschaltet wird, indem ein DC-Bias-Sputtern aktiviert wird, während die CVD fortgesetzt wird.
  5. Verfahren nach Anspruch 4, wobei die Keimschicht verhindert, dass durch das Sputtern Material von den Leitern (22, 24, 26) entfernt wird.
  6. Verfahren nach einem der vorstehenden Ansprüche, wobei in dem Schritt des Abscheidens einer HDP-Oxid-Spaltfüllschicht (34) eine Gasmischung verwendet wird, die eine siliciumhaltige Komponente, eine sauerstoffhaltige Komponente und eine reaktionsträge Komponente aufweist.
  7. Verfahren nach Anspruch 6, wobei eine Gasmischung verwendet wird, bei der die siliciumhaltige Komponente Silan ist.
  8. Verfahren nach Anspruch 6 oder 7, wobei eine Gasmischung verwendet wird, bei der die sauerstoffhaltige Komponente O2 ist.
  9. Verfahren nach den Ansprüchen 6–8, wobei eine Gasmischung verwendet wird, bei der die reaktionsträge Komponente ein Gas der Gruppe VIII ist.
  10. Verfahren nach einem der vorstehenden Ansprüche, wobei weiter die HDP-Oxid-Spaltfüllschicht (34) über einem Leiter (22) mit einem niedrigen Seitenverhältnis bis zum mindestens 1,5Fachen der Dicke über einem Leiter (24) mit einem hohen Seitenverhältnis gebildet wird.
  11. Verfahren nach einem der vorstehenden Ansprüche, wobei das Abscheiden einer HDP-Oxid-Spaltfüllschicht (34) und das Abscheiden einer dielektrischen Polierschicht (40) in derselben Abscheidungskammer auftreten.
  12. Verfahren nach einem der vorstehenden Ansprüche, wobei die dielektrische Polierschicht (40) ein Material aufweist, das aus der Gruppe ausgewählt ist, die PETEOS, BPSG, BSG, PSG, Silanoxid und Kombinationen davon enthält.
  13. Verfahren nach einem der vorstehenden Ansprüche, wobei die HDP-Oxid-Spaltfüllschicht (34) bis zu einer, gemessen in einem oder mehreren Spalten zwischen den Leitern, der ersten Dicke gleichenden Dicke abgeschieden wird.
  14. Verfahren nach einem der vorstehenden Ansprüche, wobei beim Polieren der oberen Fläche der dielektrischen Polierschicht die gesamte dielektrische Polierschicht (40) entfernt wird, die über mindestens einem der Leiter (22) mit einem niedrigen Seitenverhältnis liegt.
  15. Halbleiterbauelement, das Leiter mit einem hohen und einem niedrigen Seitenverhältnis auf einer gemeinsamen leitenden Ebene aufweist, wobei das Bauelement aufweist: eine Schicht strukturierter Leiter (22, 24, 26) auf einem Substrat (20), wobei die Schicht strukturierter Leiter mehrere Leiter (24) mit einem hohen Seitenverhältnis und mehrere Leiter (22) mit einem niedrigen Seitenverhältnis aufweist, wobei die Schicht strukturierter Leiter eine erste Dicke hat, eine Hochdichteplasma-(HDP)-Oxid-Spaltfüllschicht (34), die über den strukturierten Leitern (22, 24, 26) und dem Substrat (20) liegt, wobei die HDP-Oxid-Spaltfüllschicht (34) Spitzen über den Leitern mit einem hohen Seitenverhältnis aufweist, wobei die Spitzen eine erste Stufenhöhe haben, und die HDP-Oxid-Spaltfüllschicht (34) abgeflachte Bereiche über den Leitern mit einem niedrigen Seitenverhältnis aufweist, wobei die abgeflachten Bereiche eine zweite Stufenhöhe haben, und eine Oxidpolierschicht (40), die über der HDP-Oxid-Spaltfüllschicht (34) liegt, wobei die Polierschicht (40) eine im Wesentlichen planare obere Fläche hat, dadurch gekennzeichnet, dass die erste Stufenhöhe kleiner als die zweite Stufenhöhe ist und die Schicht strukturierter Leiter, die HDP-Oxid-Spaltfüllschicht und die Oxidpolierschicht eine kombinierte Dicke von mindestens 150 % der ersten Dicke haben.
  16. Halbleiterbauelement nach Anspruch 15, wobei die HDP-Oxidschicht (34) eine dritte Dicke, gemessen über den Leitern (22) mit einem niedrigen Seitenverhältnis, und eine vierte Dicke, gemessen über den Leitern (24) mit einem hohen Seitenverhältnis, aufweist, wobei die dritte Dicke ein Verhältnis von mindestens 1,5 : 1 zur vierten Dicke hat.
  17. Halbleiterbauelement nach Anspruch 15 oder 16, wobei die HDP-Oxiddicke, gemessen in einem oder mehreren Spalten zwischen den strukturierten Leitern (22, 24, 26) zwischen 50 % und 125 % der ersten Dicke ist.
  18. Halbleiterbauelement nach Anspruch 15, 16 oder 17, welches weiter eine dielektrische Keimschicht aufweist, die über den strukturierten Leitern (22, 24, 26) und dem Substrat (20) und unter der HDP-Oxidschicht (34) liegt.
  19. Halbleiterbauelement nach Anspruch 18, wobei die dielektrische Keimschicht eine Dicke zwischen 30 nm (300 Å) und 100 nm (1000 Å) aufweist.
  20. Halbleiterbauelement nach Anspruch 15, 16, 17, 18 oder 19, wobei die Oxidpolierschicht (40) ein Material aufweist, das aus der Gruppe ausgewählt ist, die PETEOS, BPSG, BSG, PSG, Silanoxid und Kombinationen davon enthält.
DE69535438T 1994-08-17 1995-08-09 Verfahren zur Planierung von Dielektrika in Halbleitervorrichtungen Expired - Lifetime DE69535438T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/291,636 US5494854A (en) 1994-08-17 1994-08-17 Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US291636 1994-08-17

Publications (2)

Publication Number Publication Date
DE69535438D1 DE69535438D1 (de) 2007-05-10
DE69535438T2 true DE69535438T2 (de) 2007-12-13

Family

ID=23121136

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69535438T Expired - Lifetime DE69535438T2 (de) 1994-08-17 1995-08-09 Verfahren zur Planierung von Dielektrika in Halbleitervorrichtungen

Country Status (4)

Country Link
US (2) US5494854A (de)
EP (1) EP0697722B1 (de)
JP (1) JP4106101B2 (de)
DE (1) DE69535438T2 (de)

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795495A (en) * 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5686356A (en) * 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5847464A (en) * 1995-09-27 1998-12-08 Sgs-Thomson Microelectronics, Inc. Method for forming controlled voids in interlevel dielectric
JP2838992B2 (ja) * 1995-11-10 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5893750A (en) * 1995-11-13 1999-04-13 Advanced Micro Devices, Inc. Method for forming a highly planarized interlevel dielectric structure
US5861342A (en) * 1995-12-26 1999-01-19 Vlsi Technology, Inc. Optimized structures for dummy fill mask design
US5639697A (en) * 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US5783488A (en) * 1996-01-31 1998-07-21 Vlsi Technology, Inc. Optimized underlayer structures for maintaining chemical mechanical polishing removal rates
JPH09223737A (ja) * 1996-02-16 1997-08-26 Nec Corp 半導体装置の製造方法
KR100230392B1 (ko) * 1996-12-05 1999-11-15 윤종용 반도체 소자의 콘택 플러그 형성방법
KR100211540B1 (ko) * 1996-05-22 1999-08-02 김영환 반도체소자의 층간절연막 형성방법
JPH09321047A (ja) * 1996-05-24 1997-12-12 Nec Corp 半導体装置の製造方法
US5733798A (en) * 1996-06-05 1998-03-31 Advanced Micro Devices, Inc. Mask generation technique for producing an integrated circuit with optimal polysilicon interconnect layout for achieving global planarization
US6200894B1 (en) * 1996-06-10 2001-03-13 International Business Machines Corporation Method for enhancing aluminum interconnect properties
US5851899A (en) * 1996-08-08 1998-12-22 Siemens Aktiengesellschaft Gapfill and planarization process for shallow trench isolation
US5747382A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step planarization process using chemical-mechanical polishing and reactive-ion-etching
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6303464B1 (en) 1996-12-30 2001-10-16 Intel Corporation Method and structure for reducing interconnect system capacitance through enclosed voids in a dielectric layer
US6171896B1 (en) * 1997-02-03 2001-01-09 Taiwan Semiconductor Manufacturing Company Method of forming shallow trench isolation by HDPCVD oxide
US5854125A (en) * 1997-02-24 1998-12-29 Vlsi Technology, Inc. Dummy fill patterns to improve interconnect planarity
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
JP3638778B2 (ja) 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6117345A (en) * 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
EP0887847A1 (de) * 1997-04-15 1998-12-30 STMicroelectronics S.r.l. Verfahren zur abschliessenden Passivierung integrierter Schaltungen
US5728621A (en) * 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US5814564A (en) * 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
EP0893825A1 (de) * 1997-07-23 1999-01-27 STMicroelectronics S.r.l. Planarisierungsmethode für integrierte Halbleiterschaltungen unter Verwendung von Mehrschichten
DE19741704A1 (de) * 1997-09-22 1999-04-01 Siemens Ag Verfahren zur Erzeugung von Isolationen in einem Substrat
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
EP0907201A1 (de) * 1997-10-03 1999-04-07 STMicroelectronics S.r.l. Planarisierungsmethode für integrierte Schaltungen
EP0907202A1 (de) * 1997-10-03 1999-04-07 STMicroelectronics S.r.l. Planarisierungsmethode für integrierte Schaltungen
US6268297B1 (en) * 1997-11-26 2001-07-31 Texas Instruments Incorporated Self-planarizing low-temperature doped-silicate-glass process capable of gap-filling narrow spaces
KR19990055162A (ko) * 1997-12-27 1999-07-15 김영환 반도체 소자의 층간절연막 평탄화 방법
US5920792A (en) * 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US5946592A (en) * 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
US6057207A (en) * 1998-03-25 2000-05-02 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process using chemical-mechanical polish with self-aligned nitride mask on HDP-oxide
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6441419B1 (en) 1998-03-31 2002-08-27 Lsi Logic Corporation Encapsulated-metal vertical-interdigitated capacitor and damascene method of manufacturing same
US6251740B1 (en) 1998-12-23 2001-06-26 Lsi Logic Corporation Method of forming and electrically connecting a vertical interdigitated metal-insulator-metal capacitor extending between interconnect layers in an integrated circuit
US6417535B1 (en) 1998-12-23 2002-07-09 Lsi Logic Corporation Vertical interdigitated metal-insulator-metal capacitor for an integrated circuit
US6057571A (en) * 1998-03-31 2000-05-02 Lsi Logic Corporation High aspect ratio, metal-to-metal, linear capacitor for an integrated circuit
US6358837B1 (en) 1998-03-31 2002-03-19 Lsi Logic Corporation Method of electrically connecting and isolating components with vertical elements extending between interconnect layers in an integrated circuit
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
TW379416B (en) * 1998-05-04 2000-01-11 United Microelectronics Corp Method of manufacturing dual damascence
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
US6593241B1 (en) * 1998-05-11 2003-07-15 Applied Materials Inc. Method of planarizing a semiconductor device using a high density plasma system
US6143672A (en) * 1998-05-22 2000-11-07 Advanced Micro Devices, Inc. Method of reducing metal voidings in 0.25 μm AL interconnect
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6150285A (en) 1998-06-17 2000-11-21 Advanced Micro Devices, Inc. Method for simultaneous deposition and sputtering of TEOS
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
KR100319185B1 (ko) * 1998-07-31 2002-01-04 윤종용 반도체 장치의 절연막 형성 방법
US6180525B1 (en) * 1998-08-19 2001-01-30 Micron Technology, Inc. Method of minimizing repetitive chemical-mechanical polishing scratch marks and of processing a semiconductor wafer outer surface
US6281132B1 (en) 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6365523B1 (en) * 1998-10-22 2002-04-02 Taiwan Semiconductor Maufacturing Company Integrated high density plasma chemical vapor deposition (HDP-CVD) method and chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layers
US6218251B1 (en) 1998-11-06 2001-04-17 Advanced Micro Devices, Inc. Asymmetrical IGFET devices with spacers formed by HDP techniques
US6080639A (en) * 1998-11-25 2000-06-27 Advanced Micro Devices, Inc. Semiconductor device containing P-HDP interdielectric layer
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6165905A (en) * 1999-01-20 2000-12-26 Philips Electronics, North America Corp. Methods for making reliable via structures having hydrophobic inner wall surfaces
US6242785B1 (en) 1999-01-26 2001-06-05 Advanced Micro Devices, Inc. Nitride based sidewall spaces for submicron MOSFETs
US6239024B1 (en) * 1999-03-05 2001-05-29 United Microelectronics Corp. Method of filling gap with dielectrics
US6127238A (en) * 1999-03-11 2000-10-03 Chartered Semiconductor Manufacturing Ltd. Plasma enhanced chemical vapor deposited (PECVD) silicon nitride barrier layer for high density plasma chemical vapor deposited (HDP-CVD) dielectric layer
US6194287B1 (en) 1999-04-02 2001-02-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) method with reproducible alignment registration
US6251795B1 (en) * 1999-04-08 2001-06-26 Wafertech, L.L.C. Method for depositing high density plasma chemical vapor deposition oxide with improved topography
US6197660B1 (en) 1999-04-29 2001-03-06 Taiwan Semiconductor Manufacturing Company Integration of CMP and wet or dry etching for STI
US6028013A (en) * 1999-05-06 2000-02-22 Vlsi Technology, Inc. Moisture repellant integrated circuit dielectric material combination
US6274514B1 (en) 1999-06-21 2001-08-14 Taiwan Semiconductor Manufacturing Company HDP-CVD method for forming passivation layers with enhanced adhesion
US6255207B1 (en) 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
KR100295782B1 (ko) * 1999-07-03 2001-07-12 윤종용 얕은 트렌치 소자분리 방법
US6033981A (en) * 1999-07-22 2000-03-07 Taiwan Semiconductor Manufacturing Company Keyhole-free process for high aspect ratio gap filing
US6448629B2 (en) * 1999-07-29 2002-09-10 International Business Machines Corporation Semiconductor device and method of making same
US6319796B1 (en) 1999-08-18 2001-11-20 Vlsi Technology, Inc. Manufacture of an integrated circuit isolation structure
US6261957B1 (en) 1999-08-20 2001-07-17 Taiwan Semiconductor Manufacturing Company Self-planarized gap-filling by HDPCVD for shallow trench isolation
DE19944304C2 (de) * 1999-09-15 2001-09-20 Infineon Technologies Ag Strukturierung von Kontaktflächen in Mehrlagen-Metallisierungen von Halbleiterbauelementen
US6504202B1 (en) 2000-02-02 2003-01-07 Lsi Logic Corporation Interconnect-embedded metal-insulator-metal capacitor
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6346476B1 (en) 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
US6268274B1 (en) 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6372664B1 (en) 1999-10-15 2002-04-16 Taiwan Semiconductor Manufacturing Company Crack resistant multi-layer dielectric layer and method for formation thereof
US6391795B1 (en) * 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6339027B1 (en) * 1999-11-22 2002-01-15 Chartered Semiconductor Manufacturing Ltd. Process for borderless stop in tin via formation
JP4307664B2 (ja) 1999-12-03 2009-08-05 株式会社ルネサステクノロジ 半導体装置
US6423653B1 (en) 2000-01-11 2002-07-23 Taiwan Semiconductor Manufacturing Company Reduction of plasma damage for HDP-CVD PSG process
US6383925B1 (en) 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6281114B1 (en) * 2000-02-07 2001-08-28 Infineon Technologies Ag Planarization after metal chemical mechanical polishing in semiconductor wafer fabrication
KR100618802B1 (ko) 2000-03-13 2006-09-08 삼성전자주식회사 세리아 슬러리를 사용하는 반도체 소자의 평탄화 방법
JP3428556B2 (ja) * 2000-03-15 2003-07-22 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP3539337B2 (ja) 2000-03-17 2004-07-07 セイコーエプソン株式会社 半導体装置およびその製造方法ならびにマスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP2001284450A (ja) * 2000-04-03 2001-10-12 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6342734B1 (en) 2000-04-27 2002-01-29 Lsi Logic Corporation Interconnect-integrated metal-insulator-metal capacitor and method of fabricating same
US6566186B1 (en) 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US6341056B1 (en) 2000-05-17 2002-01-22 Lsi Logic Corporation Capacitor with multiple-component dielectric and method of fabricating same
US6479385B1 (en) 2000-05-31 2002-11-12 Taiwan Semiconductor Manufacturing Company Interlevel dielectric composite layer for insulation of polysilicon and metal structures
US6555910B1 (en) * 2000-08-29 2003-04-29 Agere Systems Inc. Use of small openings in large topography features to improve dielectric thickness control and a method of manufacture thereof
EP2458735A3 (de) * 2000-10-23 2012-08-29 Panasonic Corporation Oberflächenwellenfilter
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6632742B2 (en) * 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US20030143849A1 (en) * 2001-01-16 2003-07-31 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6432822B1 (en) * 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6429128B1 (en) * 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
DE10134099A1 (de) * 2001-07-13 2002-10-17 Infineon Technologies Ag Bedeckung von Leiterbahnen einer integrierten Halbleiterschaltung durch zwei Deckschichten
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
DE10141839A1 (de) * 2001-08-27 2002-11-14 Infineon Technologies Ag Verfahren zur Herstellung einer selbstjustierenden Maske für eine Struktur mit einer grossen Fläche
DE10149916B4 (de) * 2001-10-10 2007-01-25 Infineon Technologies Ag Verfahren zum Planarisieren von Prozessflächen in Halbleitereinrichtungen
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7290407B1 (en) * 2001-12-19 2007-11-06 Jesse Chienhua Shan Triangle-shaped planar optical waveguide having reduced scattering loss
DE10228771B4 (de) * 2002-06-27 2008-02-14 Infineon Technologies Ag Verfahren zur Planarisierung mit definierbarer Planarisierungslänge in integrierten Halbleiterschaltungen und derartige integrierte Halbleiterschaltung
JP4021283B2 (ja) * 2002-08-28 2007-12-12 富士通株式会社 半導体装置
EP2277551B1 (de) 2002-09-06 2013-05-08 Cerulean Pharma Inc. Polymere auf basis von cyclodextrin zur verabreichung von an diese kovalent gebundene arzneimittel
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7001827B2 (en) * 2003-04-15 2006-02-21 International Business Machines Corporation Semiconductor wafer front side protection
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
JP2005176152A (ja) * 2003-12-15 2005-06-30 Alps Electric Co Ltd 弾性表面波素子及びその製造方法
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JP4679277B2 (ja) * 2005-07-11 2011-04-27 富士通セミコンダクター株式会社 半導体装置の製造方法
TWI338329B (en) * 2005-07-11 2011-03-01 Fujitsu Semiconductor Ltd Manufacture of semiconductor device with cmp
US20070123046A1 (en) * 2005-10-31 2007-05-31 Applied Materials, Inc. Continuous in-line monitoring and qualification of polishing rates
KR100650264B1 (ko) * 2005-12-28 2006-11-27 동부일렉트로닉스 주식회사 반도체소자의 금속절연막 형성방법
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
JP2010516625A (ja) 2007-01-24 2010-05-20 インサート セラピューティクス, インコーポレイテッド 制御された薬物送達のためのテザー基を有するポリマー−薬物コンジュゲート
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
DE102007063271B4 (de) * 2007-12-31 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines dielektrischen Zwischenschichtmaterials mit unterschiedlichen Abtragsraten während eines CMP-Prozesses
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
WO2013076890A1 (ja) 2011-11-21 2013-05-30 パナソニック株式会社 半導体装置及びその製造方法
CN103377911B (zh) * 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US20140094432A1 (en) 2012-10-02 2014-04-03 Cerulean Pharma Inc. Methods and systems for polymer precipitation and generation of particles
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150200111A1 (en) * 2014-01-13 2015-07-16 Globalfoundries Inc. Planarization scheme for finfet gate height uniformity control
US9558930B2 (en) * 2014-08-13 2017-01-31 International Business Machines Corporation Mixed lithography approach for e-beam and optical exposure using HSQ

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2109938B (en) * 1981-11-24 1985-05-01 Ferranti Ltd Temprature measuring circuit using semi-conductor diode
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4966865A (en) * 1987-02-05 1990-10-30 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4962064A (en) * 1988-05-12 1990-10-09 Advanced Micro Devices, Inc. Method of planarization of topologies in integrated circuit structures
US4879258A (en) * 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
GB2222884A (en) * 1988-09-19 1990-03-21 Philips Electronic Associated Temperature sensing circuit
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5166101A (en) * 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
JPH0680657B2 (ja) * 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 半導体装置の製造方法
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric
EP0469214A1 (de) * 1990-07-31 1992-02-05 International Business Machines Corporation Verfahren zur Herstellung geschichteter Leiter- und/oder Widerstandsbereiche in Multiebenen-Halbleiterbauelementen und daraus resultierende Struktur
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
JPH0645327A (ja) * 1991-01-09 1994-02-18 Nec Corp 半導体装置の製造方法
US5187119A (en) * 1991-02-11 1993-02-16 The Boeing Company Multichip module and integrated circuit substrates having planarized patterned surfaces
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
US5270264A (en) * 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
US5314843A (en) * 1992-03-27 1994-05-24 Micron Technology, Inc. Integrated circuit polishing method
US5234868A (en) * 1992-10-29 1993-08-10 International Business Machines Corporation Method for determining planarization endpoint during chemical-mechanical polishing
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure

Also Published As

Publication number Publication date
EP0697722B1 (de) 2007-03-28
JP4106101B2 (ja) 2008-06-25
US5621241A (en) 1997-04-15
JPH0869999A (ja) 1996-03-12
US5494854A (en) 1996-02-27
EP0697722A2 (de) 1996-02-21
DE69535438D1 (de) 2007-05-10
EP0697722A3 (de) 1997-07-09

Similar Documents

Publication Publication Date Title
DE69535438T2 (de) Verfahren zur Planierung von Dielektrika in Halbleitervorrichtungen
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE4301451C2 (de) Verfahren zur Bildung eines leitfähigen Stopfens in einer Isolierschicht
DE4434230C2 (de) Chemisch-mechanisches Polierverfahren zum Planieren von Isolierschichten
DE102016100002B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE19935946B4 (de) Verfahren zum Ausbilden einer dielektrischen Schicht
DE60009546T2 (de) Verwendung von cäsiumhydroxyd für dielektrische aufschlämmung
DE69730580T2 (de) Verfahren zur Herstellung eines Halbleiterelements mit zwei Isolatoren unterschiedlicher Dielektrizitätskonstante
DE69838202T2 (de) Endpunktfühlung und Apparat
DE19928570B4 (de) Verfahren zur Herstellung von Halbleitervorrichtungen
DE60114304T2 (de) Hexagonal- Bornitrid-Film mit niedriger dielektrischer Konstante ,Film mit dielektrischer Beschichtung und Verfahren zu seiner Herstellung und Plasma-CVD-Apparat
JPH06326065A (ja) 半導体デバイスおよび製造方法
DE10226235B4 (de) Verfahren zum Erhöhen der Abtragrate von Oxid unter Verwendung eines fixierten Schleifmittels
EP0582724A1 (de) Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
DE102020122109A1 (de) Phasenänderungsspeicherbauelement und verfahren
DE102013100709A1 (de) Vorrichtungen und Verfahren zum Polieren, Ätzen und Reinigen mit einer mit Gas gemischten Flüssigkeit
DE10054190C2 (de) Verfahren zum Einebnen einer Isolierung in Form eines flachen Grabens
DE102006036797B4 (de) Verfahren zur Herstellung einer Einzel-Damaszen Struktur mit Einwegschablone
EP0774777B1 (de) Verfahren zum chemisch mechanischen Polieren eines Elektronikteils
DE69730577T2 (de) Verbesserungen im chemisch-mechanischen Polieren von Halbleiterscheiben
WO2001015219A2 (de) Verfahren zur herstellung einer integrierten schaltung mit mindestens einer metallisierungsebene
DE10085212B4 (de) Dielektrische Schicht, integrierte Schaltung und Verfahren zu deren Herstellung
DE102007020269A1 (de) Halbleiterstruktur mit einer elektrischen Verbindung und Verfahren zu ihrer Herstellung
DE69837059T2 (de) Planarisierung von einem Halbleitersubstrat
US5554884A (en) Multilevel metallization process for use in fabricating microelectronic devices

Legal Events

Date Code Title Description
8364 No opposition during term of opposition