DE69625778D1 - Verfahren und Vorrichtung zum Polieren von Substraten - Google Patents

Verfahren und Vorrichtung zum Polieren von Substraten

Info

Publication number
DE69625778D1
DE69625778D1 DE69625778T DE69625778T DE69625778D1 DE 69625778 D1 DE69625778 D1 DE 69625778D1 DE 69625778 T DE69625778 T DE 69625778T DE 69625778 T DE69625778 T DE 69625778T DE 69625778 D1 DE69625778 D1 DE 69625778D1
Authority
DE
Germany
Prior art keywords
carousel
substrates
polishing
polishing substrates
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69625778T
Other languages
English (en)
Other versions
DE69625778T2 (de
Inventor
Ilya Perlov
Eugene Gantvarg
Harry Q Lee
Robert D Tolles
Norm Shendon
Sasson Somekh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69625778D1 publication Critical patent/DE69625778D1/de
Publication of DE69625778T2 publication Critical patent/DE69625778T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • B08B1/50
    • B08B1/52
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B27/00Other grinding machines or devices
    • B24B27/0023Other grinding machines or devices grinding machines with a plurality of working posts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/12Dressing tools; Holders therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
DE69625778T 1995-10-27 1996-10-28 Verfahren und Vorrichtung zum Polieren von Substraten Expired - Lifetime DE69625778T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/549,336 US5738574A (en) 1995-10-27 1995-10-27 Continuous processing system for chemical mechanical polishing

Publications (2)

Publication Number Publication Date
DE69625778D1 true DE69625778D1 (de) 2003-02-20
DE69625778T2 DE69625778T2 (de) 2003-10-16

Family

ID=24192580

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69625778T Expired - Lifetime DE69625778T2 (de) 1995-10-27 1996-10-28 Verfahren und Vorrichtung zum Polieren von Substraten

Country Status (6)

Country Link
US (4) US5738574A (de)
EP (1) EP0774323B1 (de)
JP (3) JPH09174420A (de)
KR (2) KR100395153B1 (de)
AT (1) ATE231046T1 (de)
DE (1) DE69625778T2 (de)

Families Citing this family (485)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885138A (en) 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US5895270A (en) * 1995-06-26 1999-04-20 Texas Instruments Incorporated Chemical mechanical polishing method and apparatus
KR100487590B1 (ko) 1995-08-21 2005-08-04 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
US7097544B1 (en) * 1995-10-27 2006-08-29 Applied Materials Inc. Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
GB2347790B (en) * 1995-11-14 2000-11-01 Nec Corp Method of regulating a retainer ring of a polishing apparatus to an appropriate configuration
JP3129172B2 (ja) * 1995-11-14 2001-01-29 日本電気株式会社 研磨装置及び研磨方法
JP3580936B2 (ja) * 1996-02-26 2004-10-27 株式会社荏原製作所 ポリッシング装置のプッシャー及びポリッシング装置
US6050884A (en) * 1996-02-28 2000-04-18 Ebara Corporation Polishing apparatus
US6413156B1 (en) * 1996-05-16 2002-07-02 Ebara Corporation Method and apparatus for polishing workpiece
JP3624054B2 (ja) * 1996-06-18 2005-02-23 東京エレクトロン株式会社 処理装置および処理方法
JP3183388B2 (ja) * 1996-07-12 2001-07-09 株式会社東京精密 半導体ウェーハ研磨装置
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6056632A (en) * 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US6354926B1 (en) * 1997-03-12 2002-03-12 Lam Research Corporation Parallel alignment method and apparatus for chemical mechanical polishing
US6116994A (en) * 1997-04-11 2000-09-12 Ebara Corporation Polishing apparatus
US6110025A (en) * 1997-05-07 2000-08-29 Obsidian, Inc. Containment ring for substrate carrier apparatus
US6139406A (en) 1997-06-24 2000-10-31 Applied Materials, Inc. Combined slurry dispenser and rinse arm and method of operation
US6036583A (en) 1997-07-11 2000-03-14 Applied Materials, Inc. Conditioner head in a substrate polisher and method
US6116990A (en) * 1997-07-25 2000-09-12 Applied Materials, Inc. Adjustable low profile gimbal system for chemical mechanical polishing
US6113479A (en) * 1997-07-25 2000-09-05 Obsidian, Inc. Wafer carrier for chemical mechanical planarization polishing
TW434095B (en) * 1997-08-11 2001-05-16 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
JP3795198B2 (ja) 1997-09-10 2006-07-12 株式会社荏原製作所 基板保持装置及び該基板保持装置を備えたポリッシング装置
EP0911114B1 (de) 1997-10-20 2007-08-01 Ebara Corporation Poliervorrichtung
DE69830121T2 (de) * 1997-10-31 2006-02-23 Ebara Corp. Polierschlamm Spendevorrichtung
US6107203A (en) * 1997-11-03 2000-08-22 Motorola, Inc. Chemical mechanical polishing system and method therefor
KR100524054B1 (ko) 1997-11-21 2005-10-26 가부시키가이샤 에바라 세이사꾸쇼 폴리싱 장치와 이에 사용되는 대상물 홀더 및 폴리싱 방법 및 웨이퍼제조방법
JP3902724B2 (ja) * 1997-12-26 2007-04-11 株式会社荏原製作所 研磨装置
JPH11204468A (ja) * 1998-01-09 1999-07-30 Speedfam Co Ltd 半導体ウエハの表面平坦化装置
KR100286980B1 (ko) * 1998-02-11 2001-04-16 윤종용 웨이퍼 연마 설비 및 웨이퍼 연마 방법
KR100545680B1 (ko) * 1998-02-14 2006-01-24 램 리서치 코포레이션 웨이퍼를 처리하는 적재부
JP3583612B2 (ja) * 1998-03-31 2004-11-04 信越半導体株式会社 ウェーハの研磨方法
US6200199B1 (en) 1998-03-31 2001-03-13 Applied Materials, Inc. Chemical mechanical polishing conditioner
FR2776552B1 (fr) 1998-03-31 2000-06-16 Procedes & Equipement Pour Les Machine modulaire de polissage et de planarisation de substrats
TW393378B (en) * 1998-04-08 2000-06-11 Applied Materials Inc Apparatus and methods for slurry removal in chemical mechanical polishing
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US5897426A (en) 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6390904B1 (en) 1998-05-21 2002-05-21 Applied Materials, Inc. Retainers and non-abrasive liners used in chemical mechanical polishing
US6251215B1 (en) 1998-06-03 2001-06-26 Applied Materials, Inc. Carrier head with a multilayer retaining ring for chemical mechanical polishing
US6354918B1 (en) 1998-06-19 2002-03-12 Ebara Corporation Apparatus and method for polishing workpiece
JP4052736B2 (ja) * 1998-07-21 2008-02-27 株式会社荏原製作所 ポリッシング装置
JP3702668B2 (ja) * 1998-09-28 2005-10-05 株式会社村田製作所 電子部品チップ供給装置
US6033290A (en) * 1998-09-29 2000-03-07 Applied Materials, Inc. Chemical mechanical polishing conditioner
US6220941B1 (en) 1998-10-01 2001-04-24 Applied Materials, Inc. Method of post CMP defect stability improvement
JP3048142B2 (ja) * 1998-10-19 2000-06-05 株式会社東京精密 ウェーハ加工装置
US6358124B1 (en) 1998-11-02 2002-03-19 Applied Materials, Inc. Pad conditioner cleaning apparatus
US6863593B1 (en) * 1998-11-02 2005-03-08 Applied Materials, Inc. Chemical mechanical polishing a substrate having a filler layer and a stop layer
US6217430B1 (en) 1998-11-02 2001-04-17 Applied Materials, Inc. Pad conditioner cleaning apparatus
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
US6319098B1 (en) 1998-11-13 2001-11-20 Applied Materials, Inc. Method of post CMP defect stability improvement
JP3375907B2 (ja) * 1998-12-02 2003-02-10 神鋼電機株式会社 天井走行搬送装置
US6783434B1 (en) * 1998-12-25 2004-08-31 Hitachi Chemical Company, Ltd. CMP abrasive, liquid additive for CMP abrasive and method for polishing substrate
US6475070B1 (en) * 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6309279B1 (en) * 1999-02-19 2001-10-30 Speedfam-Ipec Corporation Arrangements for wafer polishing
US6491570B1 (en) 1999-02-25 2002-12-10 Applied Materials, Inc. Polishing media stabilizer
US6231428B1 (en) 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
US6368189B1 (en) 1999-03-03 2002-04-09 Mitsubishi Materials Corporation Apparatus and method for chemical-mechanical polishing (CMP) head having direct pneumatic wafer polishing pressure
US6358128B1 (en) 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6354922B1 (en) * 1999-08-20 2002-03-12 Ebara Corporation Polishing apparatus
SG97860A1 (en) * 1999-03-05 2003-08-20 Ebara Corp Polishing apparatus
US6227950B1 (en) * 1999-03-08 2001-05-08 Speedfam-Ipec Corporation Dual purpose handoff station for workpiece polishing machine
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
JP3693847B2 (ja) * 1999-03-26 2005-09-14 Necエレクトロニクス株式会社 研磨後ウェハの保管方法および装置
US6220942B1 (en) 1999-04-02 2001-04-24 Applied Materials, Inc. CMP platen with patterned surface
US6244931B1 (en) * 1999-04-02 2001-06-12 Applied Materials, Inc. Buffer station on CMP system
US20040072518A1 (en) * 1999-04-02 2004-04-15 Applied Materials, Inc. Platen with patterned surface for chemical mechanical polishing
US6217426B1 (en) 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
US6296557B1 (en) 1999-04-02 2001-10-02 Micron Technology, Inc. Method and apparatus for releasably attaching polishing pads to planarizing machines in mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US20040053566A1 (en) * 2001-01-12 2004-03-18 Applied Materials, Inc. CMP platen with patterned surface
US6113468A (en) * 1999-04-06 2000-09-05 Speedfam-Ipec Corporation Wafer planarization carrier having floating pad load ring
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
US6322427B1 (en) * 1999-04-30 2001-11-27 Applied Materials, Inc. Conditioning fixed abrasive articles
EP1052062A1 (de) * 1999-05-03 2000-11-15 Applied Materials, Inc. Vorbehandlung eines fixierten Schleifmittels
US6716086B1 (en) 1999-06-14 2004-04-06 Applied Materials Inc. Edge contact loadcup
US6361422B1 (en) * 1999-06-15 2002-03-26 Applied Materials, Inc. Method and apparatus for transferring semiconductor substrates using an input module
KR100304706B1 (ko) * 1999-06-16 2001-11-01 윤종용 화학기계적 연마장치 및 연마 헤드 내부의 오염 물질 세척방법
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
KR100316712B1 (ko) * 1999-06-22 2001-12-12 윤종용 화학기계적 연마장치에 웨이퍼를 로딩/언로딩하기 위한 로드컵의 페디스탈
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP3797822B2 (ja) 1999-06-30 2006-07-19 株式会社荏原製作所 ポリッシング装置
JP2001018161A (ja) 1999-07-07 2001-01-23 Ebara Corp 研磨装置
JP2001018169A (ja) 1999-07-07 2001-01-23 Ebara Corp 研磨装置
GB9915925D0 (en) * 1999-07-08 1999-09-08 Univ Loughborough Flow field plates
US6855043B1 (en) 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6722963B1 (en) 1999-08-03 2004-04-20 Micron Technology, Inc. Apparatus for chemical-mechanical planarization of microelectronic substrates with a carrier and membrane
EP1077108B1 (de) 1999-08-18 2006-12-20 Ebara Corporation Verfahren und Einrichtung zum Polieren
EP1080840A3 (de) * 1999-08-30 2004-01-02 Mitsubishi Materials Corporation Polierverfahren und Vorrichtung und Verfahren zum Abrichten eines Polierkissen
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6481951B1 (en) 1999-09-16 2002-11-19 Applied Materials, Inc. Multiple sided robot blade for semiconductor processing equipment
US6186880B1 (en) * 1999-09-29 2001-02-13 Semiconductor Equipment Technology Recyclable retaining ring assembly for a chemical mechanical polishing apparatus
US6422921B1 (en) 1999-10-22 2002-07-23 Applied Materials, Inc. Heat activated detachable polishing pad
US6273797B1 (en) * 1999-11-19 2001-08-14 International Business Machines Corporation In-situ automated CMP wedge conditioner
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6379223B1 (en) 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6379226B1 (en) * 1999-12-08 2002-04-30 Memc Electronic Materials, Inc. Method for storing carrier for polishing wafer
US6679755B1 (en) 1999-12-09 2004-01-20 Applied Materials Inc. Chemical mechanical planarization system
TWI223734B (en) * 1999-12-21 2004-11-11 Asml Netherlands Bv Crash prevention in positioning apparatus for use in lithographic projection apparatus
US7041599B1 (en) * 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
TW430594B (en) * 1999-12-29 2001-04-21 United Microelectronics Corp Method for controlling polishing time in CMP process
US6383058B1 (en) * 2000-01-28 2002-05-07 Applied Materials, Inc. Adaptive endpoint detection for chemical mechanical polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US6629881B1 (en) 2000-02-17 2003-10-07 Applied Materials, Inc. Method and apparatus for controlling slurry delivery during polishing
US6669538B2 (en) 2000-02-24 2003-12-30 Applied Materials Inc Pad cleaning for a CMP system
US6562184B2 (en) 2000-02-29 2003-05-13 Applied Materials, Inc. Planarization system with multiple polishing pads
US6350188B1 (en) * 2000-03-10 2002-02-26 Applied Materials, Inc. Drive system for a carrier head support structure
US6517414B1 (en) 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
US6227948B1 (en) * 2000-03-21 2001-05-08 International Business Machines Corporation Polishing pad reconditioning via polishing pad material as conditioner
JP3556148B2 (ja) * 2000-03-23 2004-08-18 株式会社東京精密 ウェハ研磨装置
US6336853B1 (en) 2000-03-31 2002-01-08 Speedfam-Ipec Corporation Carrier having pistons for distributing a pressing force on the back surface of a workpiece
US6390905B1 (en) 2000-03-31 2002-05-21 Speedfam-Ipec Corporation Workpiece carrier with adjustable pressure zones and barriers
US7140956B1 (en) 2000-03-31 2006-11-28 Speedfam-Ipec Corporation Work piece carrier with adjustable pressure zones and barriers and a method of planarizing a work piece
US6572730B1 (en) 2000-03-31 2003-06-03 Applied Materials, Inc. System and method for chemical mechanical planarization
US6447379B1 (en) 2000-03-31 2002-09-10 Speedfam-Ipec Corporation Carrier including a multi-volume diaphragm for polishing a semiconductor wafer and a method therefor
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6706139B1 (en) * 2000-04-19 2004-03-16 Micron Technology, Inc. Method and apparatus for cleaning a web-based chemical mechanical planarization system
US6264185B1 (en) * 2000-04-19 2001-07-24 Shoda Iron Works Co., Ltd. Suction pad
US6354928B1 (en) * 2000-04-21 2002-03-12 Agere Systems Guardian Corp. Polishing apparatus with carrier ring and carrier head employing like polarities
JP4642183B2 (ja) * 2000-05-09 2011-03-02 不二越機械工業株式会社 ウェーハの研磨装置
US6623343B2 (en) 2000-05-12 2003-09-23 Multi Planar Technologies, Inc. System and method for CMP head having multi-pressure annular zone subcarrier material removal control
WO2001087541A2 (en) * 2000-05-12 2001-11-22 Multi-Planar Technologies, Inc. Pneumatic diaphragm head having an independent retaining ring and multi-region pressure control, and method to use the same
US6506105B1 (en) 2000-05-12 2003-01-14 Multi-Planar Technologies, Inc. System and method for pneumatic diaphragm CMP head having separate retaining ring and multi-region wafer pressure control
US6558232B1 (en) 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
US6435941B1 (en) 2000-05-12 2002-08-20 Appllied Materials, Inc. Apparatus and method for chemical mechanical planarization
US6358126B1 (en) 2000-05-23 2002-03-19 Ebara Corporation Polishing apparatus
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6645046B1 (en) * 2000-06-30 2003-11-11 Lam Research Corporation Conditioning mechanism in a chemical mechanical polishing apparatus for semiconductor wafers
JP2002025961A (ja) * 2000-07-04 2002-01-25 Disco Abrasive Syst Ltd 半導体ウエーハの研削方法
US6722965B2 (en) 2000-07-11 2004-04-20 Applied Materials Inc. Carrier head with flexible membranes to provide controllable pressure and loading area
US6394440B1 (en) * 2000-07-24 2002-05-28 Asm America, Inc. Dual orientation leveling platform for semiconductor apparatus
US7198561B2 (en) * 2000-07-25 2007-04-03 Applied Materials, Inc. Flexible membrane for multi-chamber carrier head
US6857945B1 (en) 2000-07-25 2005-02-22 Applied Materials, Inc. Multi-chamber carrier head with a flexible membrane
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6561884B1 (en) 2000-08-29 2003-05-13 Applied Materials, Inc. Web lift system for chemical mechanical planarization
US6488565B1 (en) 2000-08-29 2002-12-03 Applied Materials, Inc. Apparatus for chemical mechanical planarization having nested load cups
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6482072B1 (en) 2000-10-26 2002-11-19 Applied Materials, Inc. Method and apparatus for providing and controlling delivery of a web of polishing material
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6648730B1 (en) 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6793565B1 (en) * 2000-11-03 2004-09-21 Speedfam-Ipec Corporation Orbiting indexable belt polishing station for chemical mechanical polishing
US6592439B1 (en) 2000-11-10 2003-07-15 Applied Materials, Inc. Platen for retaining polishing material
US6447368B1 (en) 2000-11-20 2002-09-10 Speedfam-Ipec Corporation Carriers with concentric balloons supporting a diaphragm
US6468131B1 (en) 2000-11-28 2002-10-22 Speedfam-Ipec Corporation Method to mathematically characterize a multizone carrier
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6406362B1 (en) * 2001-01-04 2002-06-18 Speedfam-Ipec Corporation Seal for use with a chemical mechanical planarization apparatus
US6402602B1 (en) 2001-01-04 2002-06-11 Speedfam-Ipec Corporation Rotary union for semiconductor wafer applications
US6620027B2 (en) 2001-01-09 2003-09-16 Applied Materials Inc. Method and apparatus for hard pad polishing
US6613200B2 (en) 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6949466B2 (en) * 2001-09-18 2005-09-27 Oriol Inc. CMP apparatus and method for polishing multiple semiconductor wafers on a single polishing pad using multiple slurry delivery lines
JP3433930B2 (ja) * 2001-02-16 2003-08-04 株式会社東京精密 ウェーハの平面加工装置及びその平面加工方法
US6592680B2 (en) * 2001-03-22 2003-07-15 Agilent Technologies, Inc. Integrated circuit assembly cleaning apparatus and method
US6675058B1 (en) * 2001-03-29 2004-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling the flow of wafers through a process flow
US6749494B2 (en) * 2001-04-11 2004-06-15 Michael C. Mandall Conditioning tool
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US7201639B2 (en) * 2001-04-24 2007-04-10 Hitachi Global Storage Technologies Netherlands B.V. Powder for disks
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
EP1388164A2 (de) * 2001-05-18 2004-02-11 Lam Research Corporation Verfahren und vorrichtung zur herstellung eines substrats durch die durchfürung von der verminderung der oberflächenspannung
US6817923B2 (en) 2001-05-24 2004-11-16 Applied Materials, Inc. Chemical mechanical processing system with mobile load cup
US6857941B2 (en) * 2001-06-01 2005-02-22 Applied Materials, Inc. Multi-phase polishing pad
US6726527B2 (en) * 2001-06-08 2004-04-27 Edward A. Lalli Automatic disc repair system
US6641462B2 (en) * 2001-06-27 2003-11-04 Speedfam-Ipec Corporation Method and apparatus for distributing fluid to a polishing surface during chemical mechanical polishing
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US7086933B2 (en) * 2002-04-22 2006-08-08 Applied Materials, Inc. Flexible polishing fluid delivery system
US6708397B2 (en) * 2001-08-09 2004-03-23 Johnson & Johnson Vision Care, Inc. Inlay station with alignment assemblies and transfer tubes
US6561744B2 (en) * 2001-08-10 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Wafer blade for wafer pick-up from a water tank and method for using
US6503131B1 (en) 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
JP2003077993A (ja) * 2001-08-30 2003-03-14 Nec Yamagata Ltd ウェーハ用ホルダ、及び、ウェーハの吸着解放方法
US6638145B2 (en) * 2001-08-31 2003-10-28 Koninklijke Philips Electronics N.V. Constant pH polish and scrub
US6586336B2 (en) 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
US6659846B2 (en) 2001-09-17 2003-12-09 Agere Systems, Inc. Pad for chemical mechanical polishing
JP2003109926A (ja) * 2001-09-26 2003-04-11 Applied Materials Inc 基板の受け渡し方法および機械化学的研磨装置
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US20030098069A1 (en) * 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6606917B2 (en) * 2001-11-26 2003-08-19 Emerson Electric Co. High purity coriolis mass flow controller
US6863595B1 (en) * 2001-12-19 2005-03-08 Cypress Semiconductor Corp. Methods for polishing a semiconductor topography
US6758724B2 (en) * 2002-01-09 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Online tension monitor system for robot x-belt of mirra CMP
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6841057B2 (en) * 2002-03-13 2005-01-11 Applied Materials Inc. Method and apparatus for substrate polishing
US6739958B2 (en) 2002-03-19 2004-05-25 Applied Materials Inc. Carrier head with a vibration reduction feature for a chemical mechanical polishing system
US7024268B1 (en) 2002-03-22 2006-04-04 Applied Materials Inc. Feedback controlled polishing processes
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6769968B2 (en) 2002-03-29 2004-08-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interchangeable conditioning disk apparatus
US7160173B2 (en) * 2002-04-03 2007-01-09 3M Innovative Properties Company Abrasive articles and methods for the manufacture and use of same
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6893331B2 (en) * 2002-05-09 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd Gimbal assembly for semiconductor fabrication and other tools
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6790123B2 (en) 2002-05-16 2004-09-14 Speedfam-Ipec Corporation Method for processing a work piece in a multi-zonal processing apparatus
CN1327295C (zh) * 2002-06-13 2007-07-18 Asml荷兰有限公司 光刻装置、器件的制造方法和由此制得的器件
US6815376B2 (en) * 2002-06-14 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic substrate edge bead processing apparatus and method
US6875076B2 (en) 2002-06-17 2005-04-05 Accretech Usa, Inc. Polishing machine and method
US7165927B2 (en) 2002-06-19 2007-01-23 Brooks Automation, Inc. Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
KR100495659B1 (ko) * 2002-06-21 2005-06-16 삼성전자주식회사 화학적 기계적 평탄화 기계의 폴리싱 스테이션
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US20050160616A1 (en) * 2002-07-13 2005-07-28 Krauss-Maffei Kunststofftechnik Gmbh Multi-format spindle transport device for transporting and cooling flat substrates
US7040957B2 (en) * 2002-08-14 2006-05-09 Novellus Systems Inc. Platen and manifold for polishing workpieces
US7101253B2 (en) * 2002-08-27 2006-09-05 Applied Materials Inc. Load cup for chemical mechanical polishing
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
JP2004106084A (ja) * 2002-09-17 2004-04-08 Ebara Corp ポリッシング装置及び基板処理装置
US7507148B2 (en) * 2002-09-27 2009-03-24 Sumco Techxiv Corporation Polishing apparatus, polishing head and polishing method
DE10245548A1 (de) * 2002-09-30 2004-04-15 Infineon Technologies Ag Vorrichtung und Verfahren zum Steuern von Polierprozessen bei der Halbleiterherstellung
KR101323337B1 (ko) * 2002-10-11 2013-10-30 무라타 기카이 가부시키가이샤 자동 재료 핸들링 시스템
US20070092359A1 (en) * 2002-10-11 2007-04-26 Brooks Automation, Inc. Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6729947B1 (en) * 2002-11-04 2004-05-04 Texas Instruments Incorporated Semiconductor wafer handler
US7089081B2 (en) * 2003-01-31 2006-08-08 3M Innovative Properties Company Modeling an abrasive process to achieve controlled material removal
US6934595B1 (en) * 2003-02-26 2005-08-23 National Semiconductor Corp. Method and system for reducing semiconductor wafer breakage
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US6905399B2 (en) * 2003-04-10 2005-06-14 Applied Materials, Inc. Conditioning mechanism for chemical mechanical polishing
US20060180486A1 (en) * 2003-04-21 2006-08-17 Bennett David W Modular panel and storage system for flat items such as media discs and holders therefor
US6939210B2 (en) * 2003-05-02 2005-09-06 Applied Materials, Inc. Slurry delivery arm
US6783437B1 (en) 2003-05-08 2004-08-31 Texas Instruments Incorporated Edge-sealed pad for CMP process
US6890597B2 (en) * 2003-05-09 2005-05-10 Applied Materials, Inc. HDP-CVD uniformity control
US20040250859A1 (en) * 2003-06-12 2004-12-16 Poulin James M. Method for protecting a pneumatic control system from ingested contamination
US6769972B1 (en) * 2003-06-13 2004-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. CMP polishing unit with gear-driven conditioning disk drive transmission
US6830504B1 (en) 2003-07-25 2004-12-14 Taiwan Semiconductor Manufacturing Company Barrier-slurry-free copper CMP process
US7025658B2 (en) * 2003-08-18 2006-04-11 Applied Materials, Inc. Platen and head rotation rates for monitoring chemical mechanical polishing
US7074109B1 (en) 2003-08-18 2006-07-11 Applied Materials Chemical mechanical polishing control system and method
US8066552B2 (en) * 2003-10-03 2011-11-29 Applied Materials, Inc. Multi-layer polishing pad for low-pressure polishing
US20050173259A1 (en) * 2004-02-06 2005-08-11 Applied Materials, Inc. Endpoint system for electro-chemical mechanical polishing
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
JP5296985B2 (ja) 2003-11-13 2013-09-25 アプライド マテリアルズ インコーポレイテッド 整形面をもつリテーニングリング
US11260500B2 (en) * 2003-11-13 2022-03-01 Applied Materials, Inc. Retaining ring with shaped surface
US7044832B2 (en) * 2003-11-17 2006-05-16 Applied Materials Load cup for chemical mechanical polishing
US7101138B2 (en) * 2003-12-03 2006-09-05 Brooks Automation, Inc. Extractor/buffer
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
KR100871548B1 (ko) 2003-12-30 2008-12-01 동부일렉트로닉스 주식회사 화학기계적 연마장비의 헤드아암 밸런스 측정용 지그장치 및 측정 방법
KR100857998B1 (ko) * 2003-12-31 2008-09-10 동부일렉트로닉스 주식회사 씨엠피용 헤드
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
US20050181711A1 (en) * 2004-02-12 2005-08-18 Alexander Starikov Substrate confinement apparatus and method
US7255771B2 (en) * 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
CN101022920A (zh) * 2004-05-13 2007-08-22 应用材料股份有限公司 具有导电部分的固定环
US7608173B2 (en) * 2004-12-02 2009-10-27 Applied Materials, Inc. Biased retaining ring
US7195535B1 (en) * 2004-07-22 2007-03-27 Applied Materials, Inc. Metrology for chemical mechanical polishing
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
ITMI20041788A1 (it) * 2004-09-20 2004-12-20 St Microelectronics Srl "macchina rotativa a piu' stazioni per la levigatura di wafer di componenti elettronici a semiconduttore"
WO2006035337A1 (en) * 2004-09-27 2006-04-06 Koninklijke Philips Electronics N.V. Flexible rinsing step in a cmp process
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
KR101044739B1 (ko) * 2004-11-01 2011-06-28 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치 및 폴리싱방법
US20060113281A1 (en) * 2004-11-26 2006-06-01 Kuo-Lung Sung Method of precise wafer etching
US20070224925A1 (en) * 2006-03-21 2007-09-27 Rajeev Bajaj Chemical Mechanical Polishing Pad
US7134948B2 (en) * 2005-01-15 2006-11-14 Applied Materials, Inc. Magnetically secured retaining ring
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
TW200727356A (en) * 2005-01-28 2007-07-16 Applied Materials Inc Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7186171B2 (en) * 2005-04-22 2007-03-06 Applied Materials, Inc. Composite retaining ring
US7740247B2 (en) * 2005-05-06 2010-06-22 Advanced Ion Beam Technology, Inc. Compound sliding seal unit suitable for atmosphere to vacuum applications
US20070032176A1 (en) * 2005-08-04 2007-02-08 Chih-Ming Hsu Method for polishing diamond wafers
US7210980B2 (en) 2005-08-26 2007-05-01 Applied Materials, Inc. Sealed polishing pad, system and methods
US7520795B2 (en) * 2005-08-30 2009-04-21 Applied Materials, Inc. Grooved retaining ring
US7223157B2 (en) * 2005-08-30 2007-05-29 United Microelectronics Corp. Chemical-mechanical polishing apparatus and method of conditioning polishing pad
JP4777031B2 (ja) * 2005-09-29 2011-09-21 富士通セミコンダクター株式会社 化学的機械研磨方法及び試料台パッド
US7258599B2 (en) * 2005-09-15 2007-08-21 Fujitsu Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
US20070062910A1 (en) * 2005-09-22 2007-03-22 Ming-Hsin Yeh Complex CMP process and fabricating methods of STI structure and interconnect structure
US7198548B1 (en) 2005-09-30 2007-04-03 Applied Materials, Inc. Polishing apparatus and method with direct load platen
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
WO2007117301A2 (en) * 2005-11-01 2007-10-18 Applied Materials, Inc. Ball contact cover for copper loss reduction and spike reduction
US7297047B2 (en) * 2005-12-01 2007-11-20 Applied Materials, Inc. Bubble suppressing flow controller with ultrasonic flow meter
JP5318324B2 (ja) * 2005-12-06 2013-10-16 東京応化工業株式会社 サポートプレートの貼り合わせ方法
US20070131562A1 (en) * 2005-12-08 2007-06-14 Applied Materials, Inc. Method and apparatus for planarizing a substrate with low fluid consumption
US8454413B2 (en) * 2005-12-29 2013-06-04 Applied Materials, Inc. Multi-chamber carrier head with a textured membrane
US20070153453A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Fully conductive pad for electrochemical mechanical processing
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070181442A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Method and apparatus for foam removal in an electrochemical mechanical substrate polishing process
US20070212983A1 (en) * 2006-03-13 2007-09-13 Applied Materials, Inc. Apparatus and methods for conditioning a polishing pad
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
JP4814677B2 (ja) * 2006-03-31 2011-11-16 株式会社荏原製作所 基板保持装置および研磨装置
US20070235344A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Process for high copper removal rate with good planarization and surface finish
US20070251832A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance
CN101484277A (zh) * 2006-05-02 2009-07-15 Nxp股份有限公司 晶片去夹具
US7166016B1 (en) * 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US20080038993A1 (en) * 2006-08-08 2008-02-14 Jeong In-Kwon Apparatus and method for polishing semiconductor wafers
US7789736B2 (en) * 2006-10-13 2010-09-07 Applied Materials, Inc. Stepped retaining ring
US7575504B2 (en) 2006-11-22 2009-08-18 Applied Materials, Inc. Retaining ring, flexible membrane for applying load to a retaining ring, and retaining ring assembly
US7727055B2 (en) 2006-11-22 2010-06-01 Applied Materials, Inc. Flexible membrane for carrier head
US7699688B2 (en) 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US7977241B2 (en) * 2006-12-20 2011-07-12 Freescale Semiconductor, Inc. Method for fabricating highly reliable interconnects
US7651384B2 (en) * 2007-01-09 2010-01-26 Applied Materials, Inc. Method and system for point of use recycling of ECMP fluids
US8012000B2 (en) * 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
JP5023146B2 (ja) * 2007-04-20 2012-09-12 株式会社荏原製作所 研磨装置及びそのプログラム
JP2008277635A (ja) * 2007-05-01 2008-11-13 Tokyo Seimitsu Co Ltd ウェハ研磨装置、ウェハ研磨システム及びウェハ研磨方法
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
US8389099B1 (en) 2007-06-01 2013-03-05 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
US8348720B1 (en) 2007-06-19 2013-01-08 Rubicon Technology, Inc. Ultra-flat, high throughput wafer lapping process
US8033895B2 (en) 2007-07-19 2011-10-11 Applied Materials, Inc. Retaining ring with shaped profile
US8137162B2 (en) * 2007-07-25 2012-03-20 Edmond Arzuman Abrahamians Semiconductor wafer polishing machine
KR101358645B1 (ko) 2007-09-04 2014-02-05 삼성전자주식회사 웨이퍼 연마 캐리어 장치 및 그가 채용되는 화학적 기계적연마 설비
CN101459044B (zh) * 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 化学机械抛光中凹陷现象检测单元、制作方法及检测方法
WO2009120641A2 (en) * 2008-03-25 2009-10-01 Applied Materials, Inc. Improved carrier head membrane
WO2009126823A2 (en) * 2008-04-09 2009-10-15 Applied Materials, Inc. A polishing system having a track
KR20110018323A (ko) * 2008-04-25 2011-02-23 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량 화학 기계 연마 시스템
KR20090118751A (ko) * 2008-05-14 2009-11-18 삼성전자주식회사 화학적 기계적 연마 방법 및 장치
KR101958874B1 (ko) 2008-06-04 2019-03-15 가부시키가이샤 에바라 세이사꾸쇼 기판처리장치, 기판처리방법, 기판 파지기구, 및 기판 파지방법
US20100041316A1 (en) * 2008-08-14 2010-02-18 Yulin Wang Method for an improved chemical mechanical polishing system
JP4682236B2 (ja) 2008-08-29 2011-05-11 アプライド マテリアルズ インコーポレイテッド 軸動作検出機構およびコンディショナーヘッド
KR101004434B1 (ko) 2008-11-26 2010-12-28 세메스 주식회사 기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법
US10160093B2 (en) 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
US8475231B2 (en) 2008-12-12 2013-07-02 Applied Materials, Inc. Carrier head membrane
CA2756831C (en) * 2009-03-30 2017-12-05 Ats Automation Tooling Systems Inc. Systems and methods for handling wafers
CN101850647B (zh) * 2009-03-31 2014-12-10 海德堡印刷机械股份公司 用于传递不同介质的旋转传递装置
DE102009025243B4 (de) * 2009-06-17 2011-11-17 Siltronic Ag Verfahren zur Herstellung und Verfahren zur Bearbeitung einer Halbleiterscheibe aus Silicium
JP2011110637A (ja) * 2009-11-25 2011-06-09 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
TW201201957A (en) * 2010-01-29 2012-01-16 Applied Materials Inc High sensitivity real time profile control eddy current monitoring system
US20110189856A1 (en) * 2010-01-29 2011-08-04 Kun Xu High Sensitivity Real Time Profile Control Eddy Current Monitoring System
JP5197644B2 (ja) * 2010-02-08 2013-05-15 株式会社荏原製作所 研磨装置及び研磨方法
US8393940B2 (en) 2010-04-16 2013-03-12 Applied Materials, Inc. Molding windows in thin pads
JP5460537B2 (ja) * 2010-06-17 2014-04-02 東京エレクトロン株式会社 基板裏面研磨装置、基板裏面研磨システム及び基板裏面研磨方法並びに基板裏面研磨プログラムを記録した記録媒体
CN101972988B (zh) * 2010-06-28 2012-05-16 清华大学 一种抛光垫修整头
CN102310358A (zh) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 化学机械研磨设备及使用该化学机械研磨设备的研磨方法
US20120021671A1 (en) * 2010-07-26 2012-01-26 Applied Materials, Inc. Real-time monitoring of retaining ring thickness and lifetime
TWI540021B (zh) 2010-08-06 2016-07-01 應用材料股份有限公司 以扣環調校基板邊緣
JP5511600B2 (ja) * 2010-09-09 2014-06-04 株式会社荏原製作所 研磨装置
KR101198902B1 (ko) 2010-10-04 2012-11-07 김오수 스핀들 유닛 및 이를 이용한 연마장치와 연마방법
JP5291746B2 (ja) * 2011-03-22 2013-09-18 株式会社荏原製作所 研磨装置
US9023667B2 (en) 2011-04-27 2015-05-05 Applied Materials, Inc. High sensitivity eddy current monitoring system
CN102779584B (zh) * 2011-05-13 2014-05-07 扬州曙光电缆有限公司 一种电缆石墨涂敷机
JP5691843B2 (ja) * 2011-05-27 2015-04-01 富士通セミコンダクター株式会社 半導体装置の製造方法および化学機械研磨装置
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US9190312B2 (en) * 2011-07-27 2015-11-17 Advanced Ion Beam Technology, Inc. Extremely low temperature rotary union
JP5837367B2 (ja) * 2011-09-01 2015-12-24 株式会社ディスコ 研削装置
WO2013039608A2 (en) * 2011-09-12 2013-03-21 Applied Materials, Inc. Carrier head with composite plastic portions
US10035237B2 (en) * 2011-11-02 2018-07-31 The Boeing Company Robotic end effector including multiple abrasion tools
US20130115862A1 (en) * 2011-11-09 2013-05-09 Applied Materials, Inc. Chemical mechanical polishing platform architecture
JP5908266B2 (ja) * 2011-11-30 2016-04-26 株式会社Screenホールディングス 陽極化成装置及びそれを備えた陽極化成システム並びに半導体ウエハ
WO2013112764A1 (en) * 2012-01-25 2013-08-01 Applied Materials, Inc. Retaining ring monitoring and control of pressure
WO2013134075A1 (en) 2012-03-08 2013-09-12 Applied Materials, Inc. Detecting membrane breakage in a carrier head
CN103302563B (zh) * 2012-03-14 2015-11-25 富泰华工业(深圳)有限公司 打磨装置及使用该打磨装置的机械手
CN102601718B (zh) * 2012-03-31 2016-07-06 上海华虹宏力半导体制造有限公司 化学机械研磨控制方法及装置、化学机械研磨方法及设备
US9067299B2 (en) 2012-04-25 2015-06-30 Applied Materials, Inc. Printed chemical mechanical polishing pad
US8968055B2 (en) * 2012-04-28 2015-03-03 Applied Materials, Inc. Methods and apparatus for pre-chemical mechanical planarization buffing module
CN102658519B (zh) * 2012-05-09 2016-08-31 上海华虹宏力半导体制造有限公司 化学机械研磨机台
JP6057196B2 (ja) 2012-06-05 2017-01-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 連動特徴を有する2つの部分からなる保持リング
CN103586772B (zh) * 2012-08-16 2016-01-06 鸿富锦精密工业(深圳)有限公司 压力检测装置
JP6055648B2 (ja) 2012-10-26 2016-12-27 株式会社荏原製作所 研磨装置及び研磨方法
US8998676B2 (en) 2012-10-26 2015-04-07 Applied Materials, Inc. Retaining ring with selected stiffness and thickness
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
WO2014078151A1 (en) 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US9227293B2 (en) 2012-11-21 2016-01-05 Applied Materials, Inc. Multi-platen multi-head polishing architecture
US10532441B2 (en) 2012-11-30 2020-01-14 Applied Materials, Inc. Three-zone carrier head and flexible membrane
US9592585B2 (en) * 2012-12-28 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for CMP station cleanliness
US10226853B2 (en) * 2013-01-18 2019-03-12 Applied Materials, Inc. Methods and apparatus for conditioning of chemical mechanical polishing pads
CN103042470A (zh) * 2013-01-23 2013-04-17 厦门大学 一种抛光液添加装置
US9095952B2 (en) 2013-01-23 2015-08-04 Applied Materials, Inc. Reflectivity measurements during polishing using a camera
JP6209088B2 (ja) * 2013-01-25 2017-10-04 株式会社荏原製作所 研磨方法および装置
CN104956467B (zh) 2013-01-31 2018-02-16 应用材料公司 用于化学机械平坦化后的基板清洗的方法及设备
US10513006B2 (en) * 2013-02-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. High throughput CMP platform
US9381613B2 (en) 2013-03-13 2016-07-05 Applied Materials, Inc. Reinforcement ring for carrier head
US9186774B2 (en) 2013-03-14 2015-11-17 Applied Materials, Inc. X-ray metrology for control of polishing
US8961266B2 (en) 2013-03-15 2015-02-24 Applied Materials, Inc. Polishing pad with secondary window seal
US20150017889A1 (en) * 2013-07-12 2015-01-15 Ebara Corporation Polishing apparatus
TWI662610B (zh) 2013-10-25 2019-06-11 美商應用材料股份有限公司 用於化學機械平坦化後之基板拋光預清洗的系統、方法及裝置
US9421666B2 (en) 2013-11-04 2016-08-23 Applied Materials, Inc. Printed chemical mechanical polishing pad having abrasives therein
DE102013225029A1 (de) * 2013-12-05 2015-06-11 Siemens Aktiengesellschaft Verbinder zum Verbinden eines ersten Formelements mit einem zweiten Formelement
US9993907B2 (en) 2013-12-20 2018-06-12 Applied Materials, Inc. Printed chemical mechanical polishing pad having printed window
US9368371B2 (en) 2014-04-22 2016-06-14 Applied Materials, Inc. Retaining ring having inner surfaces with facets
US9434045B2 (en) * 2014-05-05 2016-09-06 Macronix International Co., Ltd. Planarization device and planarization method using the same
CN105081959A (zh) * 2014-05-19 2015-11-25 旺宏电子股份有限公司 平坦化装置及应用其的平坦化方法
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR20240015167A (ko) 2014-10-17 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
CN106521506B (zh) * 2014-12-06 2018-09-14 天津博众运动器材股份有限公司 一种电动自行车辐条增亮处理装置
KR20160070946A (ko) 2014-12-11 2016-06-21 주식회사 케이씨텍 센서용 위치 조정유닛 및 이를 구비한 화학적 기계적 연마장치
KR102332801B1 (ko) * 2015-05-06 2021-11-30 주식회사 케이씨텍 기판 연마장치의 디척 방법
CN106272037A (zh) * 2015-05-18 2017-01-04 盛美半导体设备(上海)有限公司 化学机械研磨装置及方法
US10500695B2 (en) 2015-05-29 2019-12-10 Applied Materials, Inc. Retaining ring having inner surfaces with features
KR101624837B1 (ko) 2015-06-29 2016-05-26 삼성전자주식회사 이동식 스핀들을 구비한 화학 기계적 연마 시스템
CN105150060B (zh) * 2015-08-27 2017-10-10 哈尔滨商业大学 一种用于大型工件的机器人自动打磨装置
US11557048B2 (en) 2015-11-16 2023-01-17 Applied Materials, Inc. Thickness measurement of substrate using color metrology
US10565701B2 (en) 2015-11-16 2020-02-18 Applied Materials, Inc. Color imaging for CMP monitoring
JP6721967B2 (ja) 2015-11-17 2020-07-15 株式会社荏原製作所 バフ処理装置および基板処理装置
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
JP6941618B2 (ja) 2016-03-09 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 付加製造で製造される形状の補正
CN109075054B (zh) * 2016-03-25 2023-06-09 应用材料公司 具有局部区域速率控制及振荡模式的研磨系统
JP6792363B2 (ja) * 2016-07-22 2020-11-25 株式会社ディスコ 研削装置
CN113997194B (zh) 2016-07-25 2024-04-05 应用材料公司 用于化学机械抛光的保持环
TWI784719B (zh) 2016-08-26 2022-11-21 美商應用材料股份有限公司 獲得代表在基板上的層的厚度的測量的方法,及量測系統和電腦程式產品
US11137243B2 (en) 2016-09-20 2021-10-05 Applied Materials, Inc. Two step curing of polishing pad material in additive manufacturing
US11397139B2 (en) * 2017-02-27 2022-07-26 Leco Corporation Metallographic grinder and components thereof
US10596763B2 (en) 2017-04-21 2020-03-24 Applied Materials, Inc. Additive manufacturing with array of energy sources
US11084143B2 (en) 2017-05-25 2021-08-10 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing using modified edge
US10967482B2 (en) 2017-05-25 2021-04-06 Applied Materials, Inc. Fabrication of polishing pad by additive manufacturing onto mold
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US10109517B1 (en) * 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US11776822B2 (en) * 2018-05-29 2023-10-03 Applied Materials, Inc. Wet cleaning of electrostatic chuck
US11597052B2 (en) 2018-06-27 2023-03-07 Applied Materials, Inc. Temperature control of chemical mechanical polishing
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
WO2020106904A1 (en) 2018-11-21 2020-05-28 Applied Materials, Inc. Offset head-spindle for chemical mechanical polishing
CN109333286A (zh) * 2018-11-30 2019-02-15 深圳市羽力科技有限公司 全方位多轴抛光机
CN111788669A (zh) 2019-01-18 2020-10-16 应用材料公司 晶片加工工具及其方法
US11100628B2 (en) 2019-02-07 2021-08-24 Applied Materials, Inc. Thickness measurement of substrate using color metrology
CN110026889B (zh) * 2019-04-28 2021-04-20 上海新昇半导体科技有限公司 固定连接部件、研磨头组件及抛光设备
US11633833B2 (en) 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
TW202110575A (zh) 2019-05-29 2021-03-16 美商應用材料股份有限公司 用於化學機械研磨系統的蒸氣處置站
JP7267847B2 (ja) * 2019-06-12 2023-05-02 株式会社荏原製作所 研磨ヘッド、当該研磨ヘッドを備える研磨装置、および当該研磨装置を用いた研磨方法
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
KR102123938B1 (ko) * 2019-12-31 2020-06-23 김병호 연마대상부재의 스크래치 방지가 가능한 양면연마장치
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
KR20220129598A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11612978B2 (en) 2020-06-09 2023-03-28 Applied Materials, Inc. Additive manufacturing of polishing pads
US11638979B2 (en) 2020-06-09 2023-05-02 Applied Materials, Inc. Additive manufacturing of polishing pads
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11545371B2 (en) 2020-06-23 2023-01-03 Applied Materials, Inc. Platen shield cleaning system
US11370083B2 (en) 2020-06-26 2022-06-28 Applied Materials, Inc. Pad conditioner cleaning system
JP2023518650A (ja) 2020-06-29 2023-05-08 アプライド マテリアルズ インコーポレイテッド 化学機械研磨のための蒸気発生の制御
CN115103738A (zh) 2020-06-29 2022-09-23 应用材料公司 Cmp中的温度和浆体流动速率控制
US11577358B2 (en) 2020-06-30 2023-02-14 Applied Materials, Inc. Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
US11919123B2 (en) 2020-06-30 2024-03-05 Applied Materials, Inc. Apparatus and method for CMP temperature control
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
KR20230088800A (ko) 2020-10-21 2023-06-20 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마 시스템들의 개선된 유지보수를 위한 세정 유체들의 순차적 적용
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
KR20230153413A (ko) * 2021-02-26 2023-11-06 액서스 테크놀로지, 엘엘씨 기판 연마 요소들을 위한 격납 및 배출 시스템
CN113579877B (zh) * 2021-06-25 2022-10-14 北京精密机电控制设备研究所 一种小直径法兰零件行星孔磨削工装及方法
US20220016742A1 (en) * 2021-07-09 2022-01-20 Okamoto Machine Tool Works, Ltd. Dressing apparatus and polishing apparatus
CN114700871B (zh) * 2022-03-11 2023-11-24 上海致领半导体科技发展有限公司 一种第三代半导体化学机械抛光装置
WO2023215109A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Processing chamber purge plate with shroud, and pedestal shield system
CN115008342B (zh) * 2022-06-15 2023-08-25 安徽禾臣新材料有限公司 一种晶片抛光用防崩角的无蜡垫及其生产工艺
CN117583975B (zh) * 2024-01-18 2024-03-19 山西日盛达太阳能科技股份有限公司 一种光伏玻璃抛光装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1582481A (en) * 1923-12-17 1926-04-27 Heald Machine Co Grinding machine
US2998680A (en) * 1958-07-21 1961-09-05 Morton S Lipkins Lapping machines
US3518798A (en) * 1967-08-10 1970-07-07 Speedfam Corp Polishing machine
US3659386A (en) * 1968-03-22 1972-05-02 Ibm A method for providing a finished surface on workpieces
US3665648A (en) * 1969-12-18 1972-05-30 Yugen Kaisha Yamanaka Seisakus Grinding apparatus
DE2020409C3 (de) * 1970-04-27 1974-01-17 Scan-Dia Hans P. Tempelmann, 5800 Hagen Vorrichtung zum Schleifen und Polieren, von metallographischen und mineralogischen Proben
US3680265A (en) * 1970-12-10 1972-08-01 Corning Glass Works Lapping machine
US3731435A (en) * 1971-02-09 1973-05-08 Speedfam Corp Polishing machine load plate
US3913271A (en) * 1974-02-04 1975-10-21 Speedfam Corp Apparatus for machining work pieces
US3970471A (en) * 1975-04-23 1976-07-20 Western Electric Co., Inc. Methods and apparatus for treating wafer-like articles
US4020600A (en) * 1976-08-13 1977-05-03 Spitfire Tool & Machine Co., Inc. Polishing fixture
US4141180A (en) 1977-09-21 1979-02-27 Kayex Corporation Polishing apparatus
JPS56152562A (en) * 1980-04-24 1981-11-26 Fujitsu Ltd Grinder
FR2523892A1 (fr) * 1982-03-26 1983-09-30 Procedes Equip Sciences Ind Perfectionnements aux machines de polissage a plateau tournant
JPS58171255A (ja) * 1982-03-29 1983-10-07 Toshiba Corp 両面鏡面研摩装置
JPS60109859U (ja) * 1983-12-28 1985-07-25 株式会社 デイスコ 半導体ウエ−ハ表面研削装置
JPS60155358A (ja) * 1984-01-23 1985-08-15 Disco Abrasive Sys Ltd 半導体ウエ−ハの表面を研削する方法及び装置
JPS61152357A (ja) * 1984-12-24 1986-07-11 Hitachi Ltd 加工装置
US4665781A (en) * 1985-02-25 1987-05-19 Hofer Industries Inc. Multi-spindle chucking machine
JPS6299065A (ja) * 1985-10-22 1987-05-08 Matsushita Electric Ind Co Ltd 球面研削装置
US4653231A (en) * 1985-11-01 1987-03-31 Motorola, Inc. Polishing system with underwater Bernoulli pickup
JPS63256356A (ja) * 1987-04-15 1988-10-24 Hitachi Ltd 研摩方法および装置
DE3737904A1 (de) * 1987-11-07 1989-05-18 Prettl Laminar Flow & Prozesst Verfahren und vorrichtung zum nassbehandeln von gegenstaenden, insbesondere von wafern, unter reinraumbedingungen
JPH01153266A (ja) * 1987-12-08 1989-06-15 Shinetsu Eng Kk 半導体ウエーハの研磨装置
US4944119A (en) * 1988-06-20 1990-07-31 Westech Systems, Inc. Apparatus for transporting wafer to and from polishing head
JPH079896B2 (ja) * 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
US5081051A (en) * 1990-09-12 1992-01-14 Intel Corporation Method for conditioning the surface of a polishing pad
US5317778A (en) * 1991-07-31 1994-06-07 Shin-Etsu Handotai Co., Ltd. Automatic cleaning apparatus for wafers
US5224304A (en) * 1991-11-07 1993-07-06 Speedfam Corporation Automated free abrasive machine for one side piece part machining
US5205082A (en) 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
US5329732A (en) * 1992-06-15 1994-07-19 Speedfam Corporation Wafer polishing method and apparatus
US5498199A (en) * 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
JP2598661Y2 (ja) * 1992-07-16 1999-08-16 信越半導体株式会社 回転割出式ウエーハ面取部研磨装置
US5232875A (en) * 1992-10-15 1993-08-03 Micron Technology, Inc. Method and apparatus for improving planarity of chemical-mechanical planarization operations
JPH06270052A (ja) * 1993-03-23 1994-09-27 Sumitomo Sitix Corp 半導体ウエーハの鏡面研磨装置
JP2622069B2 (ja) * 1993-06-30 1997-06-18 三菱マテリアル株式会社 研磨布のドレッシング装置
US5443416A (en) * 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5679059A (en) * 1994-11-29 1997-10-21 Ebara Corporation Polishing aparatus and method
US5827110A (en) * 1994-12-28 1998-10-27 Kabushiki Kaisha Toshiba Polishing facility
KR100390293B1 (ko) * 1993-09-21 2003-09-02 가부시끼가이샤 도시바 폴리싱장치
JP3326642B2 (ja) * 1993-11-09 2002-09-24 ソニー株式会社 基板の研磨後処理方法およびこれに用いる研磨装置
JPH07178663A (ja) * 1993-12-24 1995-07-18 Mitsubishi Materials Corp ウエハ研磨装置
JPH07226432A (ja) * 1994-02-09 1995-08-22 Rap Master S F T Kk 半導体ウエハの水没収納方法
US5609719A (en) * 1994-11-03 1997-03-11 Texas Instruments Incorporated Method for performing chemical mechanical polish (CMP) of a wafer
US5655954A (en) * 1994-11-29 1997-08-12 Toshiba Kikai Kabushiki Kaisha Polishing apparatus
US5908530A (en) * 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5816891A (en) * 1995-06-06 1998-10-06 Advanced Micro Devices, Inc. Performing chemical mechanical polishing of oxides and metals using sequential removal on multiple polish platens to increase equipment throughput

Also Published As

Publication number Publication date
JP2008078673A (ja) 2008-04-03
KR970023803A (ko) 1997-05-30
JPH09174420A (ja) 1997-07-08
US6086457A (en) 2000-07-11
US6080046A (en) 2000-06-27
DE69625778T2 (de) 2003-10-16
EP0774323A3 (de) 1997-10-01
JP2002198329A (ja) 2002-07-12
KR100395153B1 (ko) 2003-11-20
KR100412478B1 (ko) 2003-12-31
EP0774323B1 (de) 2003-01-15
US6126517A (en) 2000-10-03
JP4641540B2 (ja) 2011-03-02
US5738574A (en) 1998-04-14
EP0774323A2 (de) 1997-05-21
ATE231046T1 (de) 2003-02-15

Similar Documents

Publication Publication Date Title
ATE231046T1 (de) Verfahren und vorrichtung zum polieren von substraten
DE69611851T2 (de) Haltevorrichtung für ein Substrat und Verfahren und Vorrichtung zum Polieren eines Substrates
DE69407088T2 (de) Verfahren zum Polieren eines Substrats
DE69841159D1 (de) Verfahren und vorrichtung zum beschreiben optischer aufzeichnungsträger
DE19580932T1 (de) Verfahren und Vorrichtung zum Polieren von Wafern
DE69316849D1 (de) Verfahren und Gerät zum Polieren eines Werkstückes
DE69221684D1 (de) Verfahren zum Untersuchen eines Substrates mit aktiver Matrix
DE69507990D1 (de) Verfahren und Vorrichtung zum Hochglanzpolieren eines Teiles von einem Wafer
DE69927111D1 (de) Verfahren und Vorrichtung zum Polieren von Substraten
DE69411213D1 (de) Verfahren und Vorrichtung zum Waschen von Substraten
DE69618437D1 (de) Verfahren und Vorrichtung zum Polieren von Werkstücken
DE69620333D1 (de) Verfahren und Vorrichtung zum Polieren von Werkstücken
DE69618882D1 (de) Verfahren und Vorrichtung zum Polieren von Halbleitersubstraten
DE69618433T2 (de) Verfahren und Gerät zur Werskstückzufuhr zum Gerät zur Serienverarbeitung von Halbleiterplatten
DE69313333T2 (de) Verfahren zum Plattenpolieren und Vorrichtung dafür
DE69711994D1 (de) Verfahren und Vorrichtung zum Regeln der Planheit von polierten Halbleiterscheiben
DE69319067T2 (de) Verfahren und Vorrichtung zum Polieren von magnetischen Platten
DE69425221T2 (de) Verfahren und Vorrichtung zum Beschichten von flächigen Trägern unter Verwendung eines oszillierenden Futters
DE69330669D1 (de) Verfahren und Vorrichtung zum Prüfen eines Substrates mit aktiver Matrix
DE69422770D1 (de) Verfahren und vorrichtung zum beschichten eines glassubstrates
DE69810011D1 (de) Verfahren und Vorrichtung zum Halten von Aufzeichnungsmedien auf der Medienauflagefläche
DE69824812D1 (de) Verfahren und Vorrichtung zum Treiben eines optischen Schreibkopfes
DE68920871D1 (de) Träger und verfahren zum halten von kopf-/arm-anordnungen für plattenspeicher.
DE69314926D1 (de) Vorrichtung und Verfahren zum Anbringen von Klebeband
DE59108379D1 (de) Vorrichtung zum Beschriften von gewölbten Flächen von Werkstücken

Legal Events

Date Code Title Description
8364 No opposition during term of opposition