DE69724187D1 - Suspension zum chemisch-mechanischen Polieren mit fluorierten Additiven und Verfahren zur Benutzung dieser Suspension - Google Patents

Suspension zum chemisch-mechanischen Polieren mit fluorierten Additiven und Verfahren zur Benutzung dieser Suspension

Info

Publication number
DE69724187D1
DE69724187D1 DE69724187T DE69724187T DE69724187D1 DE 69724187 D1 DE69724187 D1 DE 69724187D1 DE 69724187 T DE69724187 T DE 69724187T DE 69724187 T DE69724187 T DE 69724187T DE 69724187 D1 DE69724187 D1 DE 69724187D1
Authority
DE
Germany
Prior art keywords
suspension
mechanical polishing
chemical mechanical
fluorinated additives
polishing slurry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69724187T
Other languages
English (en)
Other versions
DE69724187T2 (de
Inventor
Christopher C Streinz
Brian L Mueller
Michael A Lucarelli
Max H Walters
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of DE69724187D1 publication Critical patent/DE69724187D1/de
Application granted granted Critical
Publication of DE69724187T2 publication Critical patent/DE69724187T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Agricultural Chemicals And Associated Chemicals (AREA)
DE69724187T 1996-06-06 1997-06-04 Suspension zum chemisch-mechanischen Polieren mit fluorierten Additiven und Verfahren zur Benutzung dieser Suspension Expired - Lifetime DE69724187T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US659419 1996-06-06
US08/659,419 US5993686A (en) 1996-06-06 1996-06-06 Fluoride additive containing chemical mechanical polishing slurry and method for use of same

Publications (2)

Publication Number Publication Date
DE69724187D1 true DE69724187D1 (de) 2003-09-25
DE69724187T2 DE69724187T2 (de) 2004-02-26

Family

ID=24645336

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69724187T Expired - Lifetime DE69724187T2 (de) 1996-06-06 1997-06-04 Suspension zum chemisch-mechanischen Polieren mit fluorierten Additiven und Verfahren zur Benutzung dieser Suspension

Country Status (11)

Country Link
US (1) US5993686A (de)
EP (1) EP0811666B1 (de)
JP (1) JP3592894B2 (de)
AT (1) ATE247700T1 (de)
AU (1) AU3138597A (de)
DE (1) DE69724187T2 (de)
ID (1) ID17160A (de)
IL (1) IL120912A (de)
MY (1) MY132376A (de)
TW (1) TW375660B (de)
WO (1) WO1997047030A1 (de)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6592776B1 (en) 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
DE59802570D1 (de) * 1997-09-26 2002-01-31 Infineon Technologies Ag Poliermittel und die verwendung dieses poliermittels zum planarisieren eines halbleitersubstrats
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6362101B2 (en) * 1997-11-24 2002-03-26 United Microelectronics Corp. Chemical mechanical polishing methods using low pH slurry mixtures
US6294105B1 (en) 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
JP3147072B2 (ja) * 1998-02-26 2001-03-19 日本電気株式会社 半導体装置の製造方法
US6432828B2 (en) 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6435947B2 (en) 1998-05-26 2002-08-20 Cabot Microelectronics Corporation CMP polishing pad including a solid catalyst
US6177026B1 (en) 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
GB2384003B (en) * 1998-06-15 2003-09-03 Fujimi Inc Polishing composition
JP3998813B2 (ja) * 1998-06-15 2007-10-31 株式会社フジミインコーポレーテッド 研磨用組成物
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6358853B2 (en) * 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
JP2002528903A (ja) * 1998-10-23 2002-09-03 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 活性剤溶液を含有し、化学機械的に磨くためのスラリーシステム
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
SG73683A1 (en) * 1998-11-24 2000-06-20 Texas Instruments Inc Stabilized slurry compositions
JP2000160139A (ja) * 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6395194B1 (en) * 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP3177973B2 (ja) * 1999-01-28 2001-06-18 日本電気株式会社 半導体装置の製造方法
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6630433B2 (en) * 1999-07-19 2003-10-07 Honeywell International Inc. Composition for chemical mechanical planarization of copper, tantalum and tantalum nitride
US6225223B1 (en) * 1999-08-16 2001-05-01 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6297208B1 (en) * 1999-10-11 2001-10-02 Iron Out, Inc. Rust stain removal formula
KR100343391B1 (ko) * 1999-11-18 2002-08-01 삼성전자 주식회사 화학 및 기계적 연마용 비선택성 슬러리 및 그제조방법과, 이를 이용하여 웨이퍼상의 절연층 내에플러그를 형성하는 방법
WO2001044402A1 (en) * 1999-12-17 2001-06-21 Cabot Microelectronics Corporation Method of polishing or planarizing a substrate
JP4500429B2 (ja) * 1999-12-24 2010-07-14 株式会社トクヤマ バリア膜用研磨剤
JP2004127327A (ja) * 1999-12-27 2004-04-22 Showa Denko Kk 磁気ディスク基板研磨用組成物
US6454820B2 (en) * 2000-02-03 2002-09-24 Kao Corporation Polishing composition
US7675766B2 (en) * 2000-02-11 2010-03-09 Axon Technologies Corporation Microelectric programmable device and methods of forming and programming the same
JP2003530713A (ja) * 2000-04-11 2003-10-14 キャボット マイクロエレクトロニクス コーポレイション 酸化ケイ素の優先除去系
JP3945964B2 (ja) 2000-06-01 2007-07-18 株式会社ルネサステクノロジ 研磨剤、研磨方法及び半導体装置の製造方法
US6524168B2 (en) 2000-06-15 2003-02-25 Rodel Holdings, Inc Composition and method for polishing semiconductors
US6653242B1 (en) * 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
KR100674895B1 (ko) * 2000-07-18 2007-01-26 삼성전자주식회사 산화막 cmp용 슬러리
US6461227B1 (en) 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
WO2002042018A1 (en) * 2000-11-22 2002-05-30 Ingersoll Cm Systems, Inc. Apparatus and method for rolling workpieces
CN1255854C (zh) * 2001-01-16 2006-05-10 卡伯特微电子公司 含有草酸铵的抛光系统及方法
JP2002288821A (ja) * 2001-03-27 2002-10-04 Showa Denko Kk テクスチャリング加工用組成物
WO2002076902A1 (en) * 2001-03-27 2002-10-03 Showa Denko K.K. Composition for texturing process
KR100557600B1 (ko) * 2001-06-29 2006-03-10 주식회사 하이닉스반도체 나이트라이드 cmp용 슬러리
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
JP4954398B2 (ja) * 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
WO2003044123A1 (en) * 2001-11-16 2003-05-30 Ferro Corporation Particles for use in cmp slurries and method for producing them
KR100415617B1 (ko) * 2001-12-06 2004-01-24 엘지.필립스 엘시디 주식회사 에천트와 이를 이용한 금속배선 제조방법 및박막트랜지스터의 제조방법
KR100460312B1 (ko) * 2001-12-10 2004-12-04 제일모직주식회사 금속배선 연마용 슬러리 조성물
KR100474540B1 (ko) * 2002-06-24 2005-03-10 주식회사 하이닉스반도체 반도체소자의 금속배선 콘택플러그 형성방법
US20030136759A1 (en) * 2002-01-18 2003-07-24 Cabot Microelectronics Corp. Microlens array fabrication using CMP
US7132058B2 (en) 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US20030139047A1 (en) * 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US6884729B2 (en) * 2002-02-11 2005-04-26 Cabot Microelectronics Corporation Global planarization method
US6853474B2 (en) * 2002-04-04 2005-02-08 Cabot Microelectronics Corporation Process for fabricating optical switches
DE10227867A1 (de) * 2002-06-22 2004-01-08 Merck Patent Gmbh Zusammensetzung zum Entfernen von Sidewall-Residues
US20040007690A1 (en) * 2002-07-12 2004-01-15 Cabot Microelectronics Corp. Methods for polishing fiber optic connectors
US6893476B2 (en) * 2002-12-09 2005-05-17 Dupont Air Products Nanomaterials Llc Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
US20040144038A1 (en) * 2002-12-09 2004-07-29 Junaid Ahmed Siddiqui Composition and associated method for oxide chemical mechanical planarization
US20040188379A1 (en) * 2003-03-28 2004-09-30 Cabot Microelectronics Corporation Dielectric-in-dielectric damascene process for manufacturing planar waveguides
US20050022456A1 (en) * 2003-07-30 2005-02-03 Babu S. V. Polishing slurry and method for chemical-mechanical polishing of copper
US7186653B2 (en) * 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
WO2005019364A1 (en) * 2003-08-14 2005-03-03 Ekc Technology, Inc. Periodic acid compositions for polishing ruthenium/high k substrates
US6929983B2 (en) 2003-09-30 2005-08-16 Cabot Microelectronics Corporation Method of forming a current controlling device
JP2005138197A (ja) * 2003-11-04 2005-06-02 Fujimi Inc 研磨用組成物及び研磨方法
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7076891B2 (en) * 2003-11-12 2006-07-18 Nike, Inc. Flexible fluid-filled bladder for an article of footwear
JP4974447B2 (ja) * 2003-11-26 2012-07-11 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
US20050108947A1 (en) * 2003-11-26 2005-05-26 Mueller Brian L. Compositions and methods for chemical mechanical polishing silica and silicon nitride
US20050148289A1 (en) * 2004-01-06 2005-07-07 Cabot Microelectronics Corp. Micromachining by chemical mechanical polishing
JP2005244123A (ja) * 2004-02-27 2005-09-08 Fujimi Inc 研磨用組成物
US7524347B2 (en) * 2004-10-28 2009-04-28 Cabot Microelectronics Corporation CMP composition comprising surfactant
CN1854234B (zh) 2005-04-21 2013-03-20 安集微电子(上海)有限公司 抛光浆料及其用途和使用方法
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
US8163049B2 (en) * 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
US7678605B2 (en) * 2007-08-30 2010-03-16 Dupont Air Products Nanomaterials Llc Method for chemical mechanical planarization of chalcogenide materials
CN101451049A (zh) * 2007-11-30 2009-06-10 安集微电子(上海)有限公司 一种化学机械抛光液
US8506831B2 (en) 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
JP2011135089A (ja) * 2011-02-07 2011-07-07 Jsr Corp 化学機械研磨用水系分散体
JP5542750B2 (ja) * 2011-07-04 2014-07-09 Jsr株式会社 化学機械研磨用水系分散体
KR101257336B1 (ko) * 2012-04-13 2013-04-23 유비머트리얼즈주식회사 연마용 슬러리 및 이를 이용한 기판 연마 방법
US9039914B2 (en) 2012-05-23 2015-05-26 Cabot Microelectronics Corporation Polishing composition for nickel-phosphorous-coated memory disks
SG10201503988YA (en) * 2014-05-29 2015-12-30 Applied Materials Inc Reduced titanium undercut in etch process
WO2017155669A1 (en) 2016-03-11 2017-09-14 Fujifilm Planar Solutions, LLC Advanced fluid processing methods and systems
US11781039B2 (en) * 2016-12-26 2023-10-10 Fujimi Incorporated Polishing composition and polishing method
US10982114B2 (en) 2017-10-25 2021-04-20 Saint-Gobain Ceramics & Plastics, Inc. Composition for conducting material removal operations and method for forming same
CN109728158B (zh) * 2017-10-27 2023-07-07 华邦电子股份有限公司 电阻式存储器及其制造方法与化学机械研磨制程
US10858544B2 (en) * 2018-05-24 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Chemical mechanical polishing slurry and chemical mechanical polishing process using the same
JP7450334B2 (ja) * 2018-12-27 2024-03-15 東京応化工業株式会社 エッチング液、及び半導体素子の製造方法
JP2019131814A (ja) * 2019-03-08 2019-08-08 株式会社フジミインコーポレーテッド 研磨用組成物の製造方法
US11597854B2 (en) * 2019-07-16 2023-03-07 Cmc Materials, Inc. Method to increase barrier film removal rate in bulk tungsten slurry

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385682A (en) * 1965-04-29 1968-05-28 Sprague Electric Co Method and reagent for surface polishing
US3565707A (en) * 1969-03-03 1971-02-23 Fmc Corp Metal dissolution
US3843430A (en) * 1972-04-03 1974-10-22 Macdermid Inc Chromate-free bright dip for zinc and cadmium surfaces
US3887403A (en) * 1972-07-05 1975-06-03 Mc Donnell Douglas Corp Process and solution for removing titanium and refractory metals and their alloys from tools
US4345969A (en) * 1981-03-23 1982-08-24 Motorola, Inc. Metal etch solution and method
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4954459A (en) * 1988-05-12 1990-09-04 Advanced Micro Devices, Inc. Method of planarization of topologies in integrated circuit structures
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US5137544A (en) * 1990-04-10 1992-08-11 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5157876A (en) * 1990-04-10 1992-10-27 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5173438A (en) * 1991-02-13 1992-12-22 Micron Technology, Inc. Method of performing a field implant subsequent to field oxide fabrication by utilizing selective tungsten deposition to produce encroachment-free isolation
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5314843A (en) * 1992-03-27 1994-05-24 Micron Technology, Inc. Integrated circuit polishing method
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5389194A (en) * 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5407526A (en) * 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP2600600B2 (ja) * 1993-12-21 1997-04-16 日本電気株式会社 研磨剤とその製法及びそれを用いた半導体装置の製造方法
US5439551A (en) * 1994-03-02 1995-08-08 Micron Technology, Inc. Chemical-mechanical polishing techniques and methods of end point detection in chemical-mechanical polishing processes
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
JPH08116016A (ja) * 1994-10-15 1996-05-07 Toshiba Corp リードフレーム及び半導体装置
US5478436A (en) * 1994-12-27 1995-12-26 Motorola, Inc. Selective cleaning process for fabricating a semiconductor device
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5494849A (en) * 1995-03-23 1996-02-27 Si Bond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator substrates
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US5645736A (en) * 1995-12-29 1997-07-08 Symbios Logic Inc. Method for polishing a wafer
US5647952A (en) * 1996-04-01 1997-07-15 Industrial Technology Research Institute Chemical/mechanical polish (CMP) endpoint method
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5756398A (en) * 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US5770103A (en) * 1997-07-08 1998-06-23 Rodel, Inc. Composition and method for polishing a composite comprising titanium

Also Published As

Publication number Publication date
IL120912A (en) 2002-11-10
AU3138597A (en) 1998-01-05
MY132376A (en) 2007-10-31
TW375660B (en) 1999-12-01
JPH1067986A (ja) 1998-03-10
EP0811666B1 (de) 2003-08-20
EP0811666A2 (de) 1997-12-10
ID17160A (id) 1997-12-04
EP0811666A3 (de) 1998-10-21
ATE247700T1 (de) 2003-09-15
JP3592894B2 (ja) 2004-11-24
WO1997047030A1 (en) 1997-12-11
DE69724187T2 (de) 2004-02-26
IL120912A0 (en) 1997-09-30
US5993686A (en) 1999-11-30

Similar Documents

Publication Publication Date Title
DE69724187D1 (de) Suspension zum chemisch-mechanischen Polieren mit fluorierten Additiven und Verfahren zur Benutzung dieser Suspension
ATE258577T1 (de) Zusammensetzungen und verfahren zum polieren und egalisieren von oberflächen
ATE258576T1 (de) Zusammensetzung und verfahren zum egalisieren von oberflächen
DE60008376D1 (de) Aufschlämmungszusammensetzung und verfahren zum chemisch-mechanischen polieren
EP3210238B1 (de) Kobaltpolierungsbeschleuniger
DE69022805T2 (de) Verfahren und Zusammensetzung zum Polieren.
ATE307859T1 (de) Verfahren zum polieren oder planarisieren eines substrats
DE69318577D1 (de) Kompositionen und verfahren zum polieren und egalisieren von oberflächen
DE69417006T2 (de) Herstellungsverfahren von gesinterten Schleifkörnern und Vorrichtung zu deren Sinterung
BR8600769A (pt) Composicao detergente,aquosa,abrasiva,homogenea e despejavel,apropriada para limpar superficies duras
ATE149366T1 (de) Immoblisierung metallischer verunreinigungen von einem flüssigen in ein festes medium
ATE134553T1 (de) Verfahren zum herstellen von mit extrem harten schleifkörnern belegten schleif- od. dgl. werkzeugen, insbes.zur zahnradfeinbearbeitung und damit erhältliche schleif- od. dgl. werkzeug.
KR900014080A (ko) 금속도장면의 연마방법
HUP0002981A2 (hu) Nem folyékony súrolószer és tisztítási eljárás
JP3599816B2 (ja) 研磨材
RU2082738C1 (ru) Полировальный состав для химико-механического полирования
JPS57185375A (en) Grinding liquid
ES2004429A6 (es) Metodo para la preparacion de un abrasivo y compuesto bucal combinado con el mismo
Reinhold et al. Characteristics in Use of Precious Corundum and Silicon Carbide Abrasive Tools Estimated by Width of Fluctuation of the Tool Life Behavior
PL291363A1 (en) Method of recovering diamond particles from used or damaged abrasive tools
IL142115A0 (en) Fluoride additive containing chemical mechanical polishing slurry and method for use of same
TH32728A (th) สเลอร์รี่ที่ใช้ขัดทางเคมีซึ่งรวมถึงสารเสริมฟลูออไรด์