DE69816219D1 - Reinigungsnachbehandlung - Google Patents

Reinigungsnachbehandlung

Info

Publication number
DE69816219D1
DE69816219D1 DE69816219T DE69816219T DE69816219D1 DE 69816219 D1 DE69816219 D1 DE 69816219D1 DE 69816219 T DE69816219 T DE 69816219T DE 69816219 T DE69816219 T DE 69816219T DE 69816219 D1 DE69816219 D1 DE 69816219D1
Authority
DE
Germany
Prior art keywords
composition
metal
dielectric
chemical
copper surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69816219T
Other languages
English (en)
Other versions
DE69816219T2 (de
Inventor
J Small
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Application granted granted Critical
Publication of DE69816219D1 publication Critical patent/DE69816219D1/de
Publication of DE69816219T2 publication Critical patent/DE69816219T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/18Hydrocarbons
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3254Esters or carbonates thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • C11D2111/16
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
DE69816219T 1997-02-14 1998-02-14 Reinigungsnachbehandlung Expired - Fee Related DE69816219T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/801,911 US5981454A (en) 1993-06-21 1997-02-14 Post clean treatment composition comprising an organic acid and hydroxylamine
US801911 1997-02-14
PCT/US1998/002794 WO1998036045A1 (en) 1997-02-14 1998-02-14 Post clean treatment

Publications (2)

Publication Number Publication Date
DE69816219D1 true DE69816219D1 (de) 2003-08-14
DE69816219T2 DE69816219T2 (de) 2004-04-22

Family

ID=25182341

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69816219T Expired - Fee Related DE69816219T2 (de) 1997-02-14 1998-02-14 Reinigungsnachbehandlung

Country Status (8)

Country Link
US (2) US5981454A (de)
EP (1) EP0909311B1 (de)
JP (1) JP3850039B2 (de)
KR (1) KR100355212B1 (de)
AT (1) ATE244751T1 (de)
DE (1) DE69816219T2 (de)
TW (1) TW396202B (de)
WO (1) WO1998036045A1 (de)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121217A (en) * 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US20040018949A1 (en) * 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate
DE19733391C2 (de) * 1997-08-01 2001-08-16 Siemens Ag Strukturierungsverfahren
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6294027B1 (en) * 1997-10-21 2001-09-25 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6231677B1 (en) 1998-02-27 2001-05-15 Kanto Kagaku Kabushiki Kaisha Photoresist stripping liquid composition
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6468909B1 (en) * 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
US6572453B1 (en) 1998-09-29 2003-06-03 Applied Materials, Inc. Multi-fluid polishing process
GB2342727A (en) * 1998-10-12 2000-04-19 Ekc Technology Ltd Composition to remove resists and tp inhibit titanium corrosion
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
TW467953B (en) * 1998-11-12 2001-12-11 Mitsubishi Gas Chemical Co New detergent and cleaning method of using it
US6878213B1 (en) * 1998-12-07 2005-04-12 Scp Global Technologies, Inc. Process and system for rinsing of semiconductor substrates
WO2000037217A1 (en) * 1998-12-21 2000-06-29 Lam Research Corporation Method for cleaning an abrasive surface
US6103680A (en) * 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
US6387188B1 (en) * 1999-03-03 2002-05-14 Speedfam-Ipec Corporation Pad conditioning for copper-based semiconductor wafers
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US6531414B1 (en) * 1999-05-05 2003-03-11 The United States Of America As Represented By The National Security Agency Method of oxidizing strain-compensated superlattice of group III-V semiconductor
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6328905B1 (en) * 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
EP1218465B1 (de) * 1999-08-13 2005-03-30 Cabot Microelectronics Corporation Poliersystem mit stopmittel und verfahren zu seiner verwendung
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US6395693B1 (en) * 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
US6537381B1 (en) * 1999-09-29 2003-03-25 Lam Research Corporation Method for cleaning and treating a semiconductor wafer after chemical mechanical polishing
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US6347978B1 (en) 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6294470B1 (en) 1999-12-22 2001-09-25 International Business Machines Corporation Slurry-less chemical-mechanical polishing
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
WO2001058643A1 (en) * 2000-02-11 2001-08-16 Nu Tool, Inc. Modified plating solution for plating and planarization and process utilizing same
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
GB0009112D0 (en) * 2000-04-12 2000-05-31 Ekc Technology Ltd Inhibition of titanium corrosion
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
EP1360077A4 (de) 2000-07-10 2009-06-24 Ekc Technology Inc Zusammensetzung zur reinigung von halbleitern von organischen und resten der plasma-ätzung
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6498131B1 (en) 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6762132B1 (en) 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6508953B1 (en) * 2000-10-19 2003-01-21 Ferro Corporation Slurry for chemical-mechanical polishing copper damascene structures
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6653265B2 (en) * 2001-06-20 2003-11-25 Cornell Research Foundation, Inc. Removable marking system
US6485355B1 (en) 2001-06-22 2002-11-26 International Business Machines Corporation Method to increase removal rate of oxide using fixed-abrasive
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
DE10133727A1 (de) * 2001-07-11 2003-01-23 Borchers Gmbh Verwendung von Mischungen spezieller organischer Verbindungen als Hautverhinderungsmittel in lufttrocknenden Lacken
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
KR100434491B1 (ko) * 2001-08-17 2004-06-05 삼성전자주식회사 레지스트 또는 식각 부산물 제거용 조성물 및 이를 이용한레지스트 제거 방법
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6838015B2 (en) 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
KR100546169B1 (ko) * 2001-09-21 2006-01-24 주식회사 하이닉스반도체 포토레지스트 제거용 용액 조성물
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US7468105B2 (en) * 2001-10-16 2008-12-23 Micron Technology, Inc. CMP cleaning composition with microbial inhibitor
JP3667273B2 (ja) * 2001-11-02 2005-07-06 Necエレクトロニクス株式会社 洗浄方法および洗浄液
US6736701B1 (en) * 2001-11-20 2004-05-18 Taiwan Semiconductor Manufacturing Company Eliminate broken line damage of copper after CMP
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US6730592B2 (en) 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US7049237B2 (en) 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP4221191B2 (ja) * 2002-05-16 2009-02-12 関東化学株式会社 Cmp後洗浄液組成物
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20030224958A1 (en) * 2002-05-29 2003-12-04 Andreas Michael T. Solutions for cleaning polished aluminum-containing layers
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
TW559866B (en) * 2002-08-05 2003-11-01 Macronix Int Co Ltd Method of removing ALF defects after pad etching process
AU2002327300A1 (en) * 2002-08-19 2004-03-03 Merk-Kanto Advanced Chemical Ltd. Remover solution
TWI295950B (en) * 2002-10-03 2008-04-21 Applied Materials Inc Method for reducing delamination during chemical mechanical polishing
ES2310677T3 (es) * 2002-10-22 2009-01-16 Ekc Technology, Inc. Composiciones acuosas a base de acido fosforico para la limpieza de dispositivos semiconductores.
JP2004181452A (ja) * 2002-11-30 2004-07-02 Matsushita Electric Ind Co Ltd 洗浄装置、洗浄方法および洗浄剤
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
JP2007531992A (ja) * 2004-03-30 2007-11-08 ビーエーエスエフ アクチェンゲゼルシャフト エッチング残渣を除去するための水溶液
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
KR100638974B1 (ko) * 2004-12-29 2006-10-26 동부일렉트로닉스 주식회사 반도체 소자의 알루미늄 합금 라인 세정 방법
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
KR101331747B1 (ko) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
US7476620B2 (en) * 2005-03-25 2009-01-13 Dupont Air Products Nanomaterials Llc Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
US7362116B1 (en) * 2005-11-09 2008-04-22 Electroglas, Inc. Method for probing impact sensitive and thin layered substrate
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
EP2082024A4 (de) * 2006-09-25 2010-11-17 Advanced Tech Materials Zusammensetzungen und verfahren zur entfernung von fotolack bei einer wafer-nacharbeit
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
KR101561708B1 (ko) * 2007-05-17 2015-10-19 인티그리스, 인코포레이티드 Cmp후 세정 제제용 신규한 항산화제
TWI446425B (zh) * 2007-08-29 2014-07-21 Applied Materials Inc 高生產量及低表面形貌的銅化學機械研磨製程
WO2009032322A1 (en) * 2007-09-06 2009-03-12 Ekc Technology, Inc. Compositions and method for treating a copper surface
TWI490191B (zh) * 2007-10-29 2015-07-01 Ekc Technology Inc 含醯胺肟化合物之半導體加工組成物
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
US20090137191A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
US20090130849A1 (en) * 2007-10-29 2009-05-21 Wai Mun Lee Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
US8062429B2 (en) * 2007-10-29 2011-11-22 Ekc Technology, Inc. Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US8211846B2 (en) * 2007-12-14 2012-07-03 Lam Research Group Materials for particle removal by single-phase and two-phase media
JP5873718B2 (ja) 2008-10-21 2016-03-01 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
US7838483B2 (en) * 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
KR20130028059A (ko) * 2010-03-05 2013-03-18 램 리써치 코포레이션 다마신 프로세스들의 측벽 폴리머에 대한 세정 용액
US8602842B2 (en) * 2010-03-12 2013-12-10 Wayne O. Duescher Three-point fixed-spindle floating-platen abrasive system
US8740668B2 (en) * 2010-03-12 2014-06-03 Wayne O. Duescher Three-point spindle-supported floating abrasive platen
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
US8500515B2 (en) * 2010-03-12 2013-08-06 Wayne O. Duescher Fixed-spindle and floating-platen abrasive system using spherical mounts
JP5508130B2 (ja) 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
KR102064487B1 (ko) * 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
EP2699361A2 (de) * 2011-01-28 2014-02-26 Emery Oleochemicals LLC Verfahren zum reinigen einer vorrichtung und reinigungszusammensetzungen
MY169469A (en) 2011-01-29 2019-04-12 Emery Oleochemicals Llc Method of purifying a dicarboxylic acid
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US8859428B2 (en) * 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
CN104902843B (zh) 2012-11-14 2020-01-14 赛福马有限责任公司 用于种植牙组件的处理构件以及处理系统
DE102013201883A1 (de) * 2013-02-05 2014-08-07 Urs Brodbeck Behandlungsflüssigkeit zur Reinigung eines Implantat-Teils
US9105578B2 (en) * 2013-03-12 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9263275B2 (en) 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
KR102134577B1 (ko) * 2013-11-12 2020-07-16 주식회사 동진쎄미켐 화학적 기계적 연마 후 세정용 조성물
CN105529284A (zh) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 一种抛光及清洗晶圆的半导体设备及方法
JP6800411B2 (ja) 2015-01-13 2020-12-16 シーエムシー マテリアルズ,インコーポレイティド 洗浄用組成物及びcmp後の半導体ウエハーの洗浄方法
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
CN108822670A (zh) * 2018-05-19 2018-11-16 保定维特瑞交通设施工程有限责任公司 一种pcb电路板焊接超声波防静电在线清洗材料及其制备方法
SG11202111994PA (en) * 2019-05-01 2021-11-29 Fujifilm Electronic Materials U S A Inc Etching compositions
CN111450714A (zh) * 2020-04-17 2020-07-28 蓝星(杭州)膜工业有限公司 一种多元缓冲体系制备复合纳滤膜的方法
US20240076506A1 (en) * 2022-09-02 2024-03-07 KopMan LLC Method and system for treating equipment surface

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861906A (en) * 1956-10-15 1958-11-25 Kelsey Hayes Co Metal treatment
US3085915A (en) * 1958-03-13 1963-04-16 Siemens Ag Method of removing rust from ironcontaining materials, particularly for the cleaning of boiler plants
CH422470A (fr) * 1963-08-10 1966-10-15 Borg Holding Ag Procédé de décapage et de nettoyage d'ouvrages au moins en partie métalliques, notamment d'installations thermiques
ZA656244B (de) * 1964-11-27
US3702427A (en) * 1971-02-22 1972-11-07 Fairchild Camera Instr Co Electromigration resistant metallization for integrated circuits, structure and process
DE2154234A1 (de) * 1971-10-30 1973-05-03 Licentia Gmbh Verfahren zum entfernen von elektrisch aktiven verunreinigungen
US3887446A (en) * 1974-07-26 1975-06-03 Us Navy Electrochemical preparation of metallic tellurides
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
GB1573206A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Co Method of trating surfaces of intermediate products obtained in the manufacture of semiconductor devices
GB1519105A (en) * 1975-12-02 1978-07-26 Fuji Photo Film Co Ltd Treating of photographic processing solutions
JPS5479131A (en) * 1977-12-07 1979-06-23 Okuno Chem Ind Co Electrolytic bath for removing electrodeposited metal on stainless steel substrate
US4227941A (en) * 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4363741A (en) * 1980-12-19 1982-12-14 Borden, Inc. Automotive cooling system cleaner
JPS58147742A (ja) * 1982-02-25 1983-09-02 Konishiroku Photo Ind Co Ltd ハロゲン化銀カラ−写真感光材料
US4569728A (en) * 1984-11-01 1986-02-11 The United States Of America As Represented By The Secretary Of The Air Force Selective anodic oxidation of semiconductors for pattern generation
JPS62250189A (ja) * 1986-04-21 1987-10-31 Kurita Water Ind Ltd 化学洗浄剤
US5236565A (en) * 1987-04-11 1993-08-17 Metallgesellschaft Aktiengesellschaft Process of phosphating before electroimmersion painting
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
JPH0238498A (ja) * 1988-07-28 1990-02-07 Aiko Mizunoya 表面洗浄剤
US5143592A (en) * 1990-06-01 1992-09-01 Olin Corporation Process for preparing nonconductive substrates
US5078801A (en) * 1990-08-14 1992-01-07 Intel Corporation Post-polish cleaning of oxidized substrates by reverse colloidation
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5556482A (en) * 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
JP3048207B2 (ja) * 1992-07-09 2000-06-05 イー.ケー.シー.テクノロジー.インコーポレイテッド 還元及び酸化電位を有する求核アミン化合物を含む洗浄剤組成物およびこれを使用した基板の洗浄方法
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
FR2722511B1 (fr) * 1994-07-15 1999-04-02 Ontrak Systems Inc Procede pour enlever les metaux dans un dispositif de recurage
US5885901A (en) * 1994-08-11 1999-03-23 Texas Instruments Incorporated Rinsing solution after resist stripping process and method for manufacturing semiconductor device
US5597420A (en) * 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5662769A (en) * 1995-02-21 1997-09-02 Advanced Micro Devices, Inc. Chemical solutions for removing metal-compound contaminants from wafers after CMP and the method of wafer cleaning
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US5705472A (en) * 1995-07-18 1998-01-06 Petroferm Inc. Neutral aqueous cleaning composition
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP

Also Published As

Publication number Publication date
EP0909311A1 (de) 1999-04-21
WO1998036045A1 (en) 1998-08-20
EP0909311A4 (de) 2001-02-28
DE69816219T2 (de) 2004-04-22
ATE244751T1 (de) 2003-07-15
JP3850039B2 (ja) 2006-11-29
TW396202B (en) 2000-07-01
JP2001500922A (ja) 2001-01-23
KR20000064914A (ko) 2000-11-06
US6156661A (en) 2000-12-05
EP0909311B1 (de) 2003-07-09
KR100355212B1 (ko) 2002-12-26
US5981454A (en) 1999-11-09

Similar Documents

Publication Publication Date Title
DE69816219D1 (de) Reinigungsnachbehandlung
DE60222532D1 (de) Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren
DE60141629D1 (de) Zusammensetzung zur reinigung nach einer chemisch-mechanischen planarisierung
TW476777B (en) Abrasive liquid for metal and method for polishing
DK1218104T3 (da) Fremgangsmåde og anlæg til fjernelse af metalkationer fra en væske ved hjælp af på en bærer podede polyazacycloalkanharpikser
ATE340244T1 (de) Nichtkorrosive reinigungszusammensetzung zur entfernung von plasmaätzrückständen
WO2000000560A3 (en) Chemical mechanical polishing slurry and method for using same
ATE233431T1 (de) Verfahren und lösung zur reinigung eines substrats von einer metallkontamination
MY149922A (en) Process for removing contaminant from a surface and composition useful therefor
SI1649079T1 (sl) Postopek za inhibiranje korozije z uporabo kvaternih amonijevih karbonatov
EP1481741A3 (de) Verfahren und Vorrichtung zum Behandeln eines Werkstückes wie eines Halbleiterwafers
ATE253534T1 (de) Alkalibehandlung von rostfreiem stahl
SG46616A1 (en) Process for metallizing non-conducting surfaces and the use of hydroxymethylsulfinic acid in that process
CS184191A3 (en) Bright dip and process for chemical polishing of stainless steel surfaces
PT1576056E (pt) Preparações auto-emulsificantes, altamente concentradas, contendo organopolissiloxanos e compostos de alquilamónio e a sua utilização em sistemas aquosos
DE69106529T2 (de) Gemisch und verfahren zur behandlung verzinnter stahloberflächen.
US5707421A (en) Process for the inhibition of leaching of lead from brass alloy plumbing fixtures
MX9605337A (es) Metodo para remover ion de cloruro o un compuesto del mismo, de una superficie contaminada con el mismo.
GR3001562T3 (en) Corrosion inhibition
CA2286731A1 (en) Hypochlorite bleaching compositions and delivery systems therefor
DE60215321D1 (de) Verfahren zum entfernen von haftendem organischem material
ATE33405T1 (de) Mittel zur herabsetzung von fluessigkeitshaftmengen an metallischen oberflaechen.
BR9106052A (pt) Banhos e processo para o polimento quimico de superficies de aco inoxidavel
ATE357738T1 (de) Verfahren und vorrichtung zur reinigung von substratoberflächen
MD783F1 (en) Steel pickling solution

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee