DE69816219T2 - Reinigungsnachbehandlung - Google Patents

Reinigungsnachbehandlung Download PDF

Info

Publication number
DE69816219T2
DE69816219T2 DE69816219T DE69816219T DE69816219T2 DE 69816219 T2 DE69816219 T2 DE 69816219T2 DE 69816219 T DE69816219 T DE 69816219T DE 69816219 T DE69816219 T DE 69816219T DE 69816219 T2 DE69816219 T2 DE 69816219T2
Authority
DE
Germany
Prior art keywords
metal
composition
post
chemical
cleaning treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69816219T
Other languages
English (en)
Other versions
DE69816219D1 (de
Inventor
J. Robert SMALL
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of DE69816219D1 publication Critical patent/DE69816219D1/de
Application granted granted Critical
Publication of DE69816219T2 publication Critical patent/DE69816219T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/18Hydrocarbons
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D9/00Chemical paint or ink removers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3254Esters or carbonates thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • C11D2111/16
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Description

  • EINLEITUNG
  • Technisches Gebiet
  • Die National Technology Roadmap für die Halbleiter-Industrie (1994) gibt an, dass die gegenwärtigen Computerchips mit Featuregrößen von 0,35 μm 2001 auf eine Featuregröße von 0,18 μm verringert sein werden. Der DRAM-Chip wird einen Speicher von 1 Gigabit besitzen und eine CPU (Zentraleinheit) wird 13 Millionen Transistoren/cm2 besitzen (gegenwärtig enthalten sie nur 4 Millionen). Die Anzahl an Metallschichten (die "Drähte") wird von den gegenwärtigen 2–3 auf 5–6 ansteigen, und die Betriebsfrequenz, welche gegenwärtig 200 MHz ist, wird auf 500 MHz ansteigen. Dies wird das Bedürfnis für eine dreidimensionale Konstruktion auf dem Wafer-Chip erhöhen, um die Verzögerungen der elektrischen Signale zu verringern. Gegenwärtig sind es ungefähr 840 m an "Drähten"/Chip, 2001 wird (ohne wesentliche Designänderungen) ein typischer Chip aber 10000 m besitzen. Diese Drahtlänge würde die Geschwindigkeitseigenschaften des Chips stark beeinträchtigen.
  • Es müssen neuere Verfahren entwickelt werden, um die Wafer zu ätzen, planarisieren und nach jedem dieser kritischen Schritte zu reinigen. Diese Erfindung bezieht sich auf ein neues Verfahren zur Behandlung (Herstellung) eines Wafers nach einem nasschemischen Verfahrensschritt (Nachätzrückstandreinigungsschritt PER = post etch residue cleaning step). Insbesondere bezieht sich diese Erfindung auf die Entfernung restlicher Amine bei einer Aminbasierenden nasschemischen Behandlung. Gewöhnlich wird Amin-basierende Chemie verwendet, um Nachätzreste zu entfernen ("Polymerreste", "Gitter", Vails, etc.). Diese chemischen Zusammensetzungen sind auch bei einer Nach-CMP-Reinigung und zum Polieren von Kupferwafern bei der CMP-Planarisierung anwendbar.
  • An manchem Punkt des nasschemischen Behandeuns (zum Metallätzen oder bei der Nachätzrückstandsentfernung etc.) eines Wafers in der Halbleiterindustrie (oder von Flachbildschirmen, mikroelektromechanischen Vorrichtungen etc.) muss das Material einen Spülschritt oder einen Nachreinigungsbehandlungsschritt "durchlaufen". Solche Spülschritte sind so gestaltet, dass die in dem vorhergehenden Schritt aufgebrachten Chemikalien entfernt werden, und dass jegliche weiteren chemischen Auswirkungen durch die vorherigen Chemikalien gestoppt werden. Diese Lösungen sind auch so gestaltet, dass sie Feststoffpartikel auf den Substratoberflächen verringern. Spülungen stellen im Allgemeinen ein Zweiteilesystem dar, d. h. ein Bad, das eine "milde" organische Chemikalie enthält (milde Lösungsmittel verdünnen die vorherige Amin-basierende Chemikalie nur und können Isopropylalkohol (IPA) oder N-Methylpyrrolidon (NMP) sein), gefolgt von einer Wasser-Spülung und schließlich einem Trocknungsschritt, welcher aus einer Dampf-IPA-Trocknung bestehen kann. Bei manchen Anlagen wird das Produkt direkt von der primären chemischen Behandlung in entweder IPA oder Wasser eingebracht. In manchen Fällen ist das Wasserbad mit CO2 gesättigt, um den pH-Wert des Bades auf einen leicht niedrigeren pH-Wert (sauer) einzustellen.
  • Als Beispiel der Ergebnisse, die mit einem Spülsystem des Standes der Technik erhalten werden, ist 1 ein Diagramm der Ergebnisse, die mit einer Computersimulation von Sandia Laboratories unter Verwendung von Fluidströmungsgleichungen für einen Schnell-Dump-Spüler (QDR = Quick Dump Rinser), in welchem ein Wasserspray auf die Wafer-Oberflächen gerichtet wird, welche mit einer Amin-enthaltenden nasschemischen Lösung behandelt worden war, erhalten wurden, wobei das Wasser von der Spülung in einem Bad, in welchem die Wafer enthalten sind, gesammelt wird. Der Boden des Bades wird dann geöffnet, um das gesammelte Wasser schnell auszukippen. Wie gezeigt ist, verbleiben anstelle der theoretischen niedrigen auf den Wafern verbleibenden Aminmengen wie es durch Kurve 4 gezeigt wird, höhere Aminmengen, wie es durch die typische Kurve 6 oder die Kurve 8 des ungünstigsten Falls gezeigt wird.
  • Mehrere der gegenwärtigen Spüllösungsmittel weisen einen niedrigen Flammpunkt (der Flammpunkt von IPA beträgt 22°C, geschlossener Tiegel, der von NMP beträgt 86°C, geschlossener Tiegel) und/oder SARA-Titel III-Protokolle auf. Ein SARA-Titel III-Protokoll bedeutet, dass die Chemikalie kontrolliert und die Mengen jährlich der Regierung mitgeteilt werden müssen. Isopropylalkohol und NMP sind in der Liste von mehreren hundert Verbindungen enthalten.
  • Relevante Literatur
  • "Metal Corrosion in Wet Resist-Stripping Process", Pai, P., Ting, C., Lee, W.;
    Kuroda, R. SEMI CMP User & Suppler Workshop; October 18–19, Austin, Texas.
    Jairath R. et al.; Proc. of Mat. Research Soc., Spring Meeting, Vol.337, S.121. Fruitman, C. et al.; VMIC 1995, Santa Clara, California.
    Scherber, D.; Planarization Technology, CMP; July 19, San Francisco, California.
    Semiconductor Pure Water and Chemicals Seminar; March 4, 1996; Santa Clara, California.
    Kaufman, F.; J. Electrochem. Soc. 138(11), S. 3460, 1991.
    Allied Signal: "Hydroxylamine Redox Properties."
  • Die Erfindung löst die folgenden mit dem Stand der Technik verbundenen Probleme:
    • – Sie verringert oder eliminiert Korrosionsprobleme
    • – Sie eliminiert die Verwendung entzündlicher Lösungsmittel
    • – Sie eliminiert SARA-Titel III-Chemikalien
    • – Sie verringert mobile Ionen und Übergangsmetallionen
  • Die Nachreinigungsbehandlungslösung dieser Erfindung weist die folgenden Merkmale auf:
    • – auf Wasser basierend
    • – weist vorzugsweise einen pH-Wert zwischen 4,2 bis 4,4 auf
    • – eine hohe Neutralisationskapazität
    • – so gestaltet, dass sie mobile und Übergangsmetall"Ausschuss"-Ionen kontrolliert
    • – mögliche Reparatur von Metalloxid-Schäden
  • Die vorliegende Erfindung stellt ein Verfahren zur Entfernung von chemischen Resten von metallischen oder dielektrischen Oberflächen, wobei die chemischen Reste in einem vorhergehenden Verfahrensschritt aufgebracht worden waren, und zum Stoppen weiterer chemischer Wirkungen, die von den chemischen Resten ausgehen, bereit, wobei das Verfahren das Inkontaktbringen des Metalls oder der dielektrischen Oberfläche mit einer Zusammensetzung umfasst, wobei die Zusammensetzung eine wässrige Lösung umfasst, die einen pN-Wert zwischen 3,5 und ungefähr 7 aufweist und
    • (a) eine monofunktionelle, difunktionelle oder trifunktionelle organische Säure;
    • (b) eine puffernde Menge Hydroxylamin enthält.
  • Das Verfahren gemäß der Erfindung zur Entfernung von chemischen Resten von einer metallischen oder dielektrischen Oberfläche umfasst das Inkontaktbringen des Metalls oder der dielektrischen Oberfläche mit der obigen Zusammensetzung für eine Zeitdauer, die ausreicht, um die chemischen Reste zu entfernen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist ein Diagramm, das die Ergebnisse zeigt, welche mit einem Verfahren des Standes der Technik erhalten wurden.
  • 2 ist ein Flussdiagramm, welches eine typische Verfahrenssequenz zeigt, in welcher die Nachreinigungsbehandlung dieser Erfindung vorteilhafterweise eingesetzt wird.
  • 3 ist ein Diagramm, bei welchem die Korrosionsrate eines Al-Metalls auf einem Wafer gegen die Menge eines Amins, das in einem Wasserspülsystem gelöst ist, auftragen ist.
  • 4 ist ein Pourbaix-Diagramm für Al.
  • Die 5A bis 5C sind Rasterelektronenmikroskop-Aufnahmen (SEM) vergleichbarer Ergebnisse, die mit und ohne die Verwendung der Nachreinigungsbehandlung dieser Erfindung erhalten wurden.
  • Die 6-8 sind Balkendiagramme, die die Ergebnisse zeigen, welche mit der Erfindung erhalten wurden.
  • BESCHREIBUNG SPEZIFISCHER AUSFÜHRUNGSFORMEN
  • Diese Erfindung betrifft ein Verfahren, das eine neue Reihe von Chemikalien involviert (Nachreinigungsbehandlungslösungen), welche zur Behandlung von Substraten gestaltet sind. Die Nachreinigungsbehandlungen weisen sechs wichtige Merkmale auf:
    • 1. Neutralisieren wesentlicher Mengen von Hydroxylamin-basierenden, Amin-basierenden und Alkanolamin-basierenden Chemikalien.
    • 2. Sie können bei einer Nach-CMP-Reinigung verwendet werden, um chemische Additive und Aufschlämmungspartikel von Wafer-Oberflächen zu entfernen.
    • 3. Sie sind wässrige Lösungen, das bedeutet, dass sie keinen Flammpunkt besitzen.
    • 4. Die Bestandteile sind nicht auf den SARA-Titel III-Listen.
    • 5. Sie verringern die Menge mobiler Ionen und "Ausschuss"-Übergangsmetallionen, die auf der Oberfläche des Wafers absorbiert sind.
    • 6. Es ist eine milde Oxidationslösung, die so gestaltet ist, dass sie Schädigungen auf den Metalloxidfilmen nach dem Amin-Chemikalien-Schritt "reparieren" kann.
    • 7. Sie verhindern die Bildung eines Amin-Präzipitats, wie es bei IPA-Spülungen vorkommen kann.
  • In Bezug auf die Zeichnungen, insbesondere auf 2, ist dort eine typische Verfahrenssequenz der Erfindung gezeigt. Nach einem Ätzschritt 10 werden ein Veraschungsschritt 12, ein Nasschemieschritt 14 oder sowohl ein Veraschungsschritt 12 als auch ein Nasschemieschritt 14 verwendet, um Photoresist- und Ätzreste zu entfernen. Gemäß dem Stand der Technik wird eine carbonisierte Wasser-Spülung 16, eine Isopropylalkohol-Spülung 18 oder eine N-Methylpyrrolidon-Spülung 20 verwendet, um Trümmer und jegliche verbleibenden Nasschemikalien von dem geätzten Substrat zu entfernen. Gemäß der Erfindung wird die Nachreinigungsbehandlungslösungsspülung 22 anstelle der Spülungen 16, 18 oder 20 verwendet. Eine DI-Wasser-Spülung 24 vervollständigt die Verfahrenssequenz, die in 2 gezeigt ist.
  • Hintergrund
  • Nachätzrückstandschemikalien-Neutralisierung
  • Ein wichtiges Merkmal der Nachreinigungsbehandlungschemie ist ihre Fähigkeit, Amineigenschaften, welche von dem Bad mit den Wafern und der Wanne ausgeübt (ausgetragen (drag out)) werden, schnell zu neutralisieren. Es ist klar, dass niedrige Konzentrationen (3–20%) an Aminen in Wasser-Spülungen eine Korrosion von Metallstrukturen, insbesondere Al-Metallstrukturen, verursachen können (siehe 3). Der primäre Grund ist, dass das Amin mit Wasser reagiert, um Hydroxidanionen zu bilden: R-NH2 + H2O → R-NH3 + + OH
  • Die Hydroxylgruppen greifen dann die Metalloberfläche oder die Korngrenzen an und "ätzen" die Strukturen.
  • Ein möglicher Mechanismus für diesen Angriff ist, dass Al2O3, welches ein amphoteres Material ist, durch Säuren mit einem pH-Wert < 4 oder Basen mit einem pH-Wert > 10 entfernt werden kann: Al + 3OH + H2O → Al(OH)4 + H2
  • 3 veranschaulicht die Korrosionsrate von Al-Metall auf einem Wafer, aufgetragen gegen die Menge von Aminen, die in einem Wasserspülsystem gelöst sind. Das Diagramm zeigt deutlich, dass sehr kleine Mengen von Aminen sehr korrosiv gegenüber dem Metall sind.
  • Die Verwendung einer sauren Spüllösung, die aus Schwefelsäure oder Salpetersäure (Ka > 1) besteht, würde die Amine neutralisieren, aber solange der pH-Wert nicht vorsichtig auf oberhalb von 4 eingestellt wird, werden viele Metalle leicht korrodieren (siehe 4, Pourbaix Diagramm für Aluminium). Es könnte sehr schwierig sein, die chemische Aktivität der Nachreinigungsbehandlungsspülung mit solchen Säuren während ihrer Haltbarkeit zu kontrollieren.
  • Mehrere Mineralsäuren, Schwefelsäure und Salpetersäure werden verwendet, um Metalloxidreste vor Beschichtungsverfahren zu ätzen oder zu entfernen, oder um Kesselstein von Kesselrohren zu entfernen. Viele organische Säuren (Zitronensäure, Glukonsäure, Essigsäure) weisen pH-Werte auf, die niedrig genug sind (1,5 bis 2,3), sodass sie zur Entfernung von Metalloxiden oder Kesselstein verwendet werden können. Es bestehen viele kommerzielle Formulierungen, die verschiedene Arten von Säuren verwenden, um Metallstrukturen "abzubeizen". Diese Prozeduren wären schädlich für Verfahren in der Halbleiterindustrie.
  • Wie diese Erfindung zeigen wird, sind die Nachreinigungsbehandlungslösungen nicht dazu gestaltet, um Metalloxide zu entfernen, sondern um die Oxidschicht zu erhalten. Daher muss der pH-Wert der Lösung so modifiziert sein, dass nur Amin-Verunreinigungen neutralisiert werden, ohne dass die Metalloxid-Beschichtungen zerstört werden.
  • Isopropylalkohol-Spüllösungen sind entzündlich, und die Chemikalie ist auf der SARA-Titel III-Liste. Die carbonisierten Wasserspüllösungen besitzen wegen der begrenzten CO2-Löslichkeit in Wasser nur eine begrenzte Neutralisationskapazität.
  • Nach-Reinigungsverfahren bei chemisch-mechanischer Planarisierung
  • Sowohl die interdielektrischen Schichten als auch die Metallpolierverfahren für chemisch-mechanische Planarisierungs-(CMP)-Verfahren müssen schließlich einen Endreinigungsschritt durchlaufen, um Spuren von Aufschlämmung und der Chemikalien zu entfernen. Obwohl das Verfahren einfach zu sein scheint, d. h. ein Bürstenschrubben- und ein Spülzyklus, wird ein beträchtlicher Aufwand betrieben, um zu bestimmen, ob das Verfahren entweder ein Bürsten von einer Seite, beiden Seiten, ein Einzelwafer- oder Chargenbehandeln, Sprühgeräte oder sogar Tauchtanks beinhalten sollte. Kürzlich beurteilte eine Ingenieurgruppe, die mit Nachreinigungs-CMP arbeitet, die Wafer-Sauberkeit, (Aufschlämmungs- und Block(pad)partikel- und metallische Kontamination) als das wichtigste Anliegen bei dem Nachreinigungsschritt. Die Verfahrens-Zuverlässigkeit und die Defektmesstechnik waren die zwei anderen wichtigen Anliegen.
  • Die Menge restlicher Partikel muss < 0,05 Partikel/cm2 sein, und 90% dieser Partikel müssen eine Größe von kleiner als 0,2 μm haben. Linienbreiten von 0,35 μm werden die Entfernung von Partikeln bis 0,035 μm oder weniger erfordern. Eine unvollständige Partikelentfernung wird die Waferausbeute herabsetzen. Auch niedrige Grade an Defekten (Kratzer) und eine annehmbare Planarität sind sehr wichtig.
  • Die meisten Betriebe haben ihre eigene interne Technologie für ihre Nachreinigungs-CMP-Schritte entwickelt. Die meisten dieser "Chemikalien" beinhalten DI-Wasser mit entweder hinzugefügtem Ammoniumhydroxid oder HF, während manche Firmen die Standardschritte RCA SC-1 (NH4OH : H2O2 : H2O) und SC-2 (HCl : H2O2 : H2O) verwenden, die gewöhnlich in dem Front-End-Verfahren verwendet werden.
  • Es bestehen fünf Mechanismen zur Entfernung von Verunreinigungen (Partikel und/oder Ionen) von den Wafer-Oberflächen:
    • – Physikalische Desorption durch Lösungsmittel: Entfernung einer kleinen Menge an stark absorbiertem Material mit einem großen Volumen an schwach absorbiertem Lösungsmittel (Veränderung der Wechselwirkung der Oberflächenladungen)
    • – Veränderung der Oberflächenladung mit entweder Säuren oder Basen: Die Si-OH-Gruppe kann in Säure protoniert (positiv gemacht) werden oder mit Basen durch Entfernung des Protons negativ gemacht werden.
    • – Ionenkomplexierung: Entfernung von adsorbierten Metallionen durch Zugabe von Säure (d. h. Ionenaustausch).
    • – Oxidation oder Abbau von Verunreinigungen: Oxidation von Metallen, organischen Materialien oder der Oberfläche von Aufschlämmungspartikeln wird die chemischen Kräfte zwischen den Verunreinigungen und der Substratoberfläche verändern. Die chemische Reaktion kann entweder durch Redox-Chemie oder durch freie Radikale erfolgen.
    • – Ätzen der Oberfläche: Die Verunreinigung und eine bestimmte Dicke der Substratoberfläche wird aufgelöst.
  • Siliciumoxidchemie
  • Der Mechanismus des dielektrischen Polierens wird noch entwickelt, aber das Polierverfahren scheint zwei gleichlaufende Verfahren zu beinhalten: ein mechanisches Verfahren, das die Verformung der Oberfläche involviert und der chemische Angriff von Hydroxid (OH), um Silanolbindungen zu bilden.
  • Figure 00110001
    Tabelle I
  • In einer Aufschlämmung (kolloidale Suspension) ist der pH-Wert wichtig und für das Siliciumoxidsystem muss er im Bereich von 10 bis 10,5 liegen. Gegenwärtige CMP-Verwender verwenden Siliciumoxid-basierende Aufschlämmungen, welche mit Natriumhydroxid "gepuffert" wurden, die jetzt aber mit Kalium- oder Ammoniumhydroxidlösungen formuliert werden. Die Ätzraten können im Bereich von 1700 Å/min sein.
  • Wenn der pH-Wert zu hoch ist, können die mehrkernigen Spezies anfangen, auf unvorhersehbare Weise auszufallen. Es besteht auch die Möglichkeit eines Oxidationsprozesses unter Bildung von SiO-Si-Bindungen, Gleichung 4.
  • Es bestehen andere wichtige Merkmale der Siliciumoberfläche, welche die Ätzraten und die letztlichen Oberflächenbedingungen beeinflussen; (Metallkon tamination und mögliche Mikrokratzer). Wie oben erwähnt, sind auf einer typischen Siliciumoberfläche unter neutralen oder basischen Bedingungen -OH-Gruppen (die Siliciumobertläche ist damit bedeckt). Die Siliciumoberfläche ist hydrophil (die Oberfläche ist "benetzbar"). Diese Gruppen aktivieren die Oberfläche zu einer Anzahl möglicher chemischer oder Physioabsorptions-Erscheinungen. Die Si-ON-Gruppen besitzen eine schwach saure Wirkung, welche die Bildung von Salzen und den Austausch des Protons (H+) gegen verschiedene Metalle erlaubt (ähnlich zu den Ionenaustauschharzen). Diese SiO- und Si-OH-Gruppen können auch als Liganden zur Komplexierung von Al, Fe, Cu, Sn und Ca dienen. Natürlich ist die Oberfläche sehr dipolar und somit können elektrostatische Ladungen akkumulieren oder verloren gehen, abhängig von dem pN-Wert, der Ionenkonzentration oder Ladung der Gesamtlösung. Diese akkumulierte Oberflächenladung kann als Zeta-Potential gemessen werden.
  • Wenn die Silicium (Si)-Fläche unterhalb der Oxidschicht aufgrund eines zu aggressiven Polierverfahrens freigesetzt wird, könnte dies zu elektrochemischen Problemen führen, da Silicium ein niedriges Redox-Potential besitzt, was dazu führen kann, dass Cu, Au, Pt, Pd, Hg und Ag auf die Siliciumfläche "plattiert" werden. Auch das Aussetzen an Licht wird die Redox-Reaktion von Kupfer bewirken. Das Licht wird in dem Halbleiter-Si-Material Elektronen "erzeugen", das dann das Kupferion zu Cu0 reduziert.
  • CMP-Metallchemie
  • Es wurde auch festgestellt, dass diese Nachreinigungsbehandlungslösungen verwendet werden können, um eine CMP-Planarisierung von Kupfermetallfilmen durchzuführen. Diese Art von Polieren beruht auf der Oxidation der Metalloberfläche und dem nachfolgenden Abrieb der Oxidfläche mit einer Emulsionsaufschlämmung. In diesem Prozess ist der pH-Wert der Chemikalie wichtig. Die allgemeinen Gleichungen sind (M = Metallatom): M0 → Mn+ n e Mn+ + [Ox]y → Mox oder [M(OH)x
  • Bei idealen Bedingungen wird die Rate der Metalloxid(MOx)-Bildung (Vf) gleich der Rate des Oxidpolierens (Vp) sein, (Vf = Vp). Wenn der pH-Wert zu niedrig ist (sauer), dann kann die Chemikalie schnell in das Oxid eindringen und das Metall angreifen (Vf < Vp), sodass das Metall ohne jegliche weitere Oxidbildung freigelegt wird. Dies bedeutet, dass alle Metallflächen an höheren Stellen und in Tälern zu gleicher Rate entfernt werden. Eine Planarisierung der Oberfläche wird nicht erreicht. Dies könnte dazu führen, dass Metallstecker (metal plug connectors) unterhalb der Planarisierungsoberfläche eingelassen werden ("dishing"), was schließlich zu einer schlechten Stufenbedeckung und zu einem möglichen schlechten Kontaktwiderstand führen wird.
  • Wenn der pH-Wert zu hoch ist (basisch), dann kann die Oxidschicht undurchdringlich für Chemikalien sein und dass Metall wird passiv, (Vf > Vp) und die Metallpolierungsrate wird langsam. Das selektive Metallpolieren zu Oxid reicht im Allgemeinen von 20 bis 100 : 1, abhängig von der Metallart. Wolfram-Metall sollte Selektivitäten > 50 : 1 für Metall zu Oxid besitzen, Kupfer könnte eine Selektivität von > 140 : 1 Metall zu Oxid besitzen. Die Ätzraten können bis zu 7000 Å/min sein. Die chemische Diffusionsrate und die Art der Metalloxidfläche sind wichtig für ein erfolgreiches Planarisierungsverfahren. Ein detaillierter Mechanismus wurde von Kaufman, F.; J. Electrochem. Soc.; 138 (11), S.3460, 1991 vorgeschlagen.
  • Kupferfilme stellen ein schwieriges Problem dar, da es ein weiches Metall ist und leicht durch Aufschlämmungspartikel beschädigt wird. Die chemischen Additive können sehr wichtig sein, um diese Unvollkommenheiten wegzuätzen.
  • Arten von Chemikalien
  • In diesen Nachreinigungsbehandlungsformulierungen können eine Vielzahl von Chemikalien verwendet werden.
  • Säuren
  • Es gibt eine Vielzahl organischer Chemikalien, die bei den Nachreinigungsbehandlungschemikalien verwendet werden können. Die Art der organischen Säure ist sehr wichtig. Manche möglichen Säuren und ihre pKa-Werte sind wie folgt:
    Figure 00140001
    Tabelle II Allgemeine Struktur der Säure
    Figure 00150001
    X = -OH, -NHR, -H, -Halogen, -CO2H und -CH2-CO2H, -CHOH-CO2H
    R = im Allgemeinen aliphatisch, H oder aromatisch
  • Die Konzentrationen können von 1 bis 25 Gew.% variieren. Der wichtige Faktor ist die Löslichkeit der Säure- und Baseprodukte mit jeglichen zusätzlichen Mitteln in den wässrigen Lösungen.
  • Basen
  • Die basische Komponente zur Einstellung des pH-Wertes der Puffer-Nachreinigungsbehandlung ist Hydroxylamin, als freie Base, welches zusammen mit anderen Hydroxylaminsalzen (Sulfat, Nitrat, Chlorid etc.) verwendet werden kann.
  • Oxidationsmittel
  • Die Integration von Oxidationsmitteln ist ein wichtiger Teil dieser Erfindung. Wenn die Metallstrukturen von Nachätzresten oder nach einer CMP-Planarisierungsbehandlung gereinigt werden, kann die Oxidbeschichtung der Metallfläche beschädigt (entfernt) worden sein. Es ist vorteilhaft, wenn diese beschädigte Metallfläche vor weiteren Herstellungsverfahrensschritten, welche den Schaden vergrößern könnten und die Vorrichtung möglicherweise wertlos machen könnten, repariert wird. Gewöhnlich wurde eine Mischung von Wasserstoffperoxid in IPA als separaten Verfahrensschritt verwendet. Manche Anwender tauchen die Wafer für mehrere Sekunden bis Minuten in ein Wasserstoffperoxid-Bad. Die Wafer werden dann schließlich in Wasser gespült und getrocknet. Der Nachteil ist, dass neben den üblichen Spülbädern ein separates Bad vorhanden sein muss.
  • Es wäre ein Vorteil, wenn das Oxidationsmittel in einem der Spülbäder enthalten sein könnte. Wasserstoffperoxid ist eines der üblichsten kommerziell erhältlichen Oxidationsmittel. Wasserstoffperoxid weist eine kurze Haltbarkeit auf und könnte ein zu aggressives Oxidationsmittel sein, welches dicke Metalloxidfilme bilden würde, welche darauffolgende Verfahrensschritte beeinträchtigen könnten.
  • Das Redoxpotential für Wasserstoffperoxid (sauer) und Hydroxylamin (in Säure und Base) (Ev bei SHE) sind wie folgt:
    H2O2 → O2 + 2e Ev = +0,68
    NH2OH + OH → N2O Ev = –1,05
    NH3OH+ + H+ → N2O + 4H+ Ev = –0,05
  • Zum Glück werden wenige Metallionen zum Oxidationszustand 0 reduziert, wenn Hydroxylamin unter reduzierenden Bedingungen (basische Lösungen) verwendet wird. Dies ist in CMP-Verfahren wichtig, um eine Kontamination der Wafer-Oberfläche mit Metallpartikeln zu vermeiden.
  • Neben der Tatsache, dass es ein Redoxmittel ist, kann Hydroxylamin wie Ammoniak mit vielen Metallen Komplexsalze bilden, einschließlich Al(SO4)2*NH2OH*H2O und Cu(X)2*NH2OH*H2O.
  • Ein wichtiger Vorteil der Verwendung von Hydroxylamin-artigen Verbindungen sind ihre Abbauprodukte. Abhängig von dem pH-Wert, den Metallionen und der Konzentration der Lösung wird Hydroxylamin zu Wasser, Stickstoff, Ammoniak und N2O abgebaut. Die Bildung von Stickstoff erfolgt auch durch eine langsame interne Redox-Reaktion bei pH-Werten oberhalb von 8.
  • Die freie Base Hydroxylamin ist, abgesehen von der Tatsache, dass sie eine gute Base ist, unter sauren Bedingungen ein mildes Oxidationsmittel. Solche Oxidationsmittel weisen eine gute Haltbarkeit auf und werden unter normalen Verfahrensbedingungen (bis zu 30 min) keine dicken Oxidfilme bilden.
  • Hydroxylamin ist ein selektiveres (kontrollierbareres) Oxidations- und Reduktionsmittel. Diese zweiseitige Fähigkeit wird erreicht, indem der pH-Wert von saurem zu basischem Medium verändert wird, d. h.
  • Figure 00170001
  • Weitere Oxidationsmittel schließen Ammoniumperoxydisulfat, Peressigsäure, Harnstoffhydroperoxid und Natriumpercarbonat oder Natriumperborat ein.
  • Die Konzentrationen können von 0,5 bis 30 Gew.% variieren.
  • Chelatisierungsmittel
  • Ein zusätzliches Merkmal dieser Erfindung ist, zusätzlich kleine Mengen an Metallionen-Chelatisierungsmittel zuzugeben, welche Übergangsmetallionen-Komplexe bilden können. Die Chelatisierungsmittel schließen di-, tri-, tetrafunktionelle Gruppen, d. h. EDTA, Oxime, Milchsäure, 8-Hydroxychinolin und andere wohlbekannte Mittel ein, die unter sauren Bedingungen chelatisieren. Andere mögliche Mittel sind Polyethylenoxid, Polyethylenimin und Kronenether. Die letzteren zwei Verbindungen weisen eine veränderliche Affinität für mobile Ionen auf (Li, Na, K und bestimmte Erdalkalüonen). Die Konzentrationen variieren vorzugsweise von 0,01 bis 10 Gew.%.
  • Oberflächenaktive Mittel
  • In diesen Formulierungen können oberflächenaktive Mittel (nichtionische, anionische und kationische) enthalten sein. Obwohl die Oberflächenspannungen der Nachreinigungsbehandlungslösungen ~ 70 Dyne/cm sein werden, können spezielle Situationen vorliegen, bei welchen die Oberflächenspannung verringert werden muss.
  • BEISPIELE
  • Punkt 1
  • Die Nachreinigungsbehandlungslösungen werden verschiedene organische Säuren mit Dissoziationskonstanten von niedriger als 1 enthalten. Beispiele schließen Ameisen-, Essig-, Propan-, Buttersäuren oder difunktionelle oder trifunktionelle wasserlösliche organische Säuren, d. h. Zitronensäure etc. ein.
  • Wenn ein Nachreinigungsbehandlungsbad nur kleine Mengen an Aminen (ein oder zwei Wannenbeladungen an Wafern) neutralisieren kann, würde dies aufgrund des häufigen Erfordernisses, die Lösung auszutauschen, die Kosten des Wafer-Reinigungsverfahrens erhöhen. Diese Auswirkung kann durch das folgende Beispiel überwunden werden.
  • Beispiel 1
  • Es wurden Experimente durchgeführt, um die "Pufferkapazität" oder Amin-Neutralisierungsstärke einer Nachreinigungsbehandlung zu bestimmen. Die Chemikalie wurde so gestaltet, dass Amine bis zu einem pH-Wert der Lösung von 7 neutralisiert werden. Wenn der pH-Wert über diesen Wert ansteigt (basischer wird), steigt die Möglichkeit einer Metallkorrosion an.
  • Die allgemeine Verfahrensweise für den Test war, eine 100 g-Probe der Nachreinigungsbehandlungslösung (89 Teile Wasser, 8 Teile Zitronensäure und 3 Teile 50% Hydroxylamin) in einem Gefäß mit einem magnetischen Rührstäbchen zu verwenden. Der pH-Wert wurde mit einem Fischer-pH-Meter überwacht, welcher eine Zweipunktkalibrierung aufwies.
  • Es wurden verschiedene Aminchemikalien zugegeben, bis ein pH-Wert von 7 erreicht war.
  • Figure 00190001
  • Die Amin- und Amin/Hydroxylamin-Chemikalien werden von EKC Technology, Inc., Hayward, CA verkauft.
  • Die Ergebnisse zeigen, dass die Zugabe von Zusammensetzung D den pH-Wert nie über 7 ansteigen lässt, und dass Zusammensetzung E eine ähnliche aber geringere Wirkung auf die Nachreinigungsbehandlung aufweist.
  • Die Daten für die Zusammensetzungen A, B und C können verwendet werden, um die potenzielle Neutralisationskapazität zu berechnen, angenommen, ein typisches Spülbad weist 6,5 Gal (24,6 l) auf, dann wird die Menge an zugegebenen Aminen im Bereich zwischen 2,6 bis 3,2 l liegen. Daher wird, wenn ein Austrag von ~ 22 g Amin/25 Wafer-Wanne die Anzahl an Wafer-Wannen im Bereich von 120 bis 145 Wannen liegt.
  • Punkt 2
  • Ein weiteres Verfahren, um die "Pufferkapazität" der Nachreinigungsbehandlung zu testen, und um zu zeigen, dass die Lösung die Metallfilme nicht ätzt, ist, Proben der Nachreinigungsbehandlungslösung, die in Beispiel 1 verwendet wird, zu nehmen und 8–10 Gew.% verschiedener Arten an Hydroxylamin- und/oder Amin-Chemikalien zuzugeben. Die bedeckten Metall-Wafer wurden für 30 min in die "gedopte" Lösung getaucht und dann in DI-Wasser gespült. Der Widerstand (Ohm/cm2) der Filme wurde vor und nach dem Test gemessen. Die gewichtsprozentualen Angaben der EKC Technology-Chemikalien aus Tabelle III von Beispiel 1 sind in Klammern angegeben.
  • Beispiel 2
    Figure 00210001
  • Tabelle IV
  • Gemusterte Wafer wurden mit der Nachreinigungsbehandlungslösung, die in Beispiel 1 verwendet wurde, die mit Amin-Nasschemikalien versetzt wurde, getestet, um die Wirkung von Hydroxylamin und/oder Amin-Nasschemie-Austrag zu simulieren. 5A zeigt einen gemusterten Wafer vor dem Behandeln mit einer beliebigen Nasschemikalie als Kontrolle. Die gemusterten Wafer wurden für 30 min in die gedopten Lösungen getaucht, bevor sie mit DI-Wasser gespült wurden. 5B zeigt die Ergebnisse, die mit der Nachreinigungsbehandlungslösung von Beispiel 1 erhalten wurden, zu welcher 10 Gew.% Lösung E gegeben wurden (Tabelle III). 5C zeigt die Ergebnisse, die mit der Nachreinigungsbehandlungslösung von Beispiel 1 erhalten wurden, zu welcher 8 Gew.% Lösung C gegeben wurden (Tabelle III). Diese SEM-Aufnahmen zeigen ferner, dass nach dem Durchlauf durch die Nachreinigungsbehandlungslösung, die mit verschiedenen Hydroxylamin- und/oder Aminchemikalien "gedopt" war, kein Angriff der Metallstruktur auftrat.
  • Punkt 3
  • Gegenwärtige Wolframmetall-CMP-Planarisierungschemikalien sind entweder Wasserstoffperoxid- oder Eisennitrat-Lösungen. Abgesehen von der Tatsache, dass die Metalloxidfilme beschädigt werden, können diese Chemikalien mobile und Übergangsmetallionen in die Metallfilme einbringen.
  • Die Nachreinigungsbehandlungslösungen können als Nach-CMP-Reinigungsbehandlungschemikalien verwendet werden. Während der CMP-Metallplanarisierung werden die Chemikalien-Aufschlämmungslösungen die natürlichen Oxidfilme auf dem Metall zerstören. Die Reparatur dieser Metalloxidschicht erfordert ein Oxidationsreagenz, welches stabil ist, und welches nicht zu einer Kontamination mit mobilen Ionen beiträgt, die Umgebung nicht "anfärbt" und im Allgemeinen umweltfreundlich ist. Wasserstoffperoxid-Systeme sind nicht stabil und können Oxide mit einer Dicke von mehreren μm bilden, wenn sie nicht genau kontrolliert werden.
  • Hydroxylamin (oder die Salze davon) in der sauren Lösung stellt ein mildes Oxidationsmittel dar (E0 = –0,05 V).
  • Beispiel 3
  • Die folgenden Daten zeigen die Wirkung von Spülungen, die organische Säuren enthalten und mit entweder Hydroxylamin oder Ammoniumhydroxid auf einen End-pH-Wert von 4,5 gepuffert sind.
  • Figure 00230001
    Tabelle V
  • Titan-Stücke (1,33 g) und ein Ti-Wafer mit 4000 Å wurden für 24 h bei Raumtemperatur in die Lösungen eingebracht. Die Proben wurden mit IPA und Wasser gespült und getrocknet und dann gewogen.
  • Figure 00230002
    Tabelle VI
  • Die Daten zeigen, dass die Metallproben, die mit Hydroxylamin-gepufferten Lösungen bearbeitet wurden, nicht geätzt waren (Gewichtsverlust) sondern einen leichten Gewichtszuwachs aufwiesen (Zunahme der Oxiddicke).
  • Es wurden auch andere Nachbehandlungslösungen getestet.
  • Figure 00230003
    Tabelle VII
  • Titan-Stücke und Ti-Wafer (4000 Å) wurden in Lösung C von Beispiel 1 eingebracht, welche für 30 min auf 65°C erhitzt wurde. Die Proben wurden dann in eine IPA-Lösung oder in die Behandlungslösungen transferiert.
  • Figure 00240001
    Tabelle VIII
  • Die Daten zeigen, dass obwohl die Chemikalie der Lösung C in die IPA- oder Behandlungslösungen eingebracht wurde, keine nachteiligen Auswirkungen (Gewichtsverlust) auftraten. Ein Fachmann würde durch die IPA-Spülung keine Auswirkung erwarten, da kein Wasser vorhanden ist, um das korrosive Hydroxidion zu begünstigen, jedoch konnten die wässrigen Nachreinigungsbehandlungslösungen jegliche Hydroxyl/Aminspezies, die von der Chemikalie von Lösung C gebildet wurden, erfolgreich neutralisiert werden. Deshalb trat kein Gewichtsverlust auf.
  • Punkt 4
  • Ein weiteres wichtiges Merkmal dieser Nachreinigungsbehandlungslösungen ist, dass die Metallmerkmale auf dem Wafer nicht geätzt oder korrodiert werden dürfen, nachdem sie aus der Aminreinigungschemikalie entfernt wurden. Dies ist ähnlich zu dem oben angegebenen Punkt, welcher die Nach-CMP-Reinigungsverfahren betrifft.
  • Dieser Punkt wurde mit Wafern getestet, die mit verschiedenen Metallen, im Allgemeinen zwischen 3000 und 5000 Å, beschichtet waren.
  • Beispiel 4
  • Verschiedene Metall-beschichtete (blanket) Wafer, mit 3000 bis 5000 Å an Al/(0,5%)Cu, Ti, TiW, W, Ta und Cu, wurden mit der Nachreinigungsbehandlungslösung von Beispiel 1 bei Raumtemperaturbedingungen getestet. Die Lösungen wurden während des einstündigen Tests gerührt.
  • Jeder Wafer (mit Ausnahme von TiW) wurde mit einer Prometrix-Vierpunktsonde zur Bestimmung der Beschichtungsmetall-Filmdicke gemessen. Die Wafer wurden dann einzeln in die Chemikalie in Behältern mit magnetischen Rührstäbchen getaucht. Die Wafer wurden mit DI-Wasser gespült und dann mit Stickstoff getrocknet. Die Wafer wurden wieder durch eine Vierpunktsonde gemessen.
  • Vierpunktsonden-Messungen zeigen, dass die Ätzrate für Al/(0,5%)Cu, Ti, W und Ta weniger als 1 Å/min war. Der Kupfer-Wafer wies eine Ätzrate von 17 Å/min auf.
  • Der TiW-Wafer würde keine Ablesung mit der Sonde ergeben, sodass er auf möglichst genau 0,01 mg eingewogen wurde. Am Ende des Tests hatte der Wafer 0,01 mg hinzugewonnen.
  • Die Tabelle IX fasst die Ergebnisse für die getesteten Metalle Innerhalb der Messgenauigkeit zusammen, da die Genauigkeit der Vierpunktsonden-Messungen höchstens 1 Å/min ist, mit Ausnahme von Kupfer.
  • Korrosion von Metallen
    Al/0,5% Cu 0 Å/min
    Ti 0 Å/min
    W 0 Å/min
    TiW 0 Å/min
  • Nachreinigungsbehandlungslösung von Beispiel 1, Raumtemperatur – 60 min.
  • Tabelle IX
  • Mit Ausnahme der Ergebnisse für den Kupfer-Wafer (3000 Å mit 100 Å Ti) waren die anderen Ätzraten, wie sie ausgehend von der obigen theoretischen Diskussion erwartet wurden. Die Nachreinigungsbehandlungslösung (Beispiel 1-Zusammensetzung) wurde so gebildet, dass sie bei pH 4 bis 4,5 gepuffert war, was die Oxidfilme auf Metallen nicht angreifen sollte. Diese Ergebnisse stimmen mit den oben für Ti-Wafer, Ti-Stücke und Al-Stücke angegebenen Beispielen überein.
  • Obwohl der Kupfer-Wafer eine 17 Å/min-Ätzrate aufwies, ist dies nicht zuviel. Für eine typische 5 min-Spülung wird der Gesamtverlust nur 85 Å betragen.
  • Beispiel 5
  • Es ist wichtig zu wissen, ob irgendwelche Kompatibilitätsprobleme zwischen den Nachreinigungsbehandlungslösungen und den Substraten, welche gewöhnlich bei BEOL (Back End of the Line, nach Metallablagerung) in den Halbleiterverfahren angetroffen werden, auftreten werden. Diese Substrate können Metalle (W, Ti, Al und möglicherweise Cu) und auch dielektrische Materialien sein, welche gewöhnlich Borphosphorsilikatglass (BPSG) (~ 5 Gew.% für sowohl Bor als auch Phosphor) und Tetraethylorthosilikat (TEOS) sind.
  • Mit einem Geartner Scientific L115-Ellipsometer wurden Filmdicken für beschichtete 3" (7,62 cm) BPSG (3000 Å, verdichtet) und einen 3" (7,62 cm) TEOS (5000 Å)-Wafer bestimmt. Beide Wafer wurden für 60 min bei Raumtemperatur in die Nachreinigungsbehandlungslösung, die in Beispiel 1 verwendet wird, getaucht, dann mit DI-Wasser gespült und mit Stickstoff getrocknet. Die Proben wurden dann auf die Filmdicke hin bestimmt.
  • Innerhalb der Grenzen des Experiments traten keine beträchtlichen Veränderungen der Filmdicke auf. Der anfängliche mittlere TEOS-Wert der Dicke betrug 5119 Å(Standardabweichung 144,6 Å, 3σ) mit einem mittleren Endwert von 5128 Å (Standardabweichung 145,3 Å, 3σ). Die mittleren Dickewerte von BPSG (davor) waren 3095 Å (Standardabweichung 215 Å, 3σ)).
  • Punkt 6
  • Ein weiteres wichtiges Merkmal dieser Erfindung ist die Fähigkeit, den Grad an bestimmten Übergangs- und mobilen Ionen zu verringern oder zu erniedrigen, welche auf der Wafer-Fläche absorbiert werden könnten. Diese Ionen werden als Verunreinigungen in den Reinigungschemikalien oder als partikuläre Teilchen von der Verfahrensausrüstung oder durch Ablagerungsplasmaätzrückstände, welche während des Ätzverfahrens gebildet werden, in die Wafer eingebracht.
  • Ein Weg der Veranschaulichung dieser Chelatisierungswirkung zur Entfernung von Übergangs- und mobilen Metallkationen von einer Wafer-Oberfläche ist, eine Nachreinigungsbehandlungslösung vor und nach dem Eintauchen in die Lösung zu untersuchen.
  • Beispiel 6
  • Es wurden Wafer von 3 Inch mit 3000 Å PBSG oder 5000 Å TEOS in eine Lösung getaucht, die aus ~ 100 ppb Natrium, Kalium, Calcium und Eisen(III) zusammengesetzt war. Die Wafer wurden dann mit einem Stickstofffluss getrocknet. Die Wafer wurden dann für 20 min in die Nachreinigungsbehandlungslösung von Beispiel 1 eingetaucht. Die Proben der Lösung, davor und danach, wurden dann durch GFAA analysiert.
  • Figure 00280001
    Tabelle X
  • Die Ergebnisse zeigen, dass nach jedem Test die Na-, K-, Ca- und Fe-Kationen in den Lösungen zugenommen haben. Die Kombination dieser wässrigen Lösung und Chelatisierungsmittel (Zitronensäure und Hydroxylamin) helfen, die Metallkontaminierungen zu entfernen. Die letzten fünf Metalle (Cu bis Ni) wurden als typische Hintergrundwerte hinzugegeben.
  • Beispiel 7
  • Wafer mit 3 Inch (7,62 cm) mit 5000 Å thermischem Siliciumdioxid wurden in eine Lösung getunkt, die aus ~ 100 ppb jeweils von Natrium, Kalium, Calcium und Eisen zusammengesetzt war. Die Wafer wurden mit einem Stickstofffluss getrocknet. Die Wafer wurden dann in Stücke geteilt und dann entweder in DI- Wasser oder die Nachreinigungsbehandlungslösung von Beispiel 1 getaucht. Die Proben wurden für 20 min bei Raumtemperatur eingetaucht. Die Proben wurden dann entfernt und in IPA gespült und mit einem Stickstofffluss getrocknet. Die Proben wurden durch TXRF (Gesamt-Röntgenstrahlfluoreszenz) analysiert (1010 Atome/cm2). Unter diesen Bedingungen können nur Kalium, Calcium und Eisen gemessen werden. Es wird im Allgemeinen angenommen, dass die Natrium-Konzentrationen (Atome/cm2) ähnlich denen der Kalium-Werte sind.
  • Figure 00290001
    Tabelle XI
  • 6 ist ein Diagramm der obigen Ergebnisse, das die beträchtliche Verbesserung der Kontrolle der mobilen Ionen zeigt, welche mit den Nachreinigungsbehandlungslösungen erhalten wird, während eine DI-Wasser-Spülung hingegen die Menge an vorhandenen mobilen Ionen erhöht.
  • Beispiel 8
  • Wafer mit 3 Inch (7,62 cm) mit 5000 Å PBSG und 3000 Å TEOS wurden in eine Lösung getunkt, die aus ~ 100 ppb von jeweils Natrium, Kalium, Calcium und Eisen zusammengesetzt war. Die Wafer wurden mit einem Stickstofffluss getrocknet. Die Wafer wurden dann in Stücke geteilt und dann entweder in DI-Wasser oder die Nachreinigungsbehandlungslösung von Beispiel 1 getaucht. Die Proben wurden für 20 min bei Raumtemperatur eingetaucht. Die Proben wurden dann entfernt und in IPA gespült und mit einem Stickstofffluss getrocknet. Die Proben wurden durch TXRF (Gesamt-Röntgenstrahlfluoreszenz) auf Eisen hin analysiert. Die Einheiten sind 1010Atome/cm2.
  • Figure 00300001
    Tabelle XII
  • Die 7 und 8 sind Diagramme der erhaltenen Ergebnisse, ausgedrückt als prozentuale Veränderung an mobilen Ionen im Fall der BPSG-Ergebnisse und ausgedrückt als 1010 Atome/cm2 im Fall der TEOS-Ergebnisse.
  • Wie die Ergebnisse zeigen, wiesen die mit der Nachreinigungsbehandlungslösung von Beispiel 1 behandelten Wafer wesentlich verringerte restliche Metallionen, die auf der Wafer-Oberfläche verblieben, auf.
  • Zusammenfassend lässt sich feststellen, dass die Nachreinigungsbehandlungslösung dieser Erfindung wässrig, nicht entzündlich und DI-Wasserabfluss (drain)-kompatibel sind (keine spezielle EPA-Handhabung ist erforderlich). Sie spült wirksam Spuren von Amin- und basischen (pH-Wert > 7) Chemikalien von Wafer-Oberflächen weg, wodurch die Möglichkeit der Korrosion durch Aminbasierende Chemikalien und überschüssige OH-Ionen von einer DI-Wasser-Spülung eliminiert wird. Die Nachreinigungsbehandlungslösung kann nach Vordiffusionsreinigungen, vor Implantations-Reinigungen und vor Ablagerungs-Reinigungen unter im Wesentlichen den gleichen Bedingungen wie oben beschrieben, verwendet werden. Die Lösung kann auch für eine chemischmechanische Polier-Nachreinigung und als chemisch-mechanische Polierlösung für Kupfersubstrate verwendet werden.
  • Die Erfindung wurde nun vollständig beschrieben und es wird einem Fachmann offensichtlich sein, dass viele Veränderungen und Modifikationen durchgeführt werden können, ohne dass von dem Umfang der anhängigen Ansprüche abgewichen wird.

Claims (10)

  1. Verfahren zur Entfernung restlicher Amine von einer metallischen oder dielektrischen Oberfläche, wobei das Verfahren das Inkontaktbringen der metallischen oder dielektrischen Oberfläche mit einer Halbleiter-Nachreinigungsbehandlungszusammensetzung für eine Zeitdauer umfasst, die ausreicht, um die restlichen Amine zu entfernen, wobei die Zusammensetzung eine wässrige Lösung umfasst, die einen pH-Wert zwischen ungefähr 3,5 und ungefähr 7 aufweist, und (a) eine monofunktionelle, difunktionelle oder trifunktionelle organische Säure; und (b) eine puffernde Menge Hydroxylamin enthält.
  2. Verfahren nach Anspruch 1, wobei die Zusammensetzung einen pH-Wert zwischen ungefähr 4 und ungefähr 6 aufweist.
  3. Verfahren nach Anspruch 1 oder Anspruch 2, wobei die monofunktionelle, difunktionelle oder trifunktionelle organische Säure ausgewählt ist aus Ameisen-, Essig-, Propion-, n-Butter-, Isobutter-, Benzoe-, Ascorbin-, Glucon-, Äpfel-, Malon-, Oxal-, Succin-, Wein-, Zitronen- und Gallussäuren.
  4. Verfahren nach Anspruch 3, wobei die organische Säure Zitronensäure ist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die Zusammensetzung zusätzlich eine puffernde Menge eines Hydroxylaminsalzes umfasst.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei die organische Säure in einer Menge von ungefähr 1 Gew.-% bis ungefähr 25 Gew.-% vorhanden ist.
  7. Verfahren nach Anspruch 6, wobei die organische Säure in einer Menge von ungefähr 2 bis ungefähr 11 Gew.-% vorhanden ist, und wobei die Zusammensetzung einen pH-Wert zwischen ungefähr 4 und ungefähr 6 aufweist.
  8. Verfahren nach einem der Ansprüche 1 bis 7, wobei die Zusammensetzung zusätzlich umfasst (c) ein Ammoniumperoxydisulfat, Peressigsäure, Harnstoffhydroperoxid, Natriumpercarbonat oder Natriumperborat als Oxidationsmittel.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei die Zusammensetzung zusätzlich umfasst (c) ein Chelatisierungsmittel, das mit Übergangsmetallionen und mobilen Ionen einen Komplex bildet.
  10. Verfahren nach Anspruch 9, in welchem das Chelatisierungsmittel Ethylendiamintetraessigsäure, ein Oxim, 8-Hydroxychinolin, ein Polyalkylenpolyamin oder ein Kronenether ist.
DE69816219T 1997-02-14 1998-02-14 Reinigungsnachbehandlung Expired - Fee Related DE69816219T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/801,911 US5981454A (en) 1993-06-21 1997-02-14 Post clean treatment composition comprising an organic acid and hydroxylamine
US801911 1997-02-14
PCT/US1998/002794 WO1998036045A1 (en) 1997-02-14 1998-02-14 Post clean treatment

Publications (2)

Publication Number Publication Date
DE69816219D1 DE69816219D1 (de) 2003-08-14
DE69816219T2 true DE69816219T2 (de) 2004-04-22

Family

ID=25182341

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69816219T Expired - Fee Related DE69816219T2 (de) 1997-02-14 1998-02-14 Reinigungsnachbehandlung

Country Status (8)

Country Link
US (2) US5981454A (de)
EP (1) EP0909311B1 (de)
JP (1) JP3850039B2 (de)
KR (1) KR100355212B1 (de)
AT (1) ATE244751T1 (de)
DE (1) DE69816219T2 (de)
TW (1) TW396202B (de)
WO (1) WO1998036045A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013201883A1 (de) * 2013-02-05 2014-08-07 Urs Brodbeck Behandlungsflüssigkeit zur Reinigung eines Implantat-Teils
US9629699B2 (en) 2012-11-14 2017-04-25 Zyfoma Gmbh Treatment element for use with a dental-implant part, treatment system and method for cleaning a dental-implant part

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121217A (en) 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US20040018949A1 (en) * 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US20040140288A1 (en) * 1996-07-25 2004-07-22 Bakul Patel Wet etch of titanium-tungsten film
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate
DE19733391C2 (de) * 1997-08-01 2001-08-16 Siemens Ag Strukturierungsverfahren
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6294027B1 (en) * 1997-10-21 2001-09-25 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6231677B1 (en) 1998-02-27 2001-05-15 Kanto Kagaku Kabushiki Kaisha Photoresist stripping liquid composition
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6468909B1 (en) * 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
US6572453B1 (en) 1998-09-29 2003-06-03 Applied Materials, Inc. Multi-fluid polishing process
GB2342727A (en) * 1998-10-12 2000-04-19 Ekc Technology Ltd Composition to remove resists and tp inhibit titanium corrosion
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
TW467953B (en) * 1998-11-12 2001-12-11 Mitsubishi Gas Chemical Co New detergent and cleaning method of using it
US6878213B1 (en) * 1998-12-07 2005-04-12 Scp Global Technologies, Inc. Process and system for rinsing of semiconductor substrates
WO2000037217A1 (en) * 1998-12-21 2000-06-29 Lam Research Corporation Method for cleaning an abrasive surface
US6103680A (en) * 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
US6387188B1 (en) 1999-03-03 2002-05-14 Speedfam-Ipec Corporation Pad conditioning for copper-based semiconductor wafers
JP4516176B2 (ja) * 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US6531414B1 (en) * 1999-05-05 2003-03-11 The United States Of America As Represented By The National Security Agency Method of oxidizing strain-compensated superlattice of group III-V semiconductor
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6328905B1 (en) * 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
EP1218465B1 (de) * 1999-08-13 2005-03-30 Cabot Microelectronics Corporation Poliersystem mit stopmittel und verfahren zu seiner verwendung
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US6395693B1 (en) * 1999-09-27 2002-05-28 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
US6537381B1 (en) * 1999-09-29 2003-03-25 Lam Research Corporation Method for cleaning and treating a semiconductor wafer after chemical mechanical polishing
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6294470B1 (en) 1999-12-22 2001-09-25 International Business Machines Corporation Slurry-less chemical-mechanical polishing
WO2001058643A1 (en) * 2000-02-11 2001-08-16 Nu Tool, Inc. Modified plating solution for plating and planarization and process utilizing same
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
GB0009112D0 (en) * 2000-04-12 2000-05-31 Ekc Technology Ltd Inhibition of titanium corrosion
US6858540B2 (en) 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6436832B1 (en) 2000-05-23 2002-08-20 Applied Materials, Inc Method to reduce polish initiation time in a polish process
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
CN1218222C (zh) 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6498131B1 (en) 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6508953B1 (en) 2000-10-19 2003-01-21 Ferro Corporation Slurry for chemical-mechanical polishing copper damascene structures
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6653265B2 (en) * 2001-06-20 2003-11-25 Cornell Research Foundation, Inc. Removable marking system
US6485355B1 (en) 2001-06-22 2002-11-26 International Business Machines Corporation Method to increase removal rate of oxide using fixed-abrasive
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
DE10133727A1 (de) * 2001-07-11 2003-01-23 Borchers Gmbh Verwendung von Mischungen spezieller organischer Verbindungen als Hautverhinderungsmittel in lufttrocknenden Lacken
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
KR100434491B1 (ko) * 2001-08-17 2004-06-05 삼성전자주식회사 레지스트 또는 식각 부산물 제거용 조성물 및 이를 이용한레지스트 제거 방법
JP3797541B2 (ja) * 2001-08-31 2006-07-19 東京応化工業株式会社 ホトレジスト用剥離液
US6838015B2 (en) * 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
KR100546169B1 (ko) * 2001-09-21 2006-01-24 주식회사 하이닉스반도체 포토레지스트 제거용 용액 조성물
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US7468105B2 (en) * 2001-10-16 2008-12-23 Micron Technology, Inc. CMP cleaning composition with microbial inhibitor
JP3667273B2 (ja) * 2001-11-02 2005-07-06 Necエレクトロニクス株式会社 洗浄方法および洗浄液
US6736701B1 (en) * 2001-11-20 2004-05-18 Taiwan Semiconductor Manufacturing Company Eliminate broken line damage of copper after CMP
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US7049237B2 (en) 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US6730592B2 (en) 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
WO2003064581A1 (en) * 2002-01-28 2003-08-07 Ekc Technology, Inc. Methods and compositions for chemically treating a substrate using foam technology
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US20040038840A1 (en) * 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
JP4221191B2 (ja) * 2002-05-16 2009-02-12 関東化学株式会社 Cmp後洗浄液組成物
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20030224958A1 (en) * 2002-05-29 2003-12-04 Andreas Michael T. Solutions for cleaning polished aluminum-containing layers
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
TW559866B (en) * 2002-08-05 2003-11-01 Macronix Int Co Ltd Method of removing ALF defects after pad etching process
AU2002327300A1 (en) * 2002-08-19 2004-03-03 Merk-Kanto Advanced Chemical Ltd. Remover solution
TWI295950B (en) * 2002-10-03 2008-04-21 Applied Materials Inc Method for reducing delamination during chemical mechanical polishing
DE60323148D1 (de) * 2002-10-22 2008-10-02 Ekc Technology Inc Wässrige phosphorsäurezusammensetzung zur reinigung von halbleiter-vorrichtungen
JP2004181452A (ja) * 2002-11-30 2004-07-02 Matsushita Electric Ind Co Ltd 洗浄装置、洗浄方法および洗浄剤
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
JP2007531992A (ja) * 2004-03-30 2007-11-08 ビーエーエスエフ アクチェンゲゼルシャフト エッチング残渣を除去するための水溶液
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) * 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
KR100638974B1 (ko) * 2004-12-29 2006-10-26 동부일렉트로닉스 주식회사 반도체 소자의 알루미늄 합금 라인 세정 방법
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
TWI538033B (zh) * 2005-01-27 2016-06-11 安堤格里斯公司 半導體基板處理用之組成物
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
EP1871855B1 (de) 2005-03-25 2010-03-24 DuPont Air Products NanoMaterials L.L.C. In chemisch-mechanischen reinigungszusammensetzungen verwendete dihydroxy-enol-verbindungen mit metall-ionen-oxidationsmitteln
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
KR20080072905A (ko) * 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
US7362116B1 (en) * 2005-11-09 2008-04-22 Electroglas, Inc. Method for probing impact sensitive and thin layered substrate
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US8334209B2 (en) 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
KR20090076938A (ko) * 2006-09-25 2009-07-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7691287B2 (en) * 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
KR101561708B1 (ko) * 2007-05-17 2015-10-19 인티그리스, 인코포레이티드 Cmp후 세정 제제용 신규한 항산화제
TWI446425B (zh) * 2007-08-29 2014-07-21 Applied Materials Inc 高生產量及低表面形貌的銅化學機械研磨製程
KR20100082833A (ko) * 2007-09-06 2010-07-20 이케이씨 테크놀로지, 인코포레이티드 구리 표면 처리를 위한 조성물 및 방법
TW200940705A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Copper CMP polishing pad cleaning composition comprising of amidoxime compounds
WO2009058278A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
EP2207872B1 (de) * 2007-10-29 2013-07-03 Ekc Technology, Inc. Neue nitril- und amidoximverbindungen und herstellungsverfahren
US8802609B2 (en) 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US7838483B2 (en) * 2008-10-29 2010-11-23 Ekc Technology, Inc. Process of purification of amidoxime containing cleaning solutions and their use
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8518865B2 (en) 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5646882B2 (ja) * 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
JP2013521646A (ja) * 2010-03-05 2013-06-10 ラム リサーチ コーポレーション ダマシン処理によるサイドウォールポリマー用の洗浄溶液
US8602842B2 (en) * 2010-03-12 2013-12-10 Wayne O. Duescher Three-point fixed-spindle floating-platen abrasive system
US8500515B2 (en) * 2010-03-12 2013-08-06 Wayne O. Duescher Fixed-spindle and floating-platen abrasive system using spherical mounts
US8740668B2 (en) * 2010-03-12 2014-06-03 Wayne O. Duescher Three-point spindle-supported floating abrasive platen
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
JP5508130B2 (ja) 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
US20140318584A1 (en) * 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
WO2012103334A2 (en) * 2011-01-28 2012-08-02 Emery Oleochemicals Llc Cleaning compositions and method of using the same
DE12704957T1 (de) 2011-01-29 2014-10-16 Emery Oleochemicals Llc Verfahren zur Reinigung einer Dicarbonsäure
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US8859428B2 (en) 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9263275B2 (en) 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9105578B2 (en) * 2013-03-12 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
KR102134577B1 (ko) * 2013-11-12 2020-07-16 주식회사 동진쎄미켐 화학적 기계적 연마 후 세정용 조성물
CN105529284A (zh) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 一种抛光及清洗晶圆的半导体设备及方法
US9828574B2 (en) 2015-01-13 2017-11-28 Cabot Microelectronics Corporation Cleaning composition and method for cleaning semiconductor wafers after CMP
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
CN108822670A (zh) * 2018-05-19 2018-11-16 保定维特瑞交通设施工程有限责任公司 一种pcb电路板焊接超声波防静电在线清洗材料及其制备方法
KR20220002555A (ko) * 2019-05-01 2022-01-06 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 에칭 조성물
CN111450714A (zh) * 2020-04-17 2020-07-28 蓝星(杭州)膜工业有限公司 一种多元缓冲体系制备复合纳滤膜的方法
WO2024050561A1 (en) * 2022-09-02 2024-03-07 KopMan LLC Method and system for treating equipment surface

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861906A (en) * 1956-10-15 1958-11-25 Kelsey Hayes Co Metal treatment
US3085915A (en) * 1958-03-13 1963-04-16 Siemens Ag Method of removing rust from ironcontaining materials, particularly for the cleaning of boiler plants
CH422470A (fr) * 1963-08-10 1966-10-15 Borg Holding Ag Procédé de décapage et de nettoyage d'ouvrages au moins en partie métalliques, notamment d'installations thermiques
ZA656244B (de) * 1964-11-27
US3702427A (en) * 1971-02-22 1972-11-07 Fairchild Camera Instr Co Electromigration resistant metallization for integrated circuits, structure and process
DE2154234A1 (de) * 1971-10-30 1973-05-03 Licentia Gmbh Verfahren zum entfernen von elektrisch aktiven verunreinigungen
US3887446A (en) * 1974-07-26 1975-06-03 Us Navy Electrochemical preparation of metallic tellurides
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
GB1573206A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Co Method of trating surfaces of intermediate products obtained in the manufacture of semiconductor devices
GB1519105A (en) * 1975-12-02 1978-07-26 Fuji Photo Film Co Ltd Treating of photographic processing solutions
JPS5479131A (en) * 1977-12-07 1979-06-23 Okuno Chem Ind Co Electrolytic bath for removing electrodeposited metal on stainless steel substrate
US4227941A (en) * 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4363741A (en) * 1980-12-19 1982-12-14 Borden, Inc. Automotive cooling system cleaner
JPS58147742A (ja) * 1982-02-25 1983-09-02 Konishiroku Photo Ind Co Ltd ハロゲン化銀カラ−写真感光材料
US4569728A (en) * 1984-11-01 1986-02-11 The United States Of America As Represented By The Secretary Of The Air Force Selective anodic oxidation of semiconductors for pattern generation
JPS62250189A (ja) * 1986-04-21 1987-10-31 Kurita Water Ind Ltd 化学洗浄剤
US5236565A (en) * 1987-04-11 1993-08-17 Metallgesellschaft Aktiengesellschaft Process of phosphating before electroimmersion painting
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
JPH0238498A (ja) * 1988-07-28 1990-02-07 Aiko Mizunoya 表面洗浄剤
US5143592A (en) * 1990-06-01 1992-09-01 Olin Corporation Process for preparing nonconductive substrates
US5078801A (en) * 1990-08-14 1992-01-07 Intel Corporation Post-polish cleaning of oxidized substrates by reverse colloidation
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
EP0496605B1 (de) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
US5556482A (en) * 1991-01-25 1996-09-17 Ashland, Inc. Method of stripping photoresist with composition containing inhibitor
DE69333877T2 (de) * 1992-07-09 2006-06-14 Ekc Technology Inc Reinigungsmittelzusammensetzung, das einem Redox Aminverbindung enthält
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
FR2722511B1 (fr) * 1994-07-15 1999-04-02 Ontrak Systems Inc Procede pour enlever les metaux dans un dispositif de recurage
US5885901A (en) * 1994-08-11 1999-03-23 Texas Instruments Incorporated Rinsing solution after resist stripping process and method for manufacturing semiconductor device
US5597420A (en) * 1995-01-17 1997-01-28 Ashland Inc. Stripping composition having monoethanolamine
US5563119A (en) * 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5662769A (en) * 1995-02-21 1997-09-02 Advanced Micro Devices, Inc. Chemical solutions for removing metal-compound contaminants from wafers after CMP and the method of wafer cleaning
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US5705472A (en) * 1995-07-18 1998-01-06 Petroferm Inc. Neutral aqueous cleaning composition
US5645737A (en) * 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9629699B2 (en) 2012-11-14 2017-04-25 Zyfoma Gmbh Treatment element for use with a dental-implant part, treatment system and method for cleaning a dental-implant part
DE102013201883A1 (de) * 2013-02-05 2014-08-07 Urs Brodbeck Behandlungsflüssigkeit zur Reinigung eines Implantat-Teils

Also Published As

Publication number Publication date
EP0909311A4 (de) 2001-02-28
DE69816219D1 (de) 2003-08-14
KR100355212B1 (ko) 2002-12-26
US6156661A (en) 2000-12-05
EP0909311A1 (de) 1999-04-21
EP0909311B1 (de) 2003-07-09
TW396202B (en) 2000-07-01
KR20000064914A (ko) 2000-11-06
ATE244751T1 (de) 2003-07-15
US5981454A (en) 1999-11-09
JP3850039B2 (ja) 2006-11-29
WO1998036045A1 (en) 1998-08-20
JP2001500922A (ja) 2001-01-23

Similar Documents

Publication Publication Date Title
DE69816219T2 (de) Reinigungsnachbehandlung
DE60222532T2 (de) Zusammensetzung zur reinigung nach einem chemischen-mechanischen polierverfahren
DE60028962T2 (de) Zusammensetzungen zum reinigen von substraten von organischen und plasmaätz-rückständen bei halbleiter-vorrichtungen
DE602005001875T2 (de) Alkalische Reinigungsmittel zur Reinigung nach einer chemisch-mechanischen Planarisierung
US6546939B1 (en) Post clean treatment
US6492308B1 (en) Post chemical-mechanical planarization (CMP) cleaning composition
DE69734868T2 (de) Zusammensetzung und verfahren zum chemisch-mechanischen polieren
DE60212366T2 (de) Reinigerzusammensetzung
DE69733102T2 (de) Reinigungsmittel
DE69636618T2 (de) Verfahren zur behandlung einer substratoberfläche und behandlungsmittel hierfür
US6194366B1 (en) Post chemical-mechanical planarization (CMP) cleaning composition
DE69916728T2 (de) Verfahren zur Reinigung eines Halbleitersubstrats
US20040204329A1 (en) Cleaning liquid composition for semiconductor substrate
DE602004009584T2 (de) Halbleiterreinigungslösung
JPH03219000A (ja) シリコンウエハのエッチング方法および洗浄方法
EP0946976B1 (de) Wässrige reinigungslösung für ein halbleitersubstrat
KR100784938B1 (ko) 반도체소자 세정용 조성물
WO2002045148A2 (de) Reinigungslösung für halbleiterscheiben im beol-bereich
CN116262889B (zh) 等离子刻蚀清洗后中和清洗剂在清洗半导体器件中的应用

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee