DE69934101T2 - Reaktor zur radiofrequenzbetriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren zur durchführung der genannten abscheidung - Google Patents

Reaktor zur radiofrequenzbetriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren zur durchführung der genannten abscheidung Download PDF

Info

Publication number
DE69934101T2
DE69934101T2 DE69934101T DE69934101T DE69934101T2 DE 69934101 T2 DE69934101 T2 DE 69934101T2 DE 69934101 T DE69934101 T DE 69934101T DE 69934101 T DE69934101 T DE 69934101T DE 69934101 T2 DE69934101 T2 DE 69934101T2
Authority
DE
Germany
Prior art keywords
electrode
radio frequency
frequency power
power
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69934101T
Other languages
English (en)
Other versions
DE69934101D1 (de
Inventor
Sujit Boise Sharan
S. Gurtej Boise SANDHU
Paul San Jose SMITH
Mei Saratoga CHANG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Micron Technology Inc
Original Assignee
Applied Materials Inc
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, Micron Technology Inc filed Critical Applied Materials Inc
Publication of DE69934101D1 publication Critical patent/DE69934101D1/de
Application granted granted Critical
Publication of DE69934101T2 publication Critical patent/DE69934101T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/961Ion beam source and generation

Description

  • TECHNISCHES GEBIET
  • Diese Erfindung bezieht sich auf radiofrequenzbetriebene plasmaaktivierte chemische Dampfabscheidungs-Reaktoren und Verfahren zur plasmaaktivierten chemischen Dampfabscheidung.
  • TECHNISCHER HINTERGRUND
  • Die Herstellung von Halbleitern erfordert oft die Abscheidung von Filmen oder Schichten über oder auf der Halbleitersubstratoberfläche, während der schon andere Schichten aufgetragen oder nicht aufgetragen worden sind. Eine Art solche Filme oder Schichten aufzutragen, ist das CVD – Beschichtungsverfahren. Das CVD – Beschichtungsverfahren umfasst eine chemische Reaktion der Dampfphasen von Chemikalien bzw. Reaktionspartnern, die die gewünschte auf dem Substrat bzw. der Substratoberfläche aufzutragenden Komponenten enthält. Gasförmige Reaktionspartner werden in eine Reaktionskammer bzw. in einen Reaktor eingebracht, zersetzen sich und reagieren auf einer erhitzten Oberfläche, um den erwünschten Film oder die erwünschte Schicht zu bilden.
  • Es gibt drei existierende Haupt – CVD – Verfahren, welche benutzt werden können, um die erwünschten Filme bzw. Schichten zu bilden. Das sind: Atmosphärendruck CVD, Niederdruck-CVD, und plasmaaktiviertes CVD. Die beiden ersten Verfahren (APCVD und LPCVD) werden durch ihre Druckbereiche und die auf typische Weise benutzte Wärmeenergie als Energieeingang gekennzeichnet, um die erwünschten chemischen Reaktionen zu erzeugen. Das letzte Verfahren (PECVD) wird durch seinen Druckbereich und das Verfahren der Energieeingabe gekennzeichnet.
  • In PECVD Systemen wird die durch die Radiofrequenz induzierte Glimmentladung benutzt, um die Energie auf den gasförmigen Reaktionspartner zu übertragen, anstatt sich auf die thermische Energie zur Einleitung und Aufrechterhaltung der chemischen Energie zu stützen. Dadurch wird es ermöglicht, dass das Substrat bei einer niedrigeren Temperatur als bei den APCVD und den LPCVD Systemen benutzt wird. Niedrigere Temperaturen der Substrate sind oft wünschenswert, da einige Substrate keine thermische Stabilität haben, um die Beschichtung durch andere Verfahren zu ermöglichen. Andere erwünschte Kennzeichen sind, dass die Beschichtungsraten erhöht werden können und Filme bzw. Schichten mit einheitlichen Zusammensetzungen und Eigenschaften hergestellt werden können. Außerdem verleihen die Verfahren und Systeme andere Vorteile wie gute Haftung, niedrige Stiftlochdichte, gutes Stepcoverage, geeignete elektrische Eigenschaften und eine Vereinbarkeit mit feinlinigen Strukturtransferprozessen.
  • Ein Problem ist jedoch damit verbunden, dass die PECVD Abscheidungsverfahren – Verarbeitungsstifte ein inhomogenes Film – bzw. Schicht – Abdeckung aufweisen was insbesondere eine hohe Aspektverhältnistopographie zur Folge hat. Es kann, zum Beispiel, bei dem Abscheidungsverfahren ein Problem auftreten, dass als „bread – loafing" bzw. „cusping„ (Spitzenbildung) typischerweise auftreten kann. So etwas erzeugt normalerweise eine uneinheitliche Ausbildung des aufgetragenen Materials was als „key hold"- Räumen zwischen den Elementen auf einem Substrat erscheint. Eine Lösung aus dem Stand der Technik bestand aus dem öfteren Auftragen mehrerer sehr dünner Schichten unter Benutzung von Plasmaätz-Verfahren. Das Plasmaätzen dient dazu die Spitzen zu entfernen um eine einheitlichere aufgetragene Schicht zu erzeugen. Danach werden die Beschichtung und das Ätzen wiederholt bis die erwünschte Schicht erhalten wird. Es ist erwünscht die Qualität der Film-bzw. Schichtauftragung in PECVD Verfahren und Reaktoren zu verbessern. Diese Erfindung zielt auf eine Verbesserung der PECVD Verarbeitungssysteme und Verfahren ab. Diese Erfindung entstand aus der damit verbundenen Sorge die Vorteile und Kennzeichen die mit den PECVD Verfahren verbunden sind einschließlich der oben genannten Vorteilen und Kennzeichen zu verbessern.
  • Das US Patent 5,147,493 offenbart eine Plasma erzeugende Vorrichtung in der ein Leistungsverhältnis zwischen den Elektroden mit Hilfe eines Transformators bereitgestellt wird. Der Transformator ist mit einer Vielzahl von intermediären Klemmen versehen, die individuell durch einen beweglichen Selektor ausgewählt werden können um die verschiedenen Leistungsverhältnisse zu erhalten.
  • Erfindungsgemäß wird ein kapazitiver plasmaaktivierter chemischer Dampfabscheidungs-Reaktor und ein Halbleiter-Bearbeitungsverfahren nach Anspruch 8 zur Verfügung gestellt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Bevorzugte Ausführungsformen der Erfindung werden unten unter Bezugnahmen auf die folgenden begleitenden Zeichnungen beschrieben.
  • 1 ist ein Blockdiagramm eines radiofrequenzbetriebenen plasmaaktivierten chemischen Dampfabscheidungs-Reaktor System (PECVD) nach bevorzugten Ausführungsformen der vorliegenden Erfindung.
  • 2 zeigt eine Implementierung eines bevorzugten PECVD Reaktors zur Benutzung in dem System der 1.
  • 3 zeigt einem anderen nicht zur vorliegenden Erfindung gehörenden PECVD Reaktor.
  • 4 zeigt eine Implementierung eines bevorzugten Teilers für die Benutzung in dem System der 1.
  • 5 zeigt eine andere Implementierung eines anderen bevorzugten Leistungsteilers für die Benutzung in dem System der 1.
  • 6 ist ein Flussdiagramm das das bevorzugte Bearbeitungsverfahren zur Benutzung in Verbindung mit den bevorzugten Ausführungsformen der vorliegenden Erfindung darstellt.
  • BESTE ARTEN DIE ERFINDUNG UND DIE OFFENBARUNG DER ERFINDUNG AUSZUFÜHREN
  • Unter Bezugnahme auf die 1 wird ein plasmaaktiviertes chemisches Dampfabscheidungs-Reaktor-System in einer Blockdiagrammform bei 10 gezeigt. Das System 10 umfasst eine Gasversorgungseinheit 12, einen chemischen Dampfabscheidungs-Reaktor 14 einen RF – Leistungsteiler 16 und einen Radiofrequenz-Leistungsgenerator 18.
  • Die Gasversorgungseinheit 12 kann eine oder mehrere gasförmige Reaktionspartner in den Reaktor 14 für die erfindungsgemäße Bearbeitung einführen. Typischerweise benützen solche Systeme eine durch Radiofrequenz induzierte Glühentladung, um Energie auf die gasförmigen Reaktionspartner zu übertragen. Anschließend werden freie Elektronen in dem Entladungsbereich erzeugt, welche Energie aufnehmen, so dass sie mit den Gasmolekülen zusammenstoßen und eine Gasphasentrennung und Ionisierung der gasförmigen Reaktionspartner auftritt. Entsprechend werden dann die energiegeladenen Spezies von einem Werkstück oder einem Substrat absorbiert.
  • Der PECVD Reaktor 14 definiert eine Bearbeitungskammer, bzw. ein Bearbeitungsvolumen in dem die Bearbeitung erfindungsgemäß stattfindet. In einer bevorzugten Implementierung, umfasst der Reaktor 14 einen Reaktor mit parallelen Platten. Ein solcher Reaktor mit parallelen Platten kann so konfiguriert werden, dass er nur ein Halbleiterwerkstück oder eine Halbleiterscheibe bearbeitet. Alternativ, kann ein solcher Reaktor so konfiguriert sein, dass er mehr als ein Halbleiterwerkstück oder eine Halbleiterscheibe bearbeitet.
  • Unter Bezugsnahme auf die 1 teilt der Leistungsteiler 16 in den dargestellten und bevorzugten Ausführungsformen die RF-Eingangsleistung, die vom RF-Leistungsgenerator 18 zur Verfügung gestellt wird in die RF Leistungskomponenten, die dann anschließend benützt werden, um getrennt Reaktorelektroden zu betreiben. In einer bevorzugten Implementierung wird eine solche Leistung in Übereinstimmung mit einem ausgewählten Leistungsverhältnis, das von einem Systemoperator bedient werden kann, geteilt. Bevorzugt unterscheidet sich dieses Verhältnis von einem direkten 1:1 Verhältnis. Solche geteilte Leistung wird anschließend über Leitungen oder Klemmen 15, 17 auf einzelne Elektroden, die einen Teil des Reaktors 14 umfassen, übertragen, wie es weiter unter beschrieben wird.
  • Unter Bezugnahme auf die 2, wird ein PECVD Reaktor nach einer bevorzugten Implementierung mit 20 bezeichnet. Der Reaktor 20 umfasst bevorzugt einen kapazitiven Plattenreaktor der konfiguriert oder nicht konfiguriert sein kann, um mehr als ein Werkstück oder eine Halbleiter – Scheibe zu bearbeiten. Bevorzugt definiert der Reaktor 20 eine Bearbeitungskammer 21, die eine erste darin angeordnete Elektrode 22 umfasst. Die Elektrode 22 ist so konfiguriert, dass sie mindestens ein Halbleiterwerkstück in Form eine Halbleiterscheibe W aufnimmt. Der Ausdruck „aufnimmt" soll, wie er in diesem Dokument und in Verbindung mit der ersten Elektrode in jeder der Ausführungsformen benutzt wird, das Halten oder Positionieren von einer oder mehrere Werktücke in der gewünschten Orientierung bedeuten, so dass die chemische Dampfabscheidung stattfinden kann. Entsprechend können die Halbleiterwerkstücke abgestützt gehalten oder anders positioniert werden, die sich von der gezeigten horizontale Position unterscheiden. Außerdem versteht man, dass die erfundenen Reaktoren und Verfahren in Systemen benützt werden können, die nicht unbedingt auf nur zwei Elektronen beschränkt sind, obgleich die Erfindung in Bezug auf ein System beschrieben wird, das nur zwei Elektroden umfasst. Die erste Elektrode 22 umfasst einen ersten Elektrodenoberflächenbereich 24 auf dem die Scheibe W bleibt, um erfindungsgemäß bearbeitet zu werden. Die erste Elektrode 22 ist in der dargestellten und bevorzugten Ausführungsform ein Suszeptor, der das Werkstück aufnimmt. Die Bearbeitungskammer 21 umfasst eine darin angeordnete zweite Elektrode 26. Zwischen den Elektroden gibt es einen Zwischenraum, so dass die Elektroden geeignet voneinander entfernt sind. In der dargestellten und bevorzugten Ausführungsform stellt die zweite Elektrode 26 eine Oberelektrode Elektrode dar, die betriebsfähig an den Suszeptor angrenzend angeordnet ist und die für eine Zuführung der gasförmigen Reaktionspartner in die Kammer aus der Kammerversorgungseinheit 12 (1) konfiguriert ist. Die gasförmigen Reaktionspartner können jedoch auf andere Weise in den Reaktor eingeführt werden. Die zweite Elektrode 26 definiert einen zweiten Elektroden-Oberflächenbereich 28, der sich von dem ersten Elektrooberflächenbereich 24 unterscheidet und kleiner als er ist. Dass heißt, dass der erste Elektrodenoberflächenbereich 24 größer ist als der zweite Elektrooberflächenbereich 28. Ein solcher Oberflächenunterschied zwischen der ersten und der zweiten Elektrode ermöglicht einen RF-Leistungsunterschied der sich zwischen den Elektroden entwickeln soll, die nur eine einzige RF-Leistungsquelle benutzen. Das wird aus der folgenden Beschreibung klarer.
  • Unter Bezugnahme auf die 2, sind die Leitungen 15 und 17 jeweils betriebsfähig mit einer ersten und einen zweiten Elektrode 22, 26 verbunden. Solche Leitungen verbinden den RF Leistungsgenerator 18 (1) mit den jeweiligen Elektroden über einen RF Leistungsteiler 16, der wegen der folgenden Beschreibung zwischen dem RF Leistungsgenerator und dem Suszeptor und der Oberelektrode angeordnet ist. Bevorzugt, umfasst der RF Leistungsgenerator 18 eine einzige Generatorleistungsquelle, die betriebsfähig mit der Bearbeitungskammer verbunden ist und so konfiguriert ist, dass sie RF-Leistung an den RF Leistungsteiler liefert, der seinerseits die RF Leistung dem Suszeptor und der Oberelektrode entsprechend einem gewählten Leistungsverhältnis bereitstellt, was später ausführlicher beschrieben wird. Das stellt eine Abweichung von den PECVD Reaktoren vom Stand der Technik dar, bei denen nur eine Oberelektrode durch eine RF-Leistungsquelle betrieben wurde, wobei die Suszeptor – Elektrode geerdet ist. Der dargestellte einzige RF-Leistungsgenerator wird bevorzugt konfiguriert, um den Elektroden RF-Leistung zur Verfügung zu stellen, was dazu geeignet ist, eine Plasmabearbeitungsumgebung in der Bearbeitungskammer zur Verfügung zu stellen und die gewünschte relative Vorspannung für das Halbleiter-Werkstück zu Verfügung zu stellen. Dadurch, dass die Elektroden in einem bevorzugten Leistungsunterschied gehalten werden, erleichtert die Beschleunigung der Ionen bzw. Ionenspezies zum betreffenden Werkstück oder der betreffenden Scheibe, was das konforme Abdeckung, insbesondere bei hohen Aspektverhältnis – Topographien verbessert. Außerdem wird eine größere Einheitliche der Film-bzw. Schichtzusammensetzung ebenso wie ein besserer Film bzw. eine bessere Schicht möglich.
  • Unter Bezugnahme auf die 3, wird eine andere Art eines nicht zu der vorliegenden Erfindung gehörenden PECVD Reaktor 30 beschrieben. Ein solcher Reaktor umfasst einen induktiv Spulen-PECVD Reaktor. Der Reaktor 30 umfasst eine Bearbeitungskammer 3l in der das chemische Dampfabscheidungsverfahren stattfinden kann. Eine erste Elektrode 32 wird in dem Reaktor angeordnet und wird konfiguriert, um mindestens ein Halbleiterwerkstück ebenso wie eine Halbleiterscheibe darauf aufzunehmen. Die erste Elektrode 32 wird von dem bevorzugten einzelnen RF-Leistungsgenerator 18 (1) betrieben. Es kann erfindungsgemäß mehr als eine Scheibe bearbeitet werden. Außerhalb der Bearbeitungskammer 31 ist eine zweite Elektrode 34 bereitgestellt und umfasst mehreren Spulen, die von demselben bevorzugten RF-Leistungsgenerator betrieben werden.
  • Unter Bezugnahme auf die 2 und 3, auf denen die PECVD Reaktoren dargestellt sind, umfassen Elektroden, die von einem RF Leistungsgeneratoren oder eine Stromversorgung betrieben werden. Nach der Implementierung der 2, sind die beiden Elektroden in der Bearbeitungskammer angeordnet. Nach der Implementierung der 3, ist mindestens eine Elektrode außerhalb der Bearbeitungskammer angeordnet. Beide Elektroden in beiden Implementierungen werden von einem RF Generator wie dem Generator 18 auf der 1 betrieben. Wie oben beschrieben ist es eine Abweichung von dem PECVD Reaktor aus dem Stand der Technik bei dem die beiden Elektroden nicht mit einer RF-Leistung von einem gemeinsamen RF-Leistungsquelle betrieben werden.
  • Unter Bezugnahme auf die 4, wird ein RF – Leistungsteiler mit der Nummer 36 beschrieben. Der Leistungsteiler 36 umfasst in der dargestellten und bevorzugten Ausführungsform einen Transformator 38 der eine Eingangsseite, bzw. Primärwindungen 40 und eine Ausgangsseite bzw. Sekundär-Windungen 42 umfasst. Die Eingangsseite 40 ist betriebsfähig mit dem RF-Leistungsgenerator 18 (1) über ein Koaxialkabel 44 gekoppelt bzw. verbunden und empfängt die von ihm erzeugte Leistung. Die Ausgangsseite 42 umfasst mindestens zwei Ausgangsklemmen 15, 17, die mit der jeweiligen ersten und zweiten Elektrode 22, 26 (in der 2 der PECVD Reaktor) oder der ersten und den zweiten Elektrode 32, 34 (in der 3 der PECVD Reaktor) gekoppelt oder verbunden sind. In einer bevorzugten Implementierung, hat die Ausgangsseite nicht mehr als zwei Klemmen, und die erste und die zweite Elektrode stellen die einzigen Elektroden der Bearbeitungskammer dar, die dadurch betrieben werden. Der Leistungsteiler 36 verteilt die vom Leistungsgenerator 18 kommende Leistung auf die erste und die zweite Leistungskomponente, die danach der jeweiligen Elektrode zur Verfügung gestellt sind. Die Ausgangsseite des bevorzugten Transformators versorgt die erste und die zweite Elektrode entsprechend einem unten beschriebenen ausgewählten Leistungsverhältnis. Es wird ein geeignetes Abgleichnetzwerk 46 aus Impedanzabgleichgründen bereitgestellt. Solche Netzwerke umfassen typisch verschiedene Widerstands – und Induktivkomponenten die für die Impedanzabgleichung konfiguriert sind. Sie werden in dem Blockdiagramm in der Box 46 dargestellt.
  • Nach einem bevorzugten Aspekt der Erfindung, umfasst der RF – Leistungsteiler 36 einen mit Mittelabgriff versehenen Transformator in dem die Ausgangsleistung, die der jeweiligen ersten und zweiten Elektrode zur Verfügung gestellt wird, im wesentlichen die gleiche Größe hat. Das ist wünschenswert wenn der Leistungsteiler 36 in Verbindung mit dem PECVD Reaktor der 2 benutzt wird. Unter solchen Umständen, ist es gefunden worden, dass das auf die Elektroden aufgebrachte Leistungsverhältnis proportional ist zu den Oberflächenbereichen 24, 28 der Elektroden 22, 26. Es kann also, indem die betreffenden Oberflächen-Bereiche geändert oder betätigt werden, so kann man das Leistungsverhältnis betätigen oder auswählen und die Größe der ersten und zweiten Leistungskomponente beeinflussen, wie man es an den jeweiligen Elektrode, die mit solchen Leistungskomponenten beaufschlagt werden, sehen kann. In der dargestellten und bevorzugten Ausführungsform unterscheiden sich die Oberflächenbereiche voneinander, wobei der Suszeptor-Oberflächenbereich größer als der Oberelektroden-Oberflächenbereich ist. So wird ein Leistungsunterschied entsprechend einem definierten Verhältnis ermöglicht. Ein solches Verhältnis besteht aus einer vordefinierten relativen Größe, die direkt proportional zum umgekehrten Verhältnis der vierten Potenz der Elektrodenflächen ist. Auf andere Weise ausgedrückt, kann man durch die Variierung der relativen Verhältnisse der Oberflächenbereiche zwischen dem Suszeptor und der Oberelektrode eine Variierung der darauf aufgebrachten Leistung erreichen. In der dargestellten und bevorzugten Ausführungsform, hat die zweite Elektrode, bzw. die Oberelektrode 26 einen Oberflächenbereich, der kleiner als der Oberflächenbereich der ersten Elektrode oder bzw. des Suszeptors 22 ist. Daraus ergibt sich, dass die Oberelektrode mit einer größeren Leistung beaufschlagt wird als der Suszeptor. Dadurch wird eine bevorzugte Abscheidung der in die Kammer 21 eingeführten gasförmigen Reaktionspartner ermöglicht, wodurch hochenergetische Spezies in Richtung der das Arbeitstück tragende Elektrode erzeugt werden.
  • Unter Bezugnahme auf die 5, wird ein bevorzugter Ersatz-Leistungsteiler mit 36a bezeichnet. Ein solcher bevorzugter Ersatz-Leistungsteiler ermöglicht die gewünschte Leistungsdifferenz in Bezug auf und unhabhängig von den Oberflächenverhältnissen zwischen den betreffenden Elektroden, für den Fall, dass sie mit dem Reaktor der 2 oder dem Reaktor der 3 verbunden sind. Es werden die gleichen Nummern wie für die ersten beschriebenen Leistungsteiler benutzt, wenn es angepasst ist, mit dem Unterschied, dass ein a hinzugefügt wird zu der Zahl oder, dass eine andere Zahl benutzt wird. Entsprechend, umfasst der Leistungsteiler 36a eine Eingangsseite 40, die mit dem RF-Generator 18 (1) gekoppelt ist, und eine Ausgangsseite 42a, die mit einem bevorzugten Reaktoren 20, 30 betriebsfähig gekoppelt ist. Der Reaktor 20 der 2 kann, aber braucht nicht eine Suszeptor – Elektrode und einer Oberelektrode mit entsprechenden Oberflächenbereichen die sich mehr gleichen, aufweisen. Der Leistungsteiler 36a erlaubt vorteilhafterweise eine Einstellung des ausgewählten Leistungsverhältnisses. auf eine Art, die die Beaufschlagung der Elektroden durch die Leistung variieren. Der RF – Leistungsteiler umfasst auf entsprechende Weise und gemäß der dargestellten und bevorzugten Ausführungsform einen Transformator, der eine Mehrzahl von Sekundärwindungen 42a umfasst. Sie können wie es bei 48 dargestellt ist, wünschenswerterweise auf unterschiedliche Art an die Erde angeschlossen werden.
  • Immer noch unter Bezugnahme auf die 5 und nur aus Darstellungsgründen, wird die Ausgangsseite 42a mit neun Windungen gezeigt. Indem verschiedene Windungen und Spulen wahlweise geerdet werden, wobei die die Oberelektrode und die Elektrode des Suszeptors zur Verfügung gestellt sind. Spezifischer, z.B. wenn die Spule bzw. Windung 2 wie gezeigt geerdet wird, empfängt die erste Elektrode d.h. entweder die Elektrode 22 (2) oder 32 (3) zwei neuntel (2/9) bzw. 22,2 % der Eingangsleistung vom Leistungsgenerator. Entsprechend empfängt die zweite Elektrode d.h. entweder die Elektrode 26 (2) oder 34 (3) sieben neuntel (7/9) bzw. 77,8 % der Eingangsleistung. Wenn die Spule bzw. Windung 7 geerdet sind, ist die Leistungsverteilung umgekehrt, d.h. die erste Elektrode empfängt sieben neuntel (7/9) der Eingangsleistung und die zweite Elektrode empfängt (2/9) der Eingangsleistung. So kann die Leistungsversorgung der bevorzugten Elektroden variiert werden, um verschiedene Bearbeitungsregime anzupassen. In der dargestellten und bevorzugten Ausführungsform der 5 ist der Leistungsteiler 36a in der Lage, durch einen Endbenutzer eingestellt zu werden, um das ausgewählte Leistungsverhältnis an die verschiedenen Beaarbeitungsverfahren anzupassen. Solche Bearbeitungsregime stellen bevorzugt der zweiten Elektrode ein größeres Leistungsquantum als der ersten Elektrode zur Verfügung. Alternativ ist die der Elektrode, die am nahesten am Halbleiterwerkstück liegt, zugeführte Leistung geringer als die Leistung die der Elektrode zugeführt wird, die weiter vom Werkstück entfernt liegt.
  • Entsprechend sind zwei getrennte und bevorzugte Leistungsteiler beschrieben worden. Der erste von ihnen (4) dient vorteilhaft zur Erzeugung von Ausgangsleistung die im Wesentlichen die gleiche Größe aufweisen. Ein solcher Leistungsteiler ist vorteilhaft für einen Einsatz in Reaktoren geeignet, wie ein Reaktor 20 der 2 bei dem die den dargestellten Elektroden zur Verfügung gestellte Endleistung durch Veränderung der Oberflächenverhältnisse der betreffenden Elektroden eingestellt Solche Leistungsteiler können auch in Verbindung mit dem Reaktor 30 benutzt werden. Alternativ und bevorzugt ermöglicht es ein Leistungsteiler 36a (5) die Ausgangsleistung unterschiedlich auf ein ausgewähltes Leistungsverhältnis einzustellen, das für die Benutzung in Reaktoren wie dem Reaktor 20 der 2 geeignet ist, bei dem die Elektroden keine bedeutende Abweichung zwischen den Elektrodenoberflächen aufweisen bzw. erfordern. Außerdem können solche Leistungsteiler benutzt werden und werden solche Leistungsteiler bevorzugt in Verbindung mit dem Reaktor 30 der 3 benutzt.
  • Unter Bezugnahme auf die 6, wird ein repräsentatives Flussdiagramm eines bevorzugten Bearbeitungsverfahrens von Halbleiterwerkstücken in Verbindung mit den oben beschriebenen Reaktoren allgemein bei 100 dargestellt. Die bevorzugte Methodologie umfasst zuerst beim Schritt 110 die Anordnung eines Halbleiterwerkstücks in einem geeigneten Reaktor der oben beschriebenen PECVD – Reaktoren. Entsprechend einer bevorzugten Implementierung wird ein Suszeptor zum Tragen des Werkstücks in der Bearbeitungskammer bereitgestellt. Eine Obererektrode 26 wird auf durchführbare Weise angrenzend an den Suszeptor bereitgestellt und ist konfiguriert, um gasförmige Reaktionspartner in der Kammer zur Verfügung zu stellen. Beim Schritt 112 werden gasförmige Reaktionspartner in der Reaktionskammer zur Verfügung gestellt, beim Schritt 114 wird eine RF – Leistung von einer bevorzugten einzelnen oder gemeinsamen RF – Leistungsquelle zur Verfügung gestellt. Beim Schritt 116, wird die bereitgestellte RF – Leistung in erste und zweite Leistungskomponenten geteilt, die selektiv den entsprechenden oben beschrieben Elektroden zur Verfügung gestellt werden. Eine erste Elektrode wird z.B. beim Schritt 118 mit einer ersten Leistungskomponente beaufschlagt. Eine zweite Elektrode wird z.B. beim Schritt 120 mit einer zweiten Leistungskomponente beaufschlagt. Die beaufschlagenden Leistungskomponenten unterscheiden sich voneinander, wobei eine solche Differenz von einer Änderung der Elektrodenoberflächen (2) und wahlweise von einer unterschiedlich auswählbaren Erdung der sekundären bzw. Ausgangsseite 42a (5) des Leistungsteilers 36a herrühren. Nach einer bevorzugten Implementierung kann eine, von der Zentralspule unterschiedliche Transformatorausgangsspule, wahlweise geerdet werden um die relativen Größen der Leistungskomponenten zu variieren, so wie es im wahlweisen Schritt 122 angegeben ist, bei dem ein einzelner Benutzer ein gewünschtes Leistungsverhältnis zwischen den Reaktorelektroden auswählen kann. Beim Bearbeitungsschritt 124, bei dem die ausgewählten Elektroden mit dem gewünschten Leistungsverhältnis beaufschlagt werden, wird das Halbleiterwerkstück mit Hilfe einer Dampfabscheidung bearbeitet. Beim Schritt 126 ist die Bearbeitung beendet und es kann ein neues Werkstück entsprechend der obigen Beschreibung bearbeitet werden.
  • Die Erfindung ist entsprechend der Gesetzgebung in einer mehr oder weniger spezifischen Sprache betreffend die strukturellen und methodischen Kennzeichen beschrieben worden. Es versteht sich jedoch, dass die Erfindung nicht auf die gezeigten und beschriebenen spezifischen Kennzeichen begrenzt ist, da die in diesem Text offen gelegte Bedeutung bevorzugte Formen der Ausführung der Erfindung umfasst.

Claims (20)

  1. Kapazitiver Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) umfassend: eine Bearbeitungskammer (21); eine erste Elektrode (22) in der Kammer (21), die so konfiguriert ist, dass sie mindestens ein Halbleiterwerkstück (W) trägt; eine zweite Elektrode (26) in der Kammer (21), die betriebsmäßig an die erste Elektrode (22) angrenzt und so konfiguriert ist, um gasförmige Reaktionspartner in der Kammer (21) bereitzustellen; einen einzigen Radiofrequenzleistungsgenerator, der betriebsmäßig an die erste Elektrode (22) und die zweite Elektrode (26) gekoppelt ist und so konfiguriert ist, dass er Radiofrequenzleistung den besagten Elektroden bereitstellt, die dahingehend wirkt, dass eine Plasma-Bearbeitungsumgebung in der Kammer (21) und ein gewünschtes Bias in Bezug auf das Halbleiterwerkstück (W) entwickelt wird; einen Radiofrequenzleistungsteiler (36, 36a) umfassend einen Transformator (38) mit einer Primärwicklung (40) und einer separaten Sekundärwicklung (42, 42a), wobei die Primärwicklung (40) an den Radiofrequenzleistungsgenerator gekoppelt ist und die Sekundärwicklung (42, 42a) sowohl an die erste Elektrode (22) als auch an die zweite Elektrode (26) gekoppelt ist; und wobei der Reaktor dadurch gekennzeichnet ist, dass die erste Elektrode (22) ein erstes Oberflächengebiet aufweist, die zweite Elektrode (26) ein zweites Oberflächengebiet aufweist, wobei das besagte zweite Oberflächengebiet kleiner als das erste Oberflächengebiet ist, und der besagte Radiofrequenzleistungsteiler (36, 36a) so konfiguriert ist, dass Leistung vom Radiofrequenzleistungsgenerator sowohl der ersten Elektrode (22) als auch der zweiten Elektrode (26) mit einem ausgewählten Leistungsverhältnis zwischen der ersten Elektrode (22) und der zweiten Elektrode (26), welches proportional zum umgekehrten Verhältnis zwischen dem ersten und dem zweiten Oberflächengebiet ist, bereitgestellt wird.
  2. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, dadurch gekennzeichnet, dass sich das ausgewählte Leistungsverhältnis vom Verhältnis 1:1 unterscheidet.
  3. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, dadurch gekennzeichnet, dass der Radiofrequenzleistungsteiler (36, 36a) einen Transformator (38) umfasst, einschließlich eine mit Mittelabgriff versehene Sekundärwicklung (42, 42a) mit mindestens zwei Ausgangsklemmen (15, 17), individuellen Ausgangsklemmen (15, 17), die an eine entsprechende Klemme der ersten Elektrode (22) und der zweiten Elektrode (26) angeschlossen sind.
  4. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, dadurch gekennzeichnet, dass der Radiofrequenzleistungsteiler (36, 36a) einen Transformator (38), einschließlich eine mit Mittelabgriff versehene Sekundärwicklung (42, 42a) umfasst.
  5. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, dadurch gekennzeichnet, dass das ausgewählte Leistungsverhältnis einstellbar ist.
  6. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, dadurch gekennzeichnet, dass der Radiofrequenzleistungsteiler (36, 36a) einen Transformator (38) mit einer Vielzahl variabel erdbarer Sekundärwicklungen (42, 42a) zur Änderung des ausgewählten Leistungsverhältnisses umfasst.
  7. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, dadurch gekennzeichnet, dass der Radiofrequenzleistungsteiler (36, 36a) eine Vielzahl variabel erdbarer Sekundärwicklungen (42, 42a) zur Einstellung des ausgewählten Leistungsverhältnisses umfasst.
  8. Verfahren (100) zur Halbleiterbearbeitung von plasmaaktiviertem chemischen Dampfabscheidungsmaterial über mindestens einem Halbleiterwerkstück (W) in einer Behandlungskammer (10) umfassend: Bereitstellen einer Bearbeitungskammer (21); Bereitstellen einer ersten Elektrode (22) in der Kammer (21), die so konfiguriert ist, dass sie mindestens ein Halbleiterwerkstück (W) trägt; Bereitstellen einer zweiter Elektrode (26) in der Kammer (21), die betriebsmäßig an die erste Elektrode (22) angrenzt und so konfiguriert ist, dass sie gasförmige Reaktionspartner in der Kammer (21) bereitstellt; Bereitstellen eines einzigen Radiofrequenzleistungsgenerators, der betriebsmäßig an die erste Elektrode (22) und die zweite Elektrode (26) gekoppelt ist und so konfiguriert ist, dass sie Radiofrequenzleistung den besagten Elektroden bereitstellt, die dahingehend wirkt, dass eine Plasma – Bearbeitungsumgebung in der Kammer (21) und ein gewünschtes Bias in Bezug auf das Halbleiterwerkstück (W) entwickelt wird; Teilen (116) einer Radiofrequenzleistung mit Hilfe eines Radiofrequenzleistungsteilers (36, 36a), umfassend einen Transformator (38) mit einer Primärwicklung (40) und einer separaten Sekundärwicklung (42, 42a), wobei die Primärwicklung (40) an den Radiofrequenzleistungsgenerator gekoppelt ist und die Sekundärwicklung (42, 42a) sowohl an die erste Elektrode (22) als auch die zweite Elektrode (26) gekoppelt ist; wobei das Verfahren dadurch gekennzeichnet ist, dass die erste Elektrode (22) ein erstes Oberflächengebiet aufweist, die zweite Elektrode (26) ein zweites Oberflächengebiet aufweist, wobei das besagte zweite Oberflächengebiet kleiner als das erste Oberflächengebiet ist, und der besagte Radiofrequenzleistungsteiler (36, 36a) so konfiguriert ist, dass Leistung vom Radiofrequenzleistungsgenerator der ersten Elektrode (22) und der zweiten Elektrode (26) mit einem ausgewählten Leistungsverhältnis zwischen der ersten Elektrode (22) und der zweiten Elektrode (26), welches proportional zum umgekehrten Verhältnis zwischen dem ersten und dem zweiten Oberflächengebiet ist, bereitgestellt wird.
  9. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass sich das ausgewählte Leistungsverhältnis vom Verhältnis 1:1 unterscheidet.
  10. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Teilung (116) die Teilung (116) einer Radiofrequenzleistung umfasst, wobei das Verfahren einen Transformator (38) benutzt, umfassend eine mit Mittelabgriff versehene Sekundärwicklung (42, 42a) mit mindestens zwei Ausgangsklemmen (15, 17), individuellen Ausgangsklemmen (15, 17), die an eine entsprechende Klemme der ersten Elektrode (22) und der zweiten Elektrode (26) angeschlossen sind.
  11. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Teilung (116) die Teilung (116) einer Radiofrequenzleistung umfasst, wobei das Verfahren einen Transformator (38) benutzt, umfassend eine mit Mittelabgriff versehene Sekundärwicklung (42, 42a)
  12. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass das ausgewählte Leistungsverhältnis einstellbar ist.
  13. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Teilung (116) die Teilung (116) einer Radiofrequenzleistung umfasst, wobei das Verfahren einen Transformator (38) mit einer Vielzahl variabel erdbarer Sekundärwicklungen (42, 42a) zur Änderung des ausgewählten Leistungsverhältnisses benutzt.
  14. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Teilung (116) die Teilung (116) einer Radiofrequenzleistung umfasst, wobei das Verfahren eine Vielzahl variabel erdbarer Sekundärwicklungen (42, 42a) zur Einstellung des ausgewählten Leistungsverhältnisses benutzt.
  15. Kapazitiver Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, wobei: der besagte Radiofrequenzleistungsteiler (36, 36a) so konfiguriert ist, dass er Ausgangsleistungskomponenten produziert, die im wesentlichen gleiche Magnituden haben, um die Leistung vom Radiofrequenzgenerator sowohl der ersten Elektrode als auch der zweiten Elektrode bereitzustellen, und wobei die Leistungsmagnitude, mit der die zweite Elektrode durch den Radiofrequenzleistungsgenerator beaufschlagt wird, höher ist als die Leistungsmagnitude mit der die erste Elektrode beaufschlagt wird, wodurch ein vom Verhältnis 1 : 1 unterschiedliches Leistungsverhältnis bereitgestellt wird.
  16. Reaktor zur plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 15, dadurch gekennzeichnet, dass der Radiofrequenzleistungsteiler (36, 36a) einen Transformator (38) umfasst, einschließlich eine mit Mittelabgriff versehene Sekundärwicklung (42, 42a) mit mindestens zwei Ausgangsklemmen (15, 17), individuellen Ausgangsklemmen (15, 17), die an eine entsprechende Klemme der ersten Elektrode (22) und der zweiten Elektrode (26) angeschlossen ist.
  17. Reaktor zur radiofrequenzbetriebenen plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 15, dadurch gekennzeichnet, dass der Radiofrequenzleistungsteiler (36, 36a) einen Transformator (38), umfasst, einschließlich eine mit Mittelabgriff versehene Sekundärwicklung (42, 42a) umfasst.
  18. Reaktor zur radiofrequenzbetriebenen plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 15, dadurch gekennzeichnet, dass das Leistungsverhältnis selektiv einstellbar ist, indem das Oberflächengebietsverhältnis der ersten und zweiten Elektroden eingestellt wird.
  19. Reaktor zur radiofrequenzbetriebenen plasmaaktivierten chemischen Dampfabscheidung (10) nach Anspruch 1, worin das Leistungsverhältnis selektiv variiert werden kann, indem mindestens eines der ersten und zweiten Oberflächengebiete modifiziert wird.
  20. Ein Verfahren nach Anspruch 8, umfassend außerdem die Modifizierung mindestens eines der ersten und zweiten Oberflächengebiete, um selektiv das Leistungsverhältnis zu variieren.
DE69934101T 1998-02-19 1999-02-16 Reaktor zur radiofrequenzbetriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren zur durchführung der genannten abscheidung Expired - Lifetime DE69934101T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/026,042 US6395128B2 (en) 1998-02-19 1998-02-19 RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
PCT/US1999/003291 WO1999043018A1 (en) 1998-02-19 1999-02-16 Rf powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US26042 2001-12-21

Publications (2)

Publication Number Publication Date
DE69934101D1 DE69934101D1 (de) 2007-01-04
DE69934101T2 true DE69934101T2 (de) 2007-06-21

Family

ID=21829553

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69934101T Expired - Lifetime DE69934101T2 (de) 1998-02-19 1999-02-16 Reaktor zur radiofrequenzbetriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren zur durchführung der genannten abscheidung

Country Status (9)

Country Link
US (5) US6395128B2 (de)
EP (2) EP1764822A3 (de)
JP (1) JP3527201B2 (de)
KR (1) KR100388530B1 (de)
AT (1) ATE346375T1 (de)
AU (1) AU2767499A (de)
DE (1) DE69934101T2 (de)
TW (1) TW460606B (de)
WO (1) WO1999043018A1 (de)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6838380B2 (en) * 2001-01-26 2005-01-04 Fei Company Fabrication of high resistivity structures using focused ion beams
US6660651B1 (en) 2001-11-08 2003-12-09 Advanced Micro Devices, Inc. Adjustable wafer stage, and a method and system for performing process operations using same
US6861105B2 (en) * 2002-06-18 2005-03-01 Guardian Industries Corp. Method of making automotive trim with chromium inclusive coating thereon, and corresponding automotive trim product
US7406245B2 (en) * 2004-07-27 2008-07-29 Lumitex, Inc. Flat optical fiber light emitters
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR101183140B1 (ko) * 2004-05-06 2012-09-14 주성엔지니어링(주) 펄스형 알에프전력을 이용하는 공정장비 및 이를 이용한기판의 처리방법
US20050258148A1 (en) * 2004-05-18 2005-11-24 Nordson Corporation Plasma system with isolated radio-frequency powered electrodes
KR100876050B1 (ko) * 2004-12-28 2008-12-26 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US7863582B2 (en) * 2008-01-25 2011-01-04 Valery Godyak Ion-beam source
EP2145979A1 (de) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Verfahren und Anlage zum gleichzeitigen Auftragen von Schichten auf beide Seiten eines Substrats
EP2145978A1 (de) * 2008-07-16 2010-01-20 AGC Flat Glass Europe SA Verfahren und Anlage zum Auftragen von Schichten auf ein Substrat
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US8755204B2 (en) * 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US9598771B2 (en) 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP5788616B1 (ja) 2015-02-04 2015-10-07 株式会社京三製作所 高周波電源装置、及びデュアルカソード用電源
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS63193527A (ja) 1987-02-06 1988-08-10 Mitsubishi Electric Corp エツチング装置
US5230931A (en) 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JPH02213480A (ja) 1989-02-14 1990-08-24 Nippon Light Metal Co Ltd 高周波プラズマ発生用アルミニウム電極
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3016821B2 (ja) 1990-06-15 2000-03-06 東京エレクトロン株式会社 プラズマ処理方法
FR2663806A1 (fr) 1990-06-25 1991-12-27 Commissariat Energie Atomique Reacteur a plasma du type triode, utilisable notamment pour la gravure, le depot ou le nettoyage de surfaces.
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5052339A (en) 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
JPH04362091A (ja) 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
JPH05175163A (ja) * 1991-12-24 1993-07-13 Mitsubishi Electric Corp プラズマ処理装置
EP0552491B1 (de) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
JP3122228B2 (ja) 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3351843B2 (ja) 1993-02-24 2002-12-03 忠弘 大見 成膜方法
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5433786A (en) 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
US5468296A (en) 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
DE69512371T2 (de) 1994-05-13 2000-04-06 Applied Materials Inc Magnetisch verbesserte multiple kapazitive plasmagenerationsvorrichtung und verfahren
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH0831806A (ja) * 1994-07-20 1996-02-02 Hitachi Ltd プラズマ処理装置
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
WO1996039794A1 (fr) 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Alimentation servant a une decharge par electrodes multiples
US5656123A (en) 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
TW323387B (de) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5865937A (en) * 1995-08-21 1999-02-02 Applied Materials, Inc. Broad-band adjustable power ratio phase-inverting plasma reactor
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
KR970052089A (de) 1995-12-05 1997-07-29
JPH09167755A (ja) 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
JP2882339B2 (ja) * 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
EP1057205A1 (de) 2000-12-06
US20010003270A1 (en) 2001-06-14
AU2767499A (en) 1999-09-06
EP1057205B1 (de) 2006-11-22
US20020056416A1 (en) 2002-05-16
DE69934101D1 (de) 2007-01-04
EP1764822A2 (de) 2007-03-21
US6235646B1 (en) 2001-05-22
US6159867A (en) 2000-12-12
TW460606B (en) 2001-10-21
US20020061656A1 (en) 2002-05-23
WO1999043018A1 (en) 1999-08-26
US6395128B2 (en) 2002-05-28
US6533894B2 (en) 2003-03-18
JP3527201B2 (ja) 2004-05-17
KR100388530B1 (ko) 2003-06-25
JP2002504749A (ja) 2002-02-12
ATE346375T1 (de) 2006-12-15
US6705246B2 (en) 2004-03-16
EP1764822A3 (de) 2008-01-23
KR20010086231A (ko) 2001-09-10

Similar Documents

Publication Publication Date Title
DE69934101T2 (de) Reaktor zur radiofrequenzbetriebenen plasma-aktivierten chemischen dampfabscheidung und verfahren zur durchführung der genannten abscheidung
DE69834020T2 (de) Kapazitiv gekoppelter rf plasmareaktor und verfahren zur herstellung von werkstücken
DE69628358T2 (de) Plasmaätzmethode
DE19640247C2 (de) Gasverteilungssystem für chemisches Dampfabscheidungsgerät
DE3726006C2 (de)
EP1287548B1 (de) Plasmaätzanlage
EP0727508B1 (de) Verfahren und Vorrichtung zur Behandlung von Substratoberflächen
EP0783174B1 (de) Vorrichtung zum Beschichten eines Substrats
EP0309648A1 (de) Vorrichtung zum Beschichten oder Ätzen mittels eines Plasmas
EP0089382B1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten
DE10080124B3 (de) Substratverarbeitungssystem, dessen Verwendung sowie Verfahren zur Bearbeitung eines Substrates
WO2010051982A1 (de) Verfahren und vorrichtung zur plasmabehandlung eines flachen substrats
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE3507337A1 (de) Vorrichtung zur durchfuehrung von prozessen im vakuum
DE112018002924T5 (de) Plasmaabstreifwerkzeug mit einheitlichkeitssteuerung
DE102006007431A1 (de) Durch Halbleitersilizium-Verfahrenstechnik gebildeter Probenträger
DE3540628A1 (de) Dampfniederschlagsverfahren und vorrichtung zu seiner durchfuehrung
DE10329389B4 (de) Verfahren zur Kompensierung von Ätzratenungleichförmigkeiten mittels Ionenimplantation
EP3475472B1 (de) Verfahren und vorrichtung zur herstellung von beschichteten halbleiterscheiben
DE60133452T2 (de) Verfahren zur Justierung von Verarbeitungsparametern plattenförmiger Gegenstände in einer Verarbeitungsvorrichtung
DE19713637A1 (de) Teilchenmanipulierung
DE112010003657T5 (de) Ätzanlage
WO2019233750A1 (de) Lineare mikrowellen-plasmaquelle mit getrennten plasmaräumen
EP0728298B1 (de) Verfahren zum erzeugen wenigstens einer ausnehmung in einer oberfläche eines substrats als formbett für eine membran durch trockenätzen
DE3447541A1 (de) Massenspektrometer, insbesondere zur analyse von isolatoren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition