DE69937042T2 - Kombinatorische vorrichtung für epitaktische molekularschicht - Google Patents

Kombinatorische vorrichtung für epitaktische molekularschicht Download PDF

Info

Publication number
DE69937042T2
DE69937042T2 DE69937042T DE69937042T DE69937042T2 DE 69937042 T2 DE69937042 T2 DE 69937042T2 DE 69937042 T DE69937042 T DE 69937042T DE 69937042 T DE69937042 T DE 69937042T DE 69937042 T2 DE69937042 T2 DE 69937042T2
Authority
DE
Germany
Prior art keywords
substrate
molecular layer
chamber
layer epitaxy
combinatorial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69937042T
Other languages
English (en)
Other versions
DE69937042D1 (de
Inventor
Masashi Tokyo Inst. Tech KAWASAKI
Hideomi Tokyo Inst. Tech KOINUMA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kawasaki Masashi Yokohama
Japan Science and Technology Agency
Original Assignee
Kawasaki Masashi Yokohama
Japan Science and Technology Agency
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP25897098A external-priority patent/JP3028129B2/ja
Priority claimed from JP25896898A external-priority patent/JP3192404B2/ja
Priority claimed from JP10258969A external-priority patent/JP3018001B1/ja
Priority claimed from JP10258967A external-priority patent/JP3018000B1/ja
Application filed by Kawasaki Masashi Yokohama, Japan Science and Technology Agency filed Critical Kawasaki Masashi Yokohama
Publication of DE69937042D1 publication Critical patent/DE69937042D1/de
Application granted granted Critical
Publication of DE69937042T2 publication Critical patent/DE69937042T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/002Controlling or regulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00436Maskless processes
    • B01J2219/00443Thin film deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00436Maskless processes
    • B01J2219/00445Ion implantation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00495Means for heating or cooling the reaction vessels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • B01J2219/00536Sheets in the shape of disks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00585Parallel processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/0059Sequential processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00596Solid-phase processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/00612Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports the surface being inorganic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00659Two-dimensional arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/0068Means for controlling the apparatus of the process
    • B01J2219/00686Automatic
    • B01J2219/00689Automatic using computers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00745Inorganic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00745Inorganic compounds
    • B01J2219/00747Catalysts
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B30/00Methods of screening libraries
    • C40B30/08Methods of screening libraries by measuring catalytic activity
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B40/00Libraries per se, e.g. arrays, mixtures
    • C40B40/18Libraries containing only inorganic compounds or inorganic materials
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B60/00Apparatus specially adapted for use in combinatorial chemistry or with libraries
    • C40B60/14Apparatus specially adapted for use in combinatorial chemistry or with libraries for creating libraries
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/90Apparatus characterized by composition or treatment thereof, e.g. surface finish, surface coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • Y10T117/1008Apparatus with means for measuring, testing, or sensing with responsive control means

Description

  • Technisches Gebiet
  • Diese Erfindung bezieht sich auf eine kombinatorische Molekularschicht-Epitaxievorrichtung, die zum Ausbilden einer anorganischen Überstruktur, einer metallischen Überstruktur oder einer organischen Überstruktur nützlich ist, insbesondere um eine effiziente Suche nach Substanzen in einer kurzen Zeitdauer durchzuführen.
  • Die Erfindung bezieht sich ferner auf eine kombinatorische Molekularschicht-Epitaxievorrichtung, die ermöglicht, dass ein Substrat oder Substrate in der Vorrichtung als Dünnschicht-Ausbildungssystem transportiert wird oder werden und in einem Zustand transportiert wird oder werden, in dem sie erhitzt bleiben, und aufeinander folgende Bearbeitungskammern als unabhängige Vakuumkammern gebildet sind, wobei der Druck und die Temperatur darin von einer Kammer unabhängig von der anderen steuerbar sind.
  • Stand der Technik
  • In letzter Zeit wurde nach der Entdeckung von supraleitenden Lanthan/Barium/Kupfer-Oxid-Materialien ein großer Fortschritt in Dünnschicht-Ausbildungstechnologien für Hochtemperatur-Supraleiteroxide gemacht. Mit einem solchen Fortschritt wurden Anstrengungen ausgedehnt aufgewendet, um nach einer Vielfalt von neuen funktionalen Substanzen für metallische, anorganische und organische Materialien zu suchen und diese zu untersuchen.
  • Auf dem Gebiet der Ausbildung von Dünnschichten von Hochtemperatur-Supraleiteroxiden macht es die Tatsache, dass ein funktionales Oxidmaterial wie z. B. vom Perovskit-Typ selbst ein Mehrkomponentenmaterial mit mehreren Oxiden ist, schwierig, ein optimiertes Komponentenverhältnis und eine Korrelation zwischen Dünnschicht-Herstellungsbedingungen und resultierenden Eigenschaften theoretisch vorherzusagen, und stellt keine Alternative bereit, außer eine empirische Methode zur Optimierung zu übernehmen.
  • Unter den Umständen führte X.-D. Xiang et al. eine Suche nach Oxid- Hochtemperatur-Supraleitern beim Kombinieren eines Mehrfach-Zerstäubungs-Dünnschicht-Ausbildungsprozesses mit einem Maskenstrukturierungsverfahren zum Abdecken von speziellen Bereichen auf einem Substrat mit Masken und Bewirken einer kombinatorischen Synthese von anorganischen Materialien durch, wobei eine Anzahl von anorganischen Substanzen parallel zueinander synthetisiert werden, und zeigte, dass diese Methode bei der funktionalen Suche nach einem Mehrkomponentenmaterial Leistung hatte (X.-D. Xiang et al., Science, 268, 1738 (1995)).
  • G. Briceno et al. stellte bei der Suche nach Materialien mit riesigem Magnetowiderstand (CMR) aus einem neuen Material: LnXMYCoO3-δ(Ln = La, Y; M = Ba, Sr, Ca, Pb) mit Kobaltoxid als seiner Basiskomponente 128 Prüfstücke mit verschiedenen Zusammensetzungen her, die unter Verwendung einer kombinatorischen Synthese durch Zerstäuben verdampft und anschließend in einer Sauerstoffatmosphäre gesintert wurden. Und auf der Basis der Messung des Magnetwiderstandes dieser Prüfstücke deckten sie auf, dass sogar ein Mehroxidmaterial ein maximales Magnetwiderstandsverhältnis von 72 % CMR aufwies. Signifikant wurden die Entdeckung und Optimierung eines neuen CMR-Materials auf CoO2-Basis bei der Durchführung einer kombinatorischen Synthese nur zweimal mit verschiedenen Sinterbedingungen erreicht.
  • Es ist jedoch zu sehen, dass eine kombinatorische Synthese, auf die vorstehend Bezug genommen wurde, für anorganische Materialien, wobei das Ausbilden von Dünnschichten bei Raumtemperatur bewirkt wird, in beiden Fällen nur eine Rolle der einfachen Steuerung der Zusammensetzungen spielt. Keine kombinatorische Synthese wurde auch zur Realität von Dünnschichten mit einer Überstruktur, die durch epitaktisches Wachstum für jede von Molekularschichten von entweder organischen oder anorganischen Materialien ausgebildet werden.
  • Andererseits wird bemerkt, dass in einem herkömmlichen Dünnschicht-Herstellungssystem, das mehrere Bearbeitungsstufen beinhaltet, Wafer zwischen verschiedenen Prozessstufen durch den Menschen oder einen Roboter transportiert wurden, Druck- und Temperatur-Prozessparameter für die individuellen Bearbeitungsstufen nacheinander eingestellt wurden.
  • Insbesondere wenn ein Wafer eine saubere Oberfläche haben muss, müssen Wafer durch einen Transportweg transportiert werden, der in einem Reinraum hermetisch abgedichtet ist.
  • Da eine solche Transporteinrichtung normalerweise nicht für Hochtemperaturwafer ausgelegt ist, war es jedoch üblich, sich auf eine zeitraubende Prozedur zu verlassen, bei der in einer gegebenen Prozessstufe bearbeitete heiße Wafer auf Raumtemperatur gekühlt und dann in eine nächste Prozessstufe transportiert werden, in der sie auf eine erforderliche Temperatur zur Bearbeitung erhitzt werden.
  • Ferner macht es der Bedarf, die Prozessparameter wie z. B. einen Reaktionsdruck und eine Wafertemperatur nacheinander für die aufeinander folgenden Bearbeitungsstufen individuell einzurichten, ungeeignet, Wafer kontinuierlich in verschiedenen Prozessstufen zu bearbeiten.
  • Folglich dient die vorliegende Erfindung dazu, Probleme wie jene, die im Stand der Technik, wie beschrieben, angetroffen werden, zu lösen, und ihre erste Aufgabe ist es, eine kombinatorische Molekularschicht-Epitaxievorrichtung zu schaffen, die ermöglicht, dass Molekularschichten jeweils individuell durch epitaktisches Wachstum ausgebildet werden, um eine anorganische, metallische oder organische Überstruktur solcher Molekularschichten auszubilden, und die ermöglicht, dass eine effiziente Suche nach einer Substanz in einer kurzen Zeitdauer durchgeführt wird.
  • Eine weitere Aufgabe der vorliegenden Erfindung ist es, eine kombinatorische Molekularschicht-Epitaxievorrichtung zu schaffen, die in der Lage ist, Wafer in ihrem erhitzten Zustand zu transportieren, und die ermöglicht, dass aufeinander folgende Bearbeitungskammern als unabhängige Vakuumkammern gebildet werden, wobei der Druck und die Temperatur darin von einer Kammer unabhängig von der anderen steuerbar sind.
  • Offenbarung der Erfindung
  • Um die vorstehend erwähnte erste Aufgabe zu erfüllen, schafft die vorliegende Erfindung eine kombinatorische Molekularschicht-Epitaxievorrichtung, die umfasst eine gemeinsame Kammer mit einem steuerbaren Innendruck; eine oder mehrere transportierbare Substratheizeinheiten mit einem Substrathalter zum Halten von einem oder mehreren Substraten in der gemeinsamen Kammer; und eine oder mehrere Prozessausführungskammern mit einem steuerbaren Innendruck, die so vorgesehen sind, dass sie den Substratheizeinheiten entsprechen, wobei die Prozessausführungskammern eine Wachstumskammer, die Rohmaterialvielfalt-Zufuhrmittel zum Zuführen von Rohmaterialien auf das durch die Substratheizeinheit gehaltene Substrat, ein Gaszufuhrmittel zum Zuführen eines Gases auf eine Oberfläche des Substrats und ein Sofortbeobachtungsmittel zum sofortigen Beobachten des epitaktischen Wachstums einer monomolekularen Schicht für jede der Schichten auf der Substratoberfläche umfassen, wodurch ermöglicht wird, dass die Wachstumstemperatur, der Wachstumsdruck und die Zufuhr der Rohmaterialien für jedes der Substrate gesteuert werden, und eine Gruppe von Substanzen erzeugt wird, deren Wachstum jeweils epitaktisch in einer individuellen monomolekularen Schicht bewirkt wird und die in einer einzelnen Reihe von Reaktionen für jedes der Substrate systematisch gemäß Angaben des Sofortbeobachtungsmittels zusammengebracht werden.
  • Die vorstehend beschriebene Konstruktion ermöglicht, dass [mehrere Rohmaterialien] × [mehrere Substrate] × [Reaktionsparameter wie z. B. Temperatur, Druck und Fluss (Aufbaurate) aus der Gasphase] unabhängig voneinander ausgewählt oder gesteuert werden und in einer beliebigen gewünschten Kombination zusammengestellt werden, und ist daher in der Lage, in einer einzelnen Reihe von Reaktionen eine Gruppe von Substanzen in einer Epitaxiewachstums-Übergitterstruktur systematisch gesteuert zu synthetisieren oder zusammenzubringen.
  • In einer kombinatorischen Molekularschicht-Epitaxiewachstumsvorrichtung gemäß der vorliegenden Erfindung umfassen die Rohmaterialvielfalt-Zufuhrmittel vorzugsweise auch ein Laser-Molekularstrahl-Epitaxiemittel zum Verdampfen von mehreren Targets von verschiedenen festen Rohmaterialien mit einem Excimerlaserstrahl und zum Ausbilden einer Dünnschicht einer Zusammensetzung, wie auf jedem der Substrate angestrebt.
  • Diese Konstruktion ermöglicht, dass eine begrenzte Tiefe der Oberfläche eines Targets vorübergehend verdampft und vergast wird, und eine Dünnschicht mit einer Zusammensetzung, wie angestrebt, ausgebildet wird. Es ist möglich, eine Dünnschicht z. B. aus einer anorganischen Überstruktur zu bilden.
  • In einer kombinatorischen Molekularschicht-Epitaxiewachstumsvorrichtung gemäß der vorliegenden Erfindung können die Rohmaterialvielfalt-Zufuhrmittel vorzugsweise auch ein Laser-Molekularstrahl-Epitaxiemittel umfassen und die Substrate bestehen aus einem Material, das aus der Gruppe ausgewählt ist, die aus α-Al2O3, YSZ, MgO, SrTiO3, LaAlO3, NdGaO3, YAIO3, LaSrGaO4, NdAlO3, Y2O5, SrLaAlO4, CaNdAlO4, Si und Verbundhalbleitern besteht. Ferner können die festen Target-Rohmaterialien Substanzen umfassen, die dazu ausgelegt sind, ein Material zu bilden, das aus der Gruppe ausgewählt ist, die aus einem Hochtemperatur-Supraleiter, einem lumineszenten Material, einem dielektrischen Material, einem ferroelektrischen Material, einem Material mit riesigem Magnetowiderstand und einem Oxidmaterial besteht.
  • Diese Konstruktion ermöglicht, dass ein Target-Rohmaterial konsistent zu einer Substratoberfläche geliefert wird, und macht die Wahrscheinlichkeit der Haftung zu fast 1 ungeachtet einer speziellen Komponente. Diese Merkmale wirken vorteilhafterweise bei der Bildung einer Dünnschicht von monomolekularen Schichten auf einem Substrat, die jeweils individuell zum Wachstum durch epitaktisches Wachstum veranlasst werden, eines Hochtemperatur-Supraleiters, eines lumineszenten Materials, eines dielektrischen Materials, eines ferroelektrischen Materials oder eines Materials mit riesigem Magnetowiderstand.
  • Ferner können in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung die Rohmaterialvielfalt-Zufuhrmittel vorzugsweise einen Target-Drehtisch, der so abgestützt ist, dass er drehbar und vertikal beweglich ist, um Targets zu tragen, und ein Maskierungsplattenmittel, das zwischen den Targets und den Substraten angeordnet ist und so abgestützt ist, dass es drehbar und vertikal beweglich ist, umfassen. Das Maskierungsplattenmittel kann vorzugsweise auch mehrere Maskierungsplatten mit verschiedenen Maskierungskonfigurationen umfassen, die der Reihe nach austauschbar sind, während ein epitaktisches Wachstum bewirkt wird. Ferner kann das Maskierungsplattenmittel eine Maske umfassen, die in Bezug auf die Substrate horizontal beweglich ist und dazu ausgelegt ist, eines oder beide des Substrats und eines gegebenen Bereichs davon mit der beweglichen Maske abzudecken und aufzudecken.
  • Diese Konstruktion mit Hilfe einer beweglichen Maske, die zur Bewegung veranlasst wird, um das Maskenplattenmittel mit Maskierungsmustern zu schaffen, ermöglicht, dass in der Zusammensetzung veränderte Übergitter-Dünnschichten oder eine laminierte Struktur in mehreren gegebenen Bereichen eines Substrats hergestellt werden.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung können die Rohmaterialvielfalt-Zufuhrmittel auch vorzugsweise ein Laser-Molekularstrahl-Epitaxiemittel umfassen und das Sofortbeobachtungsmittel kann dann ein Reflex-Hochenergieelektronenstrahl-Beugungsanalysemittel umfassen.
  • Diese Konstruktion ermöglicht das Schaffen eines Dünnschicht-Oxidmaterials beispielsweise mit hohem Schmelzpunkt und mehreren Komponenten, während die Ausbildung von Schichten jeweils individuell beim epitaktischen Wachstum überwacht wird.
  • Ferner kann eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung vorzugsweise ferner eine Target-Ladeschleusenkammer zum Laden von Targets mit Materialien in diese umfassen.
  • Diese Konstruktion ermöglicht den Austausch von Targets in ihrem reinen Zustand, ohne sie einer Umgebungsatmosphäre auszusetzen.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung können die Rohmaterialvielfalt-Zufuhrmittel auch vorzugsweise ein Gasquellen-Molekularstrahl-Epitaxiemittel umfassen, das dazu ausgelegt ist, einen strömungsgesteuerten Strom einer gasförmigen organometallischen Verbindung durch ein Düsenmittel auf jedes der Substrate aufzubringen und dadurch zu diesem zu liefern.
  • Diese Konstruktion ermöglicht die Ausbildung z. B. einer metallischen oder organischen Struktur unter Verwendung eines gasförmigen Materials wie z. B. einer organometallischen Verbindung.
  • Ferner können in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung die Rohmaterialvielfalt-Zufuhrmittel vorzugsweise ein Gasquellen-Molekularstrahl-Epitaxiemittel umfassen und das Sofortbeobachtungsmittel kann dann ein optisches Mittel umfassen, das eine Beobachtung auf der Basis irgendeines von einem Reflexionsgrad-Differenzspektroskopie-, Oberflächenlichtabsorptions- und Oberflächenlicht-Interferometer-Prozess durchführt.
  • Diese Konstruktion ermöglicht das Bewirken einer Epitaxie-Dünnschicht-Wachstumsbildung einer metallischen oder organischen Struktur, während monomolekulare Schichten für jede individuelle Schicht beim Wachstum überwacht werden.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung können die Substrate vorzugsweise auch Substrate sein, die aus Si oder einem Verbundhalbleiter bestehen.
  • Diese Konstruktion ermöglicht das Ausbilden einer metallischen oder organischen Übergitterstruktur von monomolekularen Schichten, deren Wachstum jeweils individuell epitaktisch auf aus Si und Verbundhalbleiter bestehenden Substraten bewirkt wird.
  • Ferner können in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung die Substrate vorzugsweise Substrate umfassen, deren Oberflächen auf einem atomaren Niveau geglättet sind und deren äußerste Atomschicht identifiziert wird.
  • Diese Konstruktion schafft die Fähigkeit, RHEED-Oszillationen zu beobachten, die beispielsweise mit einer zusätzlichen Regelmäßigkeit und für eine verlängerte Zeitdauer bestehen, und ermöglicht folglich das Sicherstellen, dass das epitaktische Wachstum für jede individuelle monomolekulare Schicht vor sich geht.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung kann die gemeinsame Kammer vorzugsweise auch mit einer Substrathalter-Ladeschleusenkammer zum Austauschen der Substrathalter in einem Zustand, in dem ein hohes Vakuum dafür aufrechterhalten wird, versehen sein.
  • Diese Konstruktion ermöglicht den Austausch von Substraten in ihrem reinen Zustand, ohne sie einer Umgebungsatmosphäre auszusetzen.
  • Um die vorstehend erwähnte zweite Aufgabe zu erreichen, besitzt eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung ferner eine Substratheizeinheit, die für einen Druckkontakt mit der Prozessausführungskammer ausgelegt ist, um dieselbe vakuumdicht abzudichten, wobei die Substratheizeinheit und die Prozessausführungskammer dann zusammen eine unabhängig drucksteuerbare Vakuumkammer bilden.
  • Diese Konstruktion ermöglicht, dass Substrate zwischen den Prozessausführungskammern in ihrem erhitzten Zustand transportiert werden, und macht den Druck und die Temperatur der Vakuumkammern unabhängig voneinander steuerbar.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung können die Substratheizeinheiten vorzugsweise auch gemeinsam so ausgelegt sein, dass sie um eine Trägerplatte gedreht und durch diese vertikal bewegt werden, so dass sie in Zusammenhang mit den Prozessausführungskammern der Reihe nach transportiert werden.
  • Diese Konstruktion ermöglicht, dass sich die Substratheizeinheiten entlang eines gegebenen Weges bewegen und drehen oder umlaufen und jeweils in Zusammenhang mit einer gegebenen Prozessausführungskammer transportiert werden, und ermöglicht, dass ein Substrathalter, der mit einer Anzahl von Substraten beladen ist, in die Prozessausführungskammer transportiert wird. Sie ermöglicht folglich, dass mehrere Prozessausführungskammern die Prozesse parallel ausführen.
  • Ferner kann eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung vorzugsweise ferner eine drehbare Welle in Form eines röhrenförmigen Zylinders, der mit einer elektrischen Verdrahtung und Brauchwasserverrohrung außerhalb der gemeinsamen Kammer verbunden ist und so ausgelegt ist, dass er in einem Zustand, in dem das gemeinsame Kammermittel unter Vakuum gehalten wird, gedreht und vertikal bewegt wird, eine Kühlwasserverrohrung, die in einem Bereich von jeder der Substratheizeinheiten angeordnet ist und mit der Brauchwasserverrohrung verbunden ist, und eine Trägerplatte, deren Zentrum in Übereinstimmung mit einer Drehachse der drehbaren Welle angeordnet ist, umfassen.
  • Diese Konstruktion ermöglicht, dass sich eine Trägerplatte um die Drehachse der drehbaren Welle kontinuierlich dreht, um zu ermöglichen, dass die Prozesse parallel ausgeführt werden, und verhindert, dass die sich Kühlwasserverrohrung zur Zufuhr von Kühlwasser in die Substratheizeinheiten und die elektrische Verdrahtung für die Leistungsversorgung oder ein Temperaturüberwachungs-Thermoelement verdrehen.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung sind auch an der drehbaren Welle vorzugsweise ein Gleitring, der dazu ausgelegt ist, ein oberes Ende der drehbaren Welle vakuumdicht abzudichten und dieses obere Ende mit der externen elektrischen Verdrahtung elektrisch zu verbinden, ein Kühlwasserabdichtungsmittel zur Verbindung mit der externen Brauchwasserverrohrung und ein Kühlwasserleitungsmittel, das wasserdicht mit dem Kühlwasserabdichtungsmittel verbunden ist und durch das die drehbare Welle koaxial geführt ist, um zu ermöglichen, dass sich die Welle in einem Gleitkontakt damit dreht, angebracht.
  • Diese Konstruktion ermöglicht, dass die Trägerplatte mittels der drehbaren Welle vertikal bewegt und gedreht wird, ohne eine Verdrehung einer Kühlwasserverrohrung oder der elektrischen Verdrahtung zu erzeugen.
  • Ferner kann in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung das Kühlwasserleitungsmittel vorzugsweise eine innere und eine äußere Kühlwasserleitung umfassen, die koaxial mit der drehbaren Welle angeordnet sind und einen einzelnen Kühlwasserdurchlass bilden.
  • Diese Konstruktion ermöglicht das Liefern von Kühlwasser, während die drehbare Welle, die sich vertikal bewegt und dreht, in ihrem vakuumdicht abgedichteten Zustand gehalten wird.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung kann eine Substratheizeinheit vorzugsweise auch einen Substratdrehmechanismus zum Drehen des Substrathalters umfassen.
  • Diese Konstruktion verbessert die Temperaturgleichmäßigkeit über einem Substrat, indem ermöglicht wird, dass sich der Substrathalter dreht.
  • Ferner können in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung die Substratheizeinheiten vorzugsweise drehbar sein und jeweils einen Substratdrehmechanismus umfassen, der eine Drehung von einer Antriebskraft vorsieht, um die Substratheizeinheiten umlaufen zu lassen.
  • Diese Konstruktion ermöglicht, dass eine einzelne Antriebskraft verwendet wird, um sowohl die Substratheizeinheiten zu drehen als auch den Substrathalter zu drehen.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung kann eine Substratheizeinheit vorzugsweise auch einen Substratdrehmechanismus zum Drehen des Substrathalters in einer Vakuumkammer umfassen.
  • Diese Konstruktion ermöglicht, dass eine Substratheizeinheit und eine Bearbeitungskammer zusammen eine Vakuumkammer bilden, wobei der Druck und die Temperatur darin steuerbar sind, und dennoch ermöglicht wird, dass der Substrathalter gedreht wird.
  • Ferner können in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung die Prozessausführungskammern vorzugsweise eine Glühkammer zum Glühen von durch den Substrathalter gehaltenen Substraten, eine Vorheizkammer zum Vorheizen der durch den Substrathalter gehaltenen Substrate auf eine gegebene Temperatur in einem Hochvakuum und eine Wachstumskammer zum Ausbilden einer Dünnschicht auf dem durch den Substrathalter gehaltenen Substrat und eine Ätzkammer zum Ätzen eines Substrats mit der Dünnschicht, die dazu veranlasst wird, zu wachsen, und darauf ausgebildet wird, umfassen.
  • Diese Konstruktion ermöglicht die Durchführung von mehreren Prozessen nacheinander parallel.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung kann der Substrathalter vorzugsweise auch mit Öffnungen jeweils in Form eines Schlitzes ausgebildet sein, die so angeordnet sind, dass sie ein oder mehrere Substrate umgeben.
  • Diese Konstruktion ermöglicht die Verringerung eines Entweichens der Menge an Wärme vom Substrat und ermöglicht folglich, dass das Substrat gleichmäßig und effizient erhitzt wird.
  • Ferner kann in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung der Substrathalter vorzugsweise in Form einer Scheibe vorliegen, die innen hohl ist und deren Seitenwand mit einer ringförmigen Nut ausgebildet ist, die ermöglicht, dass der Substrathalter an einer Substratheizeinheit gehalten wird.
  • Diese Konstruktion ermöglicht das leichte Laden des Substrathalters in die Substratheizeinheit.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung kann der Substrathalter vorzugsweise auch einen Halterring mit einer abgestuften Kante innen, dessen Seitenwand mit einer ringförmigen Nut ausgebildet ist, die ermöglicht, dass der Substrathalter an einer Substratheizeinheit gehalten wird, und eine Halterplatte in Form einer Scheibe, die auf der abgestuften Kante des Halterrings sitzen soll, um ein oder mehrere Substrate abzustützen, umfassen, wobei die Scheibenhalterplatte aus einem Material ausgebildet ist, das einen hohen Wärmeabsorptionswirkungsgrad auf ihrer Seite, die der Substratheizeinheit zugewandt ist, aufweist.
  • Diese Konstruktion, die ermöglicht, dass die erhitzte Halterplatte nur mit der abgestuften Kante des Halterrings in Kontakt steht, ermöglicht die Verringerung des Entweichens der Menge an Wärme durch Wärmeleitung und verbessert daher die Temperaturgleichmäßigkeit über der Halterplatte.
  • In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung kann die Halterplatte, die aus einem Material ausgebildet ist, das einen hohen Wärmeabsorptionswirkungsgrad aufweist, vorzugsweise ferner aus einer Inkonel-Platte mit einem bei hoher Temperatur oxidierten Oberflächenbereich bestehen.
  • Diese Konstruktion ermöglicht das effektive Erhitzen der Halterplatte. In einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung umfassen die Substratheizmittel auch eine Lampenheizeinrichtung, wobei der Substrathalter und die Halterplatte so angeordnet sind, dass sie in einer Brennposition der Lampenheizeinrichtung liegen.
  • Diese Konstruktion ermöglicht, dass Wärmestrahlen, die auf den Substrathalter und die Halterplatte fokussiert werden, effektiv erhitzt werden.
  • Kurzbeschreibung der Zeichnungen
  • Die vorliegende Erfindung wird aus der folgenden ausführlichen Beschreibung und den hier beigefügten Zeichnungen besser verstanden, welche bestimmte erläuternde Ausführungsformen der vorliegenden Erfindung zeigen. In diesem Zusammenhang sollte beachtet werden, dass solche Ausführungsformen, die in den begleitenden Zeichnungen hiervon dargestellt sind, in keiner Weise die vorliegende Erfindung begrenzen sollen, sondern eine Erläuterung und ein Verständnis derselben erleichtern sollen.
  • 1 ist eine schematische Ansicht, die eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung darstellt;
  • 2 ist eine Erscheinungsbildansicht, die eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß einer zweiten Ausführungsform der vorliegenden Erfindung darstellt;
  • 3 ist eine Erscheinungsbildansicht, die einen wesentlichen Abschnitt einer Wachstumskammer in einer kombinatorischen Molekularschicht-Epitaxievorrichtung gemäß der zweiten Ausführungsform der vorliegenden Erfindung darstellt, die eine unabhängige Vakuumkammer mit einer Substratheizeinheit und einer Wachstumskammer darstellt;
  • 4 ist eine detaillierte Querschnittsansicht, die eine Substratheizeinheit gemäß der zweiten Ausführungsform der vorliegenden Erfindung darstellt, die deren Zustand darstellt, in dem eine Trägerplatte zu ihrem unteren Ende in Druckkontakt mit einer Trennwand bewegt wurde;
  • 5(a) und 5(b) sind eine perspektivische Erscheinungsbildansicht bzw. eine Querschnittsansicht, die einen Substrathalter darstellen;
  • 6(a) und 6(b) sind eine perspektivische Erscheinungsbildansicht bzw. eine Querschnittsansicht, die eine Modifikation dieses Substrathalters darstellen;
  • 7(a) und 7(b) sind eine perspektivische Erscheinungsbildansicht bzw. eine Querschnittsansicht, die einen alternativen Substrathalter darstellen;
  • 8 ist eine Querschnittsansicht, die eine drehbare Welle gemäß der zweiten Ausführungsform der vorliegenden Erfindung darstellt;
  • 9 ist eine detaillierte Ansicht, die eine Rohr- oder Leitungsanordnung in der drehbaren Welle gemäß der zweiten Ausführungsform der vorliegenden Erfindung darstellt;
  • 10 ist eine Erscheinungsbildansicht einer Vorrichtung gemäß einer dritten Ausführungsform der vorliegenden Erfindung davon; und
  • 11 ist eine detaillierte Ansicht, die eine Substratheizeinheit gemäß deren dritter Ausführungsform der vorliegenden Erfindung darstellt.
  • Beste Arten zur Ausführung der Erfindung
  • Nachstehend wird die vorliegende Erfindung im Einzelnen mit Bezug auf geeignete Ausführungsformen hiervon beschrieben, die in den Zeichnungsfiguren dargestellt sind. Obwohl die vorliegende Erfindung nachstehend in Bezug auf bestimmte erläuternde Ausführungsformen davon dargelegt wird, ist es für einen Fachmann leicht als offensichtlich zu erkennen, dass viele Abwechslungen davon, Auslassungen davon und Zusätze dazu vorgenommen werden können, ohne vom Wesentlichen des Schutzbereichs der vorliegenden Erfindung abzuweichen.
  • Folglich sollte es selbstverständlich sein, dass die Erfindung nicht auf die nachstehend dargelegten speziellen Ausführungsformen davon begrenzt sein soll, sondern alle möglichen Ausführungsformen davon umfassen soll, die innerhalb des Schutzbereichs in Bezug auf die speziell in den beigefügten Ansprüchen dargelegten Merkmale hergestellt werden können, und alle Äquivalente davon einschließt.
  • Eine ausführliche Beschreibung wird zuerst in Bezug auf eine erste Ausführungsform der vorliegenden Erfindung gegeben, die für eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß dieser typisch ist.
  • 1 ist eine schematische Ansicht, die eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß der ersten Ausführungsform der vorliegenden Erfindung darstellt. Obwohl eine kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung in 1 dargestellt ist, um eine Dünnschicht-Wachstumsbewirkungsvorrichtung zu bilden, kann sie gegen eine kombinatorische Gasquellen-Organometallmolekularstrahl-Epitaxievorrichtung ausgetauscht werden.
  • Eine kombinatorische Molekularschicht-Epitaxiebildungsvorrichtung gemäß der vorliegenden Erfindung kann als zwei alternative Formen verkörpert sein, die sich teilweise in der Konfiguration in Abhängigkeit von den zugeführten Rohmaterialien und von den herzustellenden Materialkomponenten unterschieden, z. B. als kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung, in der ein Rohmaterial im festen Zustand durch einen Impulslaserstrahl vergast wird, um zu ermöglichen, dass Molekularschichten epitaktisch für jede der Molekularschichten wachsen, und die folglich geeignet ist, um eine anorganische Überstruktur kombinatorisch zu synthetisieren, und eine kombinatorische Gasquellen-Organometallmolekularstrahl-Epitaxievorrichtung, die unter Verwendung eines Rohmaterials in einem gasförmigen oder vergasten Zustand, z. B. einer organometallischen Verbindung, geeignet ist, um eine metallische oder organische Überstruktur zu bilden, indem ermöglicht wird, dass Molekularschichten epitaktisch für jede der Molekularschichten wachsen. Die zwei Vorrichtungsformen können zueinander identisch sein, jedoch für verschiedene Weisen der Zuführung von Rohmaterialien.
  • Zuerst wird eine Erläuterung in Bezug auf eine kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung gegeben.
  • Mit Bezug auf 1 umfasst eine kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung gemäß dieser Ausführungsform eine Vakuumkammer 2, eine Ultrahochvakuumpumpe 4 wie z. B. eine Turbomolekularpumpe, eine Ionenpumpe oder eine Kryopumpe, zum Auspumpen der Vakuumkammer 2 über ein Absperrventil (nicht dargestellt) auf ein Hochvakuum, einen drehbaren Substrathalter 6 zum Halten von mehreren Substraten 5 und eine Lampenheizeinrichtung 8, die in einer Rückseite des Substrathalters 6 angeordnet ist, um die Substrate zu erhitzen.
  • Die Vorrichtung umfasst auch eine drehbare Welle 9, die den Substrathalter 6 abstützt, drehbare Target-Tische 10 und 10, die mit dem Substrathalter 6 nebeneinander gestellt sind oder diesem gegenüberliegen, mehrere verschiedene feste Rohmaterial-Targets 12, die auf diese Target-Tische 10 und 10 geladen sind, Lichtquellen 14 und 14 für Excimerlaserstrahlen 13 und 13 zum Vergasen dieser Rohmaterial-Targets 12, Linsen 15 und 15 zum Fokussieren dieser Laserstrahlen, Fenster 16 und 16 zum Einführen der Laserstrahlen in die Vakuumkammer 2, eine Elektronenkanone 18 für eine Reflex-Hochenergie-Elektronenbeugungs-Analyse (nachstehend als "RHEED"-Analyse bezeichnet) für die unverzügliche oder sofortige [in der Hinsicht, dass sie in einem speziellen Moment stattfindet] Überwachung des epitaktischen Wachstums einer Molekularschicht auf einem Dünnschichtausbildungssubstrat und einen Bildschirm 17 zur RHEED-Analyse.
  • Eine Steuereinheit ist ferner enthalten, jedoch nicht gezeigt, die verwendet wird, um die Ruhepositionen und Drehwinkelpositionen des Substrathalters 6 und der Target-Tische 10 und 10 zu steuern. Die Steuereinheit ist auch dazu vorgesehen, spezielle Arten von Targets für und in Verbindung mit einem speziellen Substrat auszuwählen, auf dem ein Wachstum bewirkt werden soll, und ferner um eine Impulsdauer des gepulsten Bestrahlungsexcimerlasers zu steuern.
  • Die Ultrahochvakuumpumpe 4 sollte wünschenswerterweise eine Fähigkeit haben, die Vakuumkammer 2 auf einem Druck in der Größenordnung von 10-10 Torr zu halten. Die Vakuumkammer 2 ist auch so ausgelegt, dass ihr Druck durch Einstellung der Öffnung eines Ventils (nicht dargestellt) steuerbar ist. Es sollte ferner beachtet werden, dass die Ultrahochvakuumpumpe mit einer Drehpumpe als Unterstützungspumpe versehen ist.
  • Ein Substrat 5 wird, wenn es in einer Position liegt, in der auf diesem eine Dünnschicht wächst, durch die Lampenheizeinrichtung 8 erhitzt und es wird anderswo durch eine Vorheiz- oder Nachheiz-Lampenheizeinrichtung 7 erhitzt. Diese Lampen sind in der Umgebung des Substrathalters 6 angeordnet. Wahlweise kann eine Lampenheizeinrichtung im Substrathalter selbst angeordnet sein, in welchem Fall sie einstellbar gemacht ist, um ein Substrat in einer Dünnschicht-Wachstumsposition auf eine Wachstumstemperatur zu erhitzen und es anderswo auf eine gegebene Temperatur zu erhitzen.
  • Obwohl in der in 1 gezeigten Ausführungsform von der einzelnen Vakuumkammer für den Zweck des Bewirkens eines Dünnschichtwachstums und für den Vorheiz- oder Nachheizzweck allgemeiner Gebrauch gemacht wird, können einzeln eine Kammer zum Bewirken eines Wachstums von Dünnschichten auf Substraten und eine Kammer zum Vorheizen oder Nachheizen der Substrate separat und unabhängig als benachbart zueinander angeordnet vorgesehen sein.
  • Die Vakuumkammer ist zusätzlich dazu, dass sie ein Atmosphärenluft-Einlassmittel zum Wiederherstellen auf einen normalen Druck aufweist, einem Gaszufuhrsystem mit Düsen 19 zum Zuführen von Sauerstoff, Stickstoff und anderen reaktiven Gasen zum Bewirken eines epitaktischen Wachstums von auf Hochtemperatur-Supraleiter bezogenen Oxiden zugeordnet. In diesem Zusammenhang sollte beachtet werden, dass das Gaszufuhrsystem nur grob in 1 dargestellt ist und normalerweise seine Operationen durch Massendurchflussmesser steuerbar sind und es auch in Zusammenhang mit dem Vakuumpumpensystem steuerbar ist.
  • Zur weiteren Erwähnung kann für die Substrate von α-Al2O3, YSZ, MgO, SrTiO3, LaAlO3, NdGaO3, YAlO3, LaSrGaO4, NdAlO3, Y2O5, SrLaAlO4, CaNdAlO4, Si und Verbundhalbleitern Gebrauch gemacht werden.
  • Um RHEED-Oszillationen auf der Basis eines bewirkten Molekularschicht-Epitaxiewachstums zu erfassen und dennoch zu ermöglichen, dass das Molekularschicht-Epitaxiewachstum fortfährt, während die RHEED-Oszillationen überwacht werden, ist es im Übrigen äußerst wichtig, die Oberfläche eines Substrats auf einem atomaren Niveau zu glätten und eine äußerste Atomschicht zu identifizieren.
  • Hinsichtlich eines Perovskit-Oxids, das durch eine allgemeine Formel von ABO3 ausgedrückt wird, in dem eine Atomschicht aus AO und eine Atomschicht aus BO2 wiederholt sind, die, wenn AO, BO2 oder sowohl AO als auch BO2 gemeinsam existieren, die oberste Oberfläche bildet, macht beispielsweise einen Unterschied in der Art, in der eine Schicht darauf wächst.
  • Die oberste Oberfläche eines polierten SrTiO3-Substrats besteht hauptsächlich aus TiO2 mit einer Oberflächenrauheit von mehreren Nanometern. Das Nassätzen eines solchen SrTiO3 (100) Substrats in einer HF/NH3-Puffer-lösung (pH = 4,5) macht seine Oberfläche auf einem atomaren Niveau flach und ermöglicht, dass seine äußerste Atomschicht aus einer TiO2-Schicht gebildet ist.
  • Ein Substrat, dessen Oberfläche auf einem atomaren Niveau geglättet ist, eignet sich zur Erfassung von RHEED-Oszillationen, die durch ein Wachstum einer einzelnen Molekularschicht verursacht werden.
  • Folglich verwendet diese Ausführungsform vorzugsweise ein Substrat, dessen Oberfläche auf einem atomaren Niveau geglättet ist und dessen äußerste Atomschicht spezifiziert wird.
  • Das feste Target-Material kann ein beliebiges Material sein, welches sich auch immer in einem festen Zustand zur Verwendung befindet. Solche verwendbaren Materialien umfassen Hochtemperatur-Supraleiter wie z. B. YBa2Cu3O7, lumineszente Materialien wie z. B. ZnO, (ZnMg)O, (ZnCd)O, dielektrische oder ferroelektrische Materialien wie z. B. SrTiO3, BaTiO3, PZT und (SrBa)TiO3 und Materialien mit riesigem Magnetowiderstand wie z. B. (LaSr)MaO3.
  • Ferner kann von einem Ein- oder Mehrkomponentenoxid für die Zufuhr jeder einzelnen Komponente Gebrauch gemacht werden.
  • Als nächstes wird eine Erläuterung in Bezug auf einen Vorgang der Ausbildung einer Dünnschicht mit einer kombinatorischen Laser-Molekularstrahl-Epitaxiebildungsvorrichtung gegeben.
  • Der Druck in der Vakuumkammer 2 wird beispielsweise so gesteuert, dass er in der Größenordnung von 10-4 Torr liegt, ein Substrat 5 wird durch die Lampenheizeinrichtung 8 auf eine Wachstumstemperatur von z. B. 850°C erhitzt und der Substrathalter 6 wird rotiert oder gedreht, um das Substrat 5 in einer Wachstumsposition anzuordnen. Die Target-Tische 10 und 10 werden rotiert oder gedreht, um Targets 12 und 12 in gegebenen Positionen anzuordnen, wo sie dem Substrat gegenüberliegen. Die Targets 12 und 12 werden mit Excimerlaserstrahlen 13 und 13, die z. B. gepulst sind, für eine gegebene Zeitdauer bestrahlt.
  • Die Excimerlaserstrahlen, die auf die Targets auftreffen, führen auf ihren Oberflächen sowohl einen abrupten Aufbau von Wärme als auch photochemische Reaktionen herbei und verursachen, dass Rohmaterialien explosiv vergast werden, was auf dem Substrat eine Dünnschicht bildet, die wie angestrebt zusammengesetzt ist. Dann der RHEED-Analysator, der in der Lage ist, an einem Spiegelreflexionspunkt davon Oszillationen zu beobachten, die einer Wiederholung einer Kernbildung und Abflachung für jede Schicht folgen, wobei streng eine Dicke der Schicht überwacht wird, die für jede einzelne monomolekulare Schicht selbststeuerbar ist.
  • Nach dem epitaktischen Wachstum einer Substanz, die die Dünnschicht mit der monomolekularen Schicht auf dem Substrat 5 bildet, werden die Target-Tische 10 und 10 gedreht, um die anderen Targets 12 und 12 in diesen gegebenen Positionen anzuordnen, um eine Dünnschicht einer Überstruktur einer anderen Substanz zu bewirken.
  • Nach dem Herstellen eines künstlichen Kristalls oder Übergitters mit einer neuen Gitterstruktur auf dem einen gegebenen Substrat wird der Substrathalter 6 zur Bearbeitung eines nächsten Substrats gedreht.
  • Wenn eine Epitaxiewachstumsschicht aus einem Supraleiter besteht, wird der Sauerstoffpartialdruck in der Vakuumkammer 2 eines Reaktionssystems erhöht, um erforderliche Oxidationsbedingungen zu erfüllen. In diesem Zusammenhang sollte beachtet werden, dass diese Ausführungsform der Erfindung eine erweiterte Druckverringerbarkeit bietet und ermöglicht, dass der Sauerstoffpartialdruck in einem erweiterten Bereich gesteuert wird.
  • Folglich ermöglicht eine kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung gemäß dieser Ausführungsform der Erfindung, dass [mehrere Rohmaterialien] × [mehrere Substrate] × [Reaktionsparameter wie z. B. Temperatur, Druck und Fluss aus der Gasphase] unabhängig voneinander gesteuert oder ausgewählt werden und in einer beliebigen gewünschten Kombination zusammengestellt werden, und ist daher in der Lage, eine Gruppe von Substanzen zu erzeugen, die in einer einzelnen Reihe von Reaktionen zu einer systematisch gesteuerten Struktur zusammengebracht oder synthetisiert werden.
  • Als nächstes wird eine Erläuterung in Bezug auf eine kombinatorische Gasquellen-Organometallmolekularstrahl-Epitaxievorrichtung gegeben.
  • Dazu wird auf 1 Bezug genommen, die verwendet wurde, um eine kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung, wie vorstehend beschrieben, darzustellen, die jedoch eine Struktur aufweist, die einer Gasquellenspezies einer Ausführungsform der Erfindung ebenso stark gemeinsam ist.
  • Mit Bezug auf 1 umfasst eine kombinatorische Gasquellen-Organometallmolekularstrahl-Epitaxievorrichtung gemäß dieser Ausführungsform eine Vakuumkammer 2 und ein Vakuumauspumpsystem mit einer Ultrahochvakuumpumpe 4 wie z. B. einer Turbomolekularpumpe, einer Ionenpumpe oder einer Kryopumpe zum Auspumpen der Vakuumkammer 2 über ein Absperrventil (nicht dargestellt) auf ein Hochvakuum.
  • Die Vorrichtung umfasst auch einen drehbaren Substrathalter 6 zum Halten von mehreren Substraten 5 und eine Lampenheizeinrichtung 8, die in einer Rückseite des Substrathalters 6 angeordnet ist, um die Substrate zu erhitzen, eine drehbare Welle 9, die den Substrathalter 6 und die Lampenheizeinrichtung 8 abstützt, und Düsen 19, die strömungsgesteuerte Ströme von mehreren reaktiven Gasen als Rohmaterialien wie z. B. organometallische Verbindungen auf ein Substrat aufbringen. Die Steuerung der Gasströmungen, ihre Einleitungs-Ein/Aus-Vorgänge und/oder Einleitungszeitpunkte können mit der Steuerung der Vakuumauspumpung verbunden bewirkt werden.
  • In der kombinatorischen Gasquellen-Organometallmolekularstrahl-Epitaxievorrichtung, in der Rohmaterialen organometallische Gasquellen verbindungen sind, und Adsorptionsoberflächenreaktionen steuern, ist es wirksam, einen Laserstrahl als Sofortbeobachtungsmittel zum sofortigen Überwachen des epitaktischen Wachstums einer einzelnen Molekularschicht zu verwenden und folglich die wachsende Schicht mit einem Laserstrahl zu bestrahlen und Änderungen der Intensität des Laserstrahls zu überwachen.
  • Zur Überwachung des epitaktischen Wachstums jeder einzelnen Molekularschicht kann beispielsweise ein Reflexionsgrad-Differenz-Spektroskopieverfahren, in dem linear polarisiertes Licht zum Einfall auf ein Substrat in einem rechten Winkel zu diesem verwendet wird und die Anisotropie einer Oberflächenstruktur der Schicht im Wachstum aus Charakteristiken des reflektierten Lichts erfasst wird, oder ein Oberflächenlichtabsorptions- oder ein Oberflächenlicht-Interferometer-Verfahren, das Änderungen der Intensität des reflektierten Lichts aus Änderungen der Lichtabsorption oder optischen Phase bestimmt, die durch an der Oberfläche adsorbierte Atome oder Moleküle verursacht werden, verwendet werden.
  • Zur weiteren Erwähnung kann für die Substrate von einem Verbundhalbleitermaterial Gebrauch gemacht werden, das aus Elementen von z. B. Gruppen III bis V, Gruppen II bis V, Gruppen I bis VII, Gruppen II bis IV und Gruppen IV bis VI in irgendeiner von einer Vielfalt von möglichen Kombinationen besteht. Ferner können die Substrate anstelle eines solchen Verbundhalbleiters Si-Substrate (Siliciumsubstrate) sein.
  • Eine kombinatorische Gasquellen-Organometallmolekularstrahl-Epitaxievorrichtung ermöglicht, dass monomolekulare Schichten epitaktisch für jede der Schichten wachsen, die bei der Überwachung wachsen, und ist daher in der Lage, eine Gruppe von Substanzen zu erzeugen, die in einer einzelnen Reihe von Reaktionen zu einer hier wieder systematisch gesteuerten Struktur zusammengebracht oder synthetisiert werden.
  • Als nächstes wird eine Erläuterung in Bezug auf eine kombinatorische Molekularschicht-Epitaxievorrichtung der vorliegenden Erfindung gegeben, die in einer zweiten Ausführungsform davon implementiert wird.
  • 2 ist eine Erscheinungsbildansicht, die eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß einer zweiten Ausführungsform der vorliegenden Erfindung darstellt.
  • Eine kombinatorische Molekularepitaxievorrichtung 20, die die zweite Ausführungsform darstellt, umfasst eine gemeinsame Kammer 22 und mehrere Bearbeitungskammern, die eine Wachstumskammer 24, eine Glühkammer 26, eine Vorheizkammer 28 und eine Substrathalter-Ladeschleusenkammer 34 umfassen. Diese Kammern 22, 24, 26, 28 und 30 sind jeweils individuell vakuumdicht abgeschirmt oder abgedichtet, wobei Vakuumkammern gebildet werden, die unabhängig voneinander auf ein Hochvakuum ausgepumpt werden.
  • In der gemeinsamen Kammer 22 werden die Bearbeitungskammern, die durch die Wachstumskammer 24, die Glühkammer 26 und die Vorheizkammer 28 gebildet sind, vakuumdicht abgeschirmt oder abgedichtet durch eine Trägerplatte 38 und beim Transport einer daran angebrachten Substratheizeinheit 36 in jede von diesen Bearbeitungskammern 24, 26 und 28 mit dieser, und dann Verriegeln derselben.
  • Die Wachstumskammer 24 stellt eine Stufe bereit, in der eine Dünnschicht zum Wachsen auf einem Substrat veranlasst wird, die Glühkammer 26 eine Stufe, in der ein Substrat mit einer ausgebildeten Dünnschicht geglüht wird, und die Vorheizkammer 28 eine Stufe, in der ein Substrat in einer Hochvakuumatmosphäre gereinigt und vorgeheizt wird.
  • Obwohl die vorliegenden Ausführungsformen so gezeigt und beschrieben sind, um drei Prozesse nacheinander in diesen Stufen auszuführen, ist zu sehen, dass zusätzliche Stufen wie z. B. zum Ausführen von Prozessen zum Ätzen und Dotieren eines gegebenen Bereichs der Substrate. Dann werden fünf unabhängige Vakuumkammern eingeschlossen.
  • Das Zeichen "TMP" in 2 steht für eine Turbomolekularpumpe typischerweise mit einer Drehpumpe als Unterstützungspumpe, durch die jede der Bearbeitungskammern auf ein Ultrahochvakuum über eine Absperrventileinheit (nicht dargestellt) ausgepumpt wird.
  • Jede der Vakuumkammern besitzt auch einen Innendruck, der durch eine Ventileinheit (nicht dargestellt) mit einer einstellbaren Ventilöffnung steuerbar ist, und kann mit einer weiteren Ventileinheit und einem Massendurchflussmesser (nicht dargestellt) versehen sein, um zu ermöglichen, dass Sauerstoff oder trockener Stickstoff in einer eingestellten Strömung eingelassen wird.
  • Die gemeinsame Kammer 22 ist so hergestellt, dass sie mit der Wachstumskammer 24, der Glühkammer 26 und der Vorheizkammer 28 über Öffnungen 42, 42, 42, die in einer Trennwand 39 ausgebildet sind, in Verbindung steht, von denen jede um sich eine ringförmige Nut aufweist, in die ein O-Ring 41 eingefügt ist. Ferner sind die Wachstumskammer 24, die Glühkammer 26 und die Vorheizkammer 28 jeweils vakuumdicht abgedichtet oder abgeschirmt und werden fest an der Trennwand 39 gehalten.
  • In 2 sind drei Substratheizeinheiten 36 in der gemeinsamen Kammer 22 gezeigt, die jeweils in einem zylindrischen Gehäuse 35 aufgenommen sind, das auch einen Substrathalter 48 und eine Aufspannvorrichtung 45 dafür sowie eine Lampenheizeinrichtung 8 der Substratheizeinheit 36 aufnimmt (siehe 4).
  • Diese Substratheizeinheiten 36 sind jeweils an einem mit Flansch versehenen oberen Ende 31 des zylindrischen Gehäuses 35 an der Trägerplatte 38 vakuumdicht abgedichtet oder abgeschirmt und von dieser getragen sowohl für die Drehbeförderung als auch vertikale Bewegung durch eine drehbare Welle 43.
  • Die drehbare Welle 43 ist so hergestellt, dass sie sich durch einen Drehantriebsmechanismus 60 dreht und sich durch einen Translationsbewegungs-Antriebsmechanismus 70 vertikal bewegt, beides in einem Zustand, in dem die gemeinsame Kammer 22 vakuumdicht abgeschirmt oder abgedichtet bleibt.
  • Das untere Ende des Gehäuses 35 ist ebenso mit einem Flansch 33 versehen, der, wenn die Trägerplatte 38 ihre Endposition erreicht, mit dem O-Ring 41 (4), der in die ringförmige Nut um die Öffnung 42 in der Trennwand 39 eingefügt ist, in Druckkontakt gebracht wird, um das Gehäuse 35 vakuumdicht abgeschirmt oder abgedichtet, isoliert von der gemeinsamen Kammer 22 zu machen. Dann sind die Substratheizeinheiten 36, 36 und 36 und die Bearbeitungskammern, die aus der Wachstumskammer 24, der Glühkammer 26 und der Vorheizkammer 28 bestehen, so beschaffen, dass sie ausgepumpt werden und ihr Innendruck gesteuert wird und unabhängig voneinander auf jeweilige gegebene Temperaturen erhitzt werden.
  • Wie in 2 gezeigt, ist die Substrathalter-Ladeschleusenkammer 34 über ein Absperrventil 46 an der gemeinsamen Kammer 22 angebracht und weist ein Lagergehäuse 49 auf, das darin angeordnet ist und das mehrere Substrathalter 48 trägt, die jeweils mit Substraten 5 beladen sind. Die Substrathalter-Ladeschleusenkammer 34 ist auch mit einem Klemmenelement 52 versehen, das von außen betätigbar ist, um einen Substrathalter 48 aus der Kammer 34 in die gemeinsame Kammer 22 in dem Zustand, in dem die Kammer 34 auf einem Hochvakuum gehalten wird, zur Aufnahme durch eine Aufspannvorrichtung 45 in der Substratheizeinheit 48 zu überführen.
  • Die Wachstumskammer 24 ist selbst identisch zu jener in der in 1 gezeigten kombinatorischen Laser-Molekularstrahl-Epitaxievorrichtung konstruiert, außer dass nur eine Lampenheizeinrichtung hier dafür vorgesehen ist.
  • Ferner sollte beachtet werden, dass in einer Laser-Molekularstrahl-Epitaxie, wie in 2 gezeigt, eine Target-Ladeschleusenkammer 32 über ein Absperrventil 47 an der Wachstumskammer 24 befestigt ist und darin eine Platte 54 angeordnet ist, die mehrere Targets 12 trägt. Die Target-Ladeschleusenkammer 32 ist einem Klemmenelement 56 zugeordnet, das von außen betätigbar ist, um ein Target 12 aus der Kammer 32 auf eine Target-Platte (nicht dargestellt) in dem Zustand, in dem die Kammer 32 auf einem Hochvakuum gehalten wird, zu überführen.
  • Als nächstes werden Details einer Wachstumskammer erwähnt.
  • 3 ist eine Erscheinungsbildansicht, die wesentliche Abschnitte einer Wachstumskammer in einer kombinatorischen Laser-Molekularstrahl-Epitaxievorrichtung darstellt, die eine unabhängige Vakuumkammer darstellt, die aus einer Substratheizeinheit und einer Wachstumskammer konstruiert ist.
  • Wie in 3 gezeigt, ist eine Vakuumkammer 100 mit einer Substratheizeinheit 36 und einer Wachstumskammer 24 eingerichtet, wobei die Substratheizeinheit 36 mit einer Trennwand (Darstellung weggelassen) in Druckkontakt gebracht ist. Mehrere Substrate 5 sind als durch einen Substrathalter 48 gehalten gezeigt, welcher drehbar getragen ist und an einer Substrathalter-Drehantriebseinheit 84 (in 2 gezeigt) montiert ist.
  • Die Wachstumskammer 24 ist darin mit einem drehbaren Target-Tisch 10, der gegenüber dem Substrathalter 48 angeordnet ist, und einer Maskierungsplatte 102, die zwischen dem Substrathalter 48 und dem Target-Tisch 10 angeordnet ist, versehen. Die Maskierungsplatte 102 weist verschiedene darin ausgebildete Maskierungsmuster, z. B. von acht Typen, auf.
  • Obwohl die Maskierungsplatte als scheibenförmig gezeigt ist, kann sie als Alternative in Form einer Blende mit Blendenplatten, die von gegenüberliegenden Seiten beweglich sind, vorliegen. Dann wird eine solche Maskierungsplatte so getragen, dass sie sowohl drehbar als auch vertikal auf und ab bewegbar ist.
  • Mehrere Targets aus verschiedenen festen Rohmaterialien befinden sich auf dem Target-Tisch 10. Die Vorrichtung umfasst ferner eine Lichtquelle oder einen Laser 14 für einen Excimerlaserstrahl 13 zum Verdampfen eines Target-Materials 12, eine Linse 15 zum Fokussieren des Laserstrahls, ein Fenster 16 zum Einlassen des Laserstrahls in die Vakuumkammer 100, eine Elektronenkanone 18 für eine Reflex-Hochenergie-Elektronenbeugungs-Analyse (nachstehend als "RHEED"-Analyse bezeichnet) und einen Bildschirm 17 für RHEED.
  • Der Target-Tisch 10 und der Maskierungstisch 102 werden jeweils so getragen, dass sie sowohl drehbar als auch vertikal auf und ab bewegbar sind, in dem Zustand des Haltens des Drucks der Wachstumskammer 24, und sind mit Target-Tisch-Dreh- und -Translationsbewegungs-Antriebsmechanismen bzw. Maskierungsplatten-Dreh- und -Translationsbewegungs-Antriebsmechanismen versehen.
  • Insbesondere für den Maskierungs-Drehantriebsmechanismus wird von einem Schrittmotor Gebrauch gemacht, der mit Präzision angetrieben wird, um zu ermöglichen, dass eine Dünnschicht mit einer gesteuerten Schichtdicke in einem vorgewählten Bereich wächst.
  • Die Wachstumskammer 24 ist auch mit einem Atmosphärenluft- oder Stickstoff-Einlasssystem zum Wiederherstellen auf einen normalen Druck und einem Gaszufuhrsystem für Sauerstoff und reaktive Gase, die auf das Substrat durch Düsen zugeführt werden, wenn eine Hochtemperatur-Supraleiter-Oxidepitaxie bewirkt werden soll (kein System gezeigt), versehen.
  • Ferner ist die Ruheposition und die Winkelverlagerung von jedem des Substrathalters 48, der Maskierungsplatte 102 und des Target-Tischs 10, die rotiert oder gedreht werden, durch eine nicht gezeigte Steuereinheit steuerbar gemacht. Insbesondere ist veranlasst, dass die Steuereinheit auf ihre jeweiligen Drehantriebsmechanismen einwirkt, um zu ermöglichen, dass ein spezieller Typ von Target-Material und ein spezieller Typ von Maskierungsmuster 104 für ein gegebenes Substrat ausgewählt werden, auf dem und in einer gegebenen Position von welchem eine Dünnschicht wachsen soll, um zu ermöglichen, dass ein epitaktisches Wachstum für jede einzelne Molekularschicht sofort durch RHEED-Analyse überwacht wird, und um zu ermöglichen, dass die Dauer eines gepulsten Bestrahlungs-Excimerlaserstrahls gemäß einer solchen Sofortüberwachung gesteuert wird.
  • Als nächstes wird eine Erläuterung eines Betriebs der kombinatorischen Laser-Molekularstrahl-Epitaxievorrichtung gemäß der beschriebenen zweiten Ausführungsform im Prozess der Ausbildung einer Dünnschicht auf einem Substrat gegeben.
  • Mit Bezug auf 3 wird der Druck in der Vakuumkammer 100 auf ein Hochvakuum in der Größenordnung von z. B. 10-4 Torr gesteuert. Ein gegebenes Substrat 5 wird in einer Wachstumsposition durch Drehen des Substrathalters 6 angeordnet, oder während es durch die Lampenheizeinrichtung 8 auf eine Wachstumstemperatur, z. B. 850°C, erhitzt wird. Um diesem speziellen Substrat zu entsprechen, wird ein spezielles Maskierungsmuster durch den Maskierungsplatten-Drehantriebsmechanismus ausgewählt. Ein Target 12 wird so, dass es dem Substrat in seiner Wachstumsposition gegenüberliegt, in einer gegebenen entsprechenden Position durch Drehen des Target-Tischs 10 angeordnet und das Target 12 wird dann mit einem Excimerlaserstrahl, der z. B. gepulst ist, für eine vorbestimmte Zeitdauer bestrahlt. Nachbearbeitungsprozesse sind dieselben wie in der vorher beschriebenen ersten Ausführungsform.
  • Der vorstehend beschriebene Vorgang kann verwendet werden, um eine gegebene kombinatorische Synthese an mehreren Substraten mit einem festen Maskierungsmuster durchzuführen. Wenn mehrere Dünnschichten, die in der Zusammensetzung verschieden sind, auf mehreren gegebenen Bereichen eines Substrats ausgebildet werden sollen, oder Übergitter mit veränderlichen laminaren Strukturen auf einem Substrat hergestellt werden sollen, kann die Maskierungsplatte nacheinander verlagert werden, um verschiedene Maskierungsmuster in Position zu bringen, um gegebene Bereiche auf dem Substrat abzudecken und aufzudecken. Ferner ist die vorstehend erwähnte Maskierung unter Verwendung der Blendenplatten zum Abdecken und Aufdecken gegebener Bereiche auf dem Substrat möglich.
  • Die kombinatorische Laser-Molekularstrahl-Epitaxievorrichtung gemäß der vorliegenden Ausführungsform ermöglicht folglich, dass [mehrere Rohmaterialien] × [mehrere Substrate] × [Reaktionsparameter wie z. B. Temperatur, Druck und Fluss aus der Gasphase] unabhängig voneinander ausgewählt oder gesteuert werden und in einer beliebigen gewünschten Kombination zusammengestellt werden, und ist daher in der Lage, eine Gruppe von Substanzen in einer einzelnen Reihe von Reaktionen in eine systematisch gesteuerte Struktur zu synthetisieren oder zusammenzubringen.
  • Dennoch sollte beachtet werden, dass, obwohl die Wachstumskammer vorstehend für die kombinatorische Laser-Molekularstrahlepitaxie beschrieben ist, die Wachstumskammer für die Gasquellen-Molekularstrahl-Epitaxie modifiziert wird, so dass sie ein Mittel zum Aufbringen einer organometallischen Gasquellenverbindung durch eine Düse auf jedes Substrat in einer gesteuerten Strömung als Rohmaterialvielfalt-Zufuhrmittel zum Zuführen eines eine Schicht bildenden Rohmaterials auf ein Substrat in einer Wachstumsposition im Substrathalter umfasst. Eine solche Modifikation stellt die für die erste Ausführungsform gezeigte und beschriebene Konstruktion dar.
  • 4 ist eine detaillierte Querschnittsansicht, die eine Substratheizeinheit gemäß der zweiten Ausführungsform der vorliegenden Erfindung zeigt, die die Trägerplatte darstellt, die in ihre Endposition bewegt wurde, um die Substrat heizeinheit in Kontakt mit der Trennwand anzuordnen.
  • Wie in 4 gezeigt, umfasst die Substratheizeinheit 36 ein zylindrisches Gehäuse 35, dessen entgegengesetzte Enden mit Flanschen 31 und 33 versehen sind, einen Lampenhalter 82, der über der Mittellinie des Gehäuses 35 angeordnet ist, und eine Lampenheizeinrichtung 8, die am Lampenhalter 82 angebracht ist, sowie einen Substratdrehmechanismus zum Drehen des Substrathalters.
  • Die Lampenheizeinrichtung 8 muss gekühlt werden, um ihre Sicherheit und Temperatursteuerstabilität sicherzustellen. Dazu weist die Lampenheizeinrichtung eine Wasserkühlrohrleitung 201 auf, die von der Substratheizeinheit geführt ist und über eine Massekopfvereinigung 203, die an der Trägerplatte 38 vakuumdicht abgedichtet ist, mit einer Kühlwasserzirkulationsverrohrungsanordnung 200 verbunden ist, die so angeordnet ist, dass sie die drehbare Welle 43 umgibt, und eine Kühlwasserzufuhr- und -rückführrohrleitung 202 und 202 aufweist.
  • Für die Lampenheizeinrichtung ist ein Elektrodenstecker 101 als an der Trägerplatte 38 vakuumdicht abgedichtet vorgesehen. Obwohl hier nicht gezeigt, wird jedoch später im Einzelnen beschrieben, sind eine elektrische Leistungsversorgungsverdrahtung für die Lampenheizeinrichtung 8, Signalleitungen für Temperatursteuerthermoelemente und so weiter für die Lampenheizeinrichtung so geführt, dass sie durch das Innere der drehbaren Welle 43 verlaufen, und zu ihrer Außenseite als vakuumdicht abgedichtet geführt.
  • Der Substratumdrehungsmechanismus umfasst ein Substrathalter-Drehelement 84, das außerhalb des Lampenhalters 82 angeordnet ist, und eine Aufspannvorrichtung 45, die am Drehelement 84 angebracht ist, um den Substrathalter 48 in einem Brennpunkt zu positionieren, der von der Lampenheizeinrichtung 8 bereitgestellt wird.
  • Das Substrathalter-Drehelement 84 umfasst an seinem oberen Ende ein drehbares Zahnrad 83 in Eingriff mit einem Zahnrad 85, das an einem Ende einer drehbaren Welle 86 befestigt ist, die an ihrem anderen Ende ein drehbares Zahnrad 88 in Eingriff mit einem drehbaren Zahnrad 65 aufweist. Ferner ist das Substrathalter-Drehelement an seinem unteren Ende mit einem Lager 87 versehen.
  • Als nächstes wird ein Substrathalter erwähnt.
  • 5(a) und 5(b) stellen einen Substrathalter in einer perspektivischen Erscheinungsbildansicht bzw. in einer Querschnittsansicht dar. 5(b) stellt auch eine Orientierung des Substrathalters relativ zur Lampenheizeinrichtung 8 dar.
  • Mit Bezug auf 5 liegt der gezeigte Substrathalter in Form einer Scheibe vor, die mit einem hohlen Inneren 311 ausgebildet ist und seitlich eine ringförmige Aussparung 310 aufweist, um den Substrathalter in der Aufspannvorrichtung 45 zu halten. Auf einer Oberfläche des Substrathalters sind auf seiner Seite gegenüber seiner hohlen oder unteren offenen Seite mehrere Substrate 5 angebracht. Das hohle und untere offene Innere ist so vorgesehen, dass es eine geeignete Tiefe aufweist, so dass ermöglicht wird, dass die Substrate wirksam und dennoch in einem Ausmaß erhitzt werden, das ausreicht, um die Verformung des Substrathalters zu verhindern. Obwohl Substrate als in mehrfacher Anzahl angebracht gezeigt sind, sollte ferner beachtet werden, dass nur ein Substrat angebracht sein kann. Wenn mehrere Substrate angebracht sind, ist es bevorzugt, dass sie entlang eines Kreises oder Kreisen auf der Scheibenoberfläche um ihre Mitte angeordnet sind.
  • Ein solcher Substrathalter mit einem mäßig hohlen Inneren verhindert die Verformung seines Körperabschnitts und ermöglicht dennoch eine effektive Erwärmung von Substraten.
  • 6(a) und 6(b) stellen einen Substrathalter, der eine Modifikation des Substrathalters von 5(a) und 5(b) darstellt, in einer perspektivischen Erscheinungsbildansicht bzw. in einer Querschnittsansicht dar. 6(b) zeigt auch eine Lampenheizeinrichtung 8.
  • Wie in 6(a) und 6(b) gezeigt, ist ein modifizierter Substrathalter 308 mit mehreren Öffnungen 309 in Form von Schlitzen ausgebildet, die so angeordnet sind, dass sie einen zentralen Bereich der Scheibe, in dem ein Substrat 5 angeordnet ist, umgeben. Mit einem solchen Substrathalter 308 wird ein Substrat 5 durch Fokussieren von Wärmestrahlen, die von der Lampenheizeinrichtung 8 emittiert werden, auf eine Substrathalteroberfläche, auf der das Substrat 5 abgestützt ist, erhitzt. Obwohl das Substrat durch Wärmeleitung in einem Abschnitt des Substrathalters, der durch die Schlitzöffnungen 309 definiert ist, erhitzt wird, wird festgestellt, dass die Anwesenheit dieser Öffnungen 309 die Entweichung von geleiteter Wärme von diesem Abschnitt weg verringert. Ferner sollte beachtet werden, dass Substrate nicht einzeln sein müssen, sondern mehrere sein können. Wenn mehrere Substrate angebracht sind, können die Schlitzöffnungen 309 entweder nur sie umgebend oder um sie ausgebildet sein.
  • Ein solcher Substrathalter 308 ermöglicht, dass ein oder mehrere Substrate wirksam erhitzt werden, und erhöht die Gleichmäßigkeit der Erhitzungstemperatur.
  • 7(a) und 7(b) zeigen eine alternative Form der vorstehend beschriebenen Substrathalter in einer perspektivischen Erscheinungsbildansicht bzw. in einer Querschnittsansicht. 7(b) stellt auch eine Orientierung des alternativen Substrathalters relativ zur Lampenheizeinrichtung 8 dar.
  • Mit Bezug auf 7(a) und 7(b) umfasst der alternative Substrathalter 48 einen Halterring 320, dessen äußere Umfangswand mit einer ringförmigen Aussparung 310 zum Halten des Substrathalters in einer Aufspannvorrichtung 45 ausgebildet ist und dessen innere Umfangswand mit einer inneren abgestuften Kante oder einem Schulterabschnitt endet, an der bzw. dem eine Halterplatte 330 innerhalb des Halterrings 320 und in Kontakt mit einem außen begrenzten Bereich sitzt.
  • Ein solcher Substrathalter 48 ist, wenn er an der Aufspannvorrichtung 45 angebracht ist, so orientiert, dass die Halterplatte 330 in einem Brennpunktbereich der von der Lampenheizeinrichtung emittierten Wärmestrahlen angeordnet wird. Es sollte ferner beachtet werden, dass die Halterplatte 330 an ihrer Seitenwand mit winzigen Vorsprüngen 315 mit abgerundeten Enden ausgebildet sein kann, so dass sie bündig in den Halterring 320 eingefügt werden kann.
  • Die Halterplatte 330 ist vorteilhafterweise auch aus einem Material ausgebildet, das einen hohen Wärmeabsorptionswirkungsgrad aufweist. Ferner ist ein oxidiertes oder Oxidmaterial auf der Scheibenoberfläche, die der Lampenheizeinrichtung 8 zugewandt ist, ausgebildet, um den Wärmeabsorptionswirkungsgrad der Halterplatte 330 zu maximieren. Wenn die Lampenheizeinrichtung beispielsweise aus einer Infrarotheizeinrichtung gebildet ist, ist es erwünscht, dass die Halterplatte aus Inkonel besteht und ihre Oberfläche dann bei einer hohen Temperatur um 1000°C oxidiert wird, um ein Oxid 313 zu bilden, das schwarz gefärbt ist, so dass es einen maximalen Wärmeabsorptionswirkungsgrad besitzt.
  • Ein so konstruierter Substrathalter ermöglicht, dass seine Halterplatte durch eine Lampenheizeinrichtung mit einem maximalen Wärmewirkungsgrad erhitzt wird, und minimiert das Entweichen von geleiteter Wärme vom Umfangsbereich der Halterplatte. Folglich hat er den Effekt, dass er die Halterplattentemperatur gleichmäßig macht.
  • Eine Erläuterung in Bezug auf einen Drehantriebsmechanismus zum Drehen der Trägerplatte und einen Translationsbewegungs-Antriebsmechanismus zum translatorischen vertikalen Bewegen der Trägerplatte wird als nächstes gegeben.
  • Mit Bezug auf 2 ist ein Drehantriebsmechanismus 60 zum Drehen der Trägerplatte 38 gezeigt, der einen Motor 61, der an einer Translationsbewegungsplatte 72 montiert ist, eine Welle 62 zur Übertragung einer Antriebskraft des Motors 61, und ein Antriebszahnrad 64, das an einem Endabschnitt der Welle 62 befestigt ist, umfasst. Das Antriebszahnrad 64 steht mit dem drehbaren Zahnrad 65, das für die Drehwelle vorgesehen ist, zur Übertragung der Drehantriebskraft auf diese in Eingriff.
  • Ferner sollte beachtet werden, dass veranlasst ist, dass die Drehwelle 62 durch das Innere eines flexiblen Rohrs 82 verläuft, das so enthalten ist, dass es eine Vakuumabschirmung zwischen dem Bewegungstisch 72 und der Wachstumskammer 22 bereitstellt.
  • Mit Bezug auf 2 und 4 besitzt die drehbare Welle 43 an ihrem Endabschnitt ein Stützelement 92, das an dieser befestigt ist und das die Trägerplatte 38 mittels mehrerer Halterwellen 91 daran befestigt. Und das drehbare Zahnrad 65 ist mit dem Stützelement 92 so gekoppelt, dass es relativ dazu mit einem gegebenen Drehmoment über ein Lager 93 drehbar ist.
  • Mit Bezug auf 2 umfasst der Translationsbewegungs-Antriebsmechanismus 70 einen Träger 73, der an einer oberen Abdeckung oder Oberseite 71 der gemeinsamen Kammer 22 befestigt ist, eine Drehwelle 75, die mit einem Motor 74 so gekoppelt ist, dass sie durch diesen zur Drehung angetrieben wird, und die Translationsbewegungsplatte 72, die translatorisch in vertikalen Richtungen bewegt werden soll, wenn die Drehwelle 75 gedreht wird. Die drehbare Welle 42 erstreckt sich durch das Innere des flexiblen Rohrs 83, das enthalten ist, um eine Vakuumabschirmung oder -abdichtung zwischen der Translationsbewegungsplatte 72 und der Wachstumskammer 22 vorzusehen, ist durch eine magnetische Abschirmungseinheit 77 magnetisch abgeschirmt, die an der Translationsbewegungsplatte 72 angebracht ist, und ist dadurch drehbar abgestützt. Die magnetische Abschirmungseinheit ist hier vorgesehen, um die drehbare Welle mittels eines Magnetfluids vakuumdicht abzuschirmen.
  • Als nächstes wird ein Betrieb zuerst des Translationsbewegungs-Antriebsmechanismus erwähnt.
  • Mit Bezug auf 2 und 4 wird, wenn die Translationsbewegungsplatte 72 in einer oberen Startposition angeordnet wird, die Drehwelle 75 durch den Motor 74 gedreht, um zu bewirken, dass sich die Platte 72 absenkt. Dann schrumpfen die flexiblen Rohre 82 und 83. Wenn sich die Platte 72 absenkt, senkt sich die drehbare Welle 43 ab. Und eine fortgesetzte Absenkung der drehbaren Welle 43 bringt den Flansch 33 der Substratheizeinheit 36, die an der Transportplatte 38 angebracht ist, mit dem O-Ring 41 in Druckkontakt, wobei die Substratheizeinheit somit zu einem Stillstand kommt.
  • Folglich wird jede der Vakuumkammern an der Substratheizeinheit 36 vakuumdicht abgeschirmt oder abgedichtet, was dann ermöglicht, dass sie unabhängig ausgepumpt werden und hinsichtlich des Drucks gesteuert werden und jeweils auf eine gegebene Temperatur erhitzt werden.
  • Als nächstes wird eine Erläuterung in Bezug auf Operationen der Transportplatte und des Substratdrehantriebsmechanismus gegeben.
  • Mit Bezug auf 2 und 4 wird, wenn die Translationsbewegungsplatte 72 in ihrer oberen Startposition liegt, eine vom Motor 61 gelieferte Drehantriebskraft auf die Welle 62 übertragen, um das Antriebszahnrad 64 zu drehen. Das Antriebszahnrad 64 dreht, wenn es gedreht wird, das drehbare Zahnrad 65 und wiederum die drehbare Welle 43, was bewirkt, dass sich die Trägerplatte dreht und dadurch die Substratheizeinheit 36 dreht. Dann wird bewirkt, dass sich das drehbare Zahnrad 88 dreht, wobei der Drehantrieb über die Drehwelle 86 auf das Drehzahnrad 83 übertragen wird, um das Substrathalter-Drehelement 85 zu drehen und folglich den Substrathalter 48 zu drehen. In diesem Zusammenhang sollte beachtet werden, dass jede der drehbaren Welle 43, der Drehwelle 62 und der Drehwelle 86 zur Drehung in dem Zustand veranlasst werden, in dem jede in ihrer jeweiligen Vakuumkammer vakuumdicht abgeschirmt oder abgedichtet ist.
  • Daher ist es nicht nur möglich, die Substratheizeinheit an der Trägerplatte zu irgendeiner gegebenen der Vakuumkammern zu transportieren, sondern es ist auch möglich, den Substrathalter 48 zu drehen.
  • Wenn die Trägerplatte 38 translatorisch in ihre untere Endposition bewegt wurde, wobei die Substratheizeinheit von der gemeinsamen Kammer isoliert vakuumdicht abgeschirmt gehalten wird, ermöglicht die Substratheizeinheit, die in Druckkontakt mit dem O-Ring verriegelt ist (daher wird die drehbare Welle vom weiteren Absenken abgehalten und somit verriegelt), dass das drehbare Zahnrad 65 mit der Drehantriebskraft, die von der Drehwelle 62 übertragen wird, relativ zur drehbaren Welle über das Lager 93 gedreht wird und das drehbare Zahnrad 88 und wiederum das Substrathalter-Drehelement 85 dreht, wodurch der Substrathalter 48 gedreht wird.
  • Folglich kann der Substrathalter in irgendeiner der Vakuumkammern in Drehung versetzt werden.
  • Als nächstes wird eine Erläuterung in Bezug auf die drehbare Welle gegeben.
  • 8 stellt in einer Querschnittsansicht die drehbare Welle zur Verwendung in der zweiten Ausführungsform der vorliegenden Erfindung dar.
  • Mit Bezug auf 8 verläuft die drehbare Welle 43 durch ein Zentrum der kombinatorischen Molekularschicht-Epitaxievorrichtung 20 gemäß der zweiten Ausführungsform und erstreckt sich über die gemeinsame Kammer unter einem Vakuum und eine Außenseite davon unter einem Atmosphärendruck.
  • Das obere Ende der drehbaren Welle 43 ist dicht mit einem Gleitring 301 zur Vakuumabschirmung oder -abdichtung abgedichtet. Elektrische Drähte, die durch das innere der drehbaren Welle geführt sind, sind mit einer Verbindung des Gleitrings 301 verbunden, die an der drehbaren Welle befestigt ist, und die axiale Bewegung damit in Gleitkontakt mit ihrem oberen festen Entnahmeteil stellen die elektrische Verbindung sicher.
  • Die Verwendung eines Gleitrings ermöglicht folglich, dass die elektrischen Drähte, die durch das Innere der drehbaren Welle geführt sind, durch Gleitkontakt mit einem externen elektrischen System verbunden werden, selbst wenn die drehbare Welle gedreht und axial bewegt wird.
  • Daher ist kein Verdrehen der elektrischen Drähte eine Begleiterscheinung, selbst wenn eine solche Welle gedreht und axial bewegt wird.
  • 9 stellt Details der drehbaren Welle in der zweiten Ausführungsform mit Fluiddurchlässen zum Kühlen einer Lampenheizeinrichtung dar.
  • Mit Bezug auf 9 ist die drehbare Welle 43 mit einer inneren und einer äußeren Kühlwasserleitung 401 und 403 koaxial versehen. Kühlwasser wird von einer Kühlwassereinlassöffnung 402 einer Kühlwasser-Dichtungseinheit 405 in die innere Kühlwasserleitung 401 eingeleitet. Das Kühlwasser fließt durch eine Auslassöffnung 404 in einem Endabschnitt der drehbaren Welle 43 aus und dann an den Kühlwasserfluiddurchlässen der Lampenheizeinrichtung 201 und 202 (2) vorbei und wird durch eine Rückführungseinlassöffnung 406 zurückgeführt. Das Rückführungswasser wird durch die äußere Leitung 403 geleitet und durch eine Ablassöffnung 408 einer Kühlwasser-Dichtungseinheit 408 ausgelassen. Ferner sollte beachtet werden, dass die Kühlwasser-Dichtungseinheiten 405 und 407 miteinander verbunden und am Träger (3) befestigt sind. Die Kühlwasser-Dichtungseinheiten 405 und 407 sind wasserdicht mit O-Ringen 409 abgedichtet.
  • Folglich begleitet eine Drehung dieser Leitungen, die an der drehbaren Welle getragen sind, keine Verdrehung der Kühlwasserleitungen.
  • Als nächstes wird eine Erläuterung in Bezug auf einen Betrieb in einem Prozess durch eine Vorrichtung der zweiten Ausführungsform der Erfindung gegeben. Es sollte beachtet werden, dass für die Wachstumskammer ein Beispiel eines Laser-Molekularstrahl-Epitaxiesystems genommen wird und spezielle angegebene Bedingungen nur für die Erläuterung dienen.
  • Unter einem gegebenen Druck und bei der Raumtemperatur wird die Trägerplatte 38 in ihrer oberen Startposition angeordnet und ein erster Substrathalter 48 wird in die Aufspannvorrichtung 45 geladen. Dann wird die Trägerplatte 38 abgesenkt, um die jeweiligen Substratheizeinheiten 36 mit ihren entsprechenden O-Ringen 41 in Druckkontakt zu bringen und sie zu einem Stillstand zu bringen. Die Vorheizkammer 28 wird auf einem Hochvakuum, z. B. auf 10-6 Torr, gehalten, in der eine Reinigung durchgeführt wird, und die Temperatur wird mit einer Rate von 10°C/Minute bis auf 950°C erhöht.
  • Beim Ablauf einer gegebenen Zeitdauer, während die Temperatur von jeder der Substratheizeinheiten unverändert gehalten wird, werden die gemeinsame Kammer und jede der Vakuumkammern auf einen gegebenen Druck zurückgebracht und die Trägerplatte 38 wird in ihre obere Startposition bewegt. Dann wird die Trägerplatte 38 gedreht, um die Substratheizeinheit 36, die mit dem ersten Substrathalter 48 beladen ist, in eine Position über der Wachstumskammer 24 zu transportieren. In dieser Stufe wird ein zweiter Substrathalter 48 mit als nächstes zu bearbeitenden Substraten in die Aufspannvorrichtung 45 einer anderen Substratheizeinheit 36 geladen oder wurde in diese geladen, welche der Vorheizkammer 28 bei der Raumtemperatur entspricht, nämlich von jeder Substratheizeinheit 36, bei der die Lampenheizeinrichtung 8 abgeschaltet ist.
  • Die Trägerplatte 38 wird dann abgesenkt, um die Vakuumkammern voneinander zu isolieren. Die Wachstumskammer 24 wird ausgepumpt und auf einem Hochvakuum, z. B. auf 10-4 Torr, gehalten und erhitzt und auf eine Temperatur von 950°C erhitzt gehalten, in welchem Zustand ein Laser-Molekularstrahl-Epitaxiewachstum darin durchgeführt wird. In dieser Stufe wird die Vorheizkammer 28 auf einem Hochvakuum von 10-6 Torr gehalten und erhitzt, um ihre Temperatur mit einer Rate von 10°C/Minute bis auf 950°C zu erhöhen.
  • In der Wachstumskammer 24 kann das Molekularschicht-Epitaxiewachstum für individuelle monomolekulare Schichten bewirkt werden, um eine Überstruktur oder ein Übergitter nacheinander auf jedem der Substrate auszubilden, indem ermöglicht wird, dass der Substrathalter gedreht wird. Danach werden jede der Vakuumkammern und die gemeinsame Kammer 22 auf einen gegebenen Druck zurückgebracht, während die eingestellte Temperatur von 950°C aufrechterhalten wird. Dann wird die Trägerplatte 38 wieder in ihre obere Startposition bewegt und ihre Drehung folgt, um die Substratheizeinheit 36, die mit dem ersten Substrathalter 48 beladen ist, in eine Position über der Glühkammer 26 zu transportieren. In dieser Stufe wird oder wurde ein dritter Substrathalter 48 in die Aufspannvorrichtung 45 der Substratheizeinheit 36 geladen, die der Vorheizkammer 28 entspricht.
  • Die Trägerplatte 38 wird dann wieder abgesenkt, um die Vakuumkammern voneinander zu isolieren. Die Glühkammer 28 wird im Druck verringert und auf einem Druck von z. B. 1 Torr gehalten und von einer Temperatur von z. B. 950°C mit einer Kühlrate von 10°C/Minute für eine gegebene Zeitdauer zum Glühen abgekühlt. In der Glühkammer wird eine Steuerung durchgeführt, um den Sauerstoffpartialdruck optimal zu machen. Nachdem die Lampenheizeinrichtung 8 abgeschaltet ist, um die Glühkammer auf Raumtemperatur zu bringen, werden jede der Vakuumkammern und die gemeinsame Kammer 22 auf einen gegebenen Druck gebracht, während die anderen Substratheizeinheiten 36 und 36 auf 950°C belassen werden. In diesem Zustand wird die Trägerplatte 38 in ihre obere Startposition bewegt und wird dann gedreht, um sie in ihre Ruheposition zurückzubringen. Der Substrathalter mit den Substraten mit jeweils dem epitaktischen Wachstum wird entfernt und in das Lagergehäuse 49 transportiert. Dann wird die Aufspannvorrichtung 45 der Substratheizeinheit 36 mit einem neuen, vierten Substrathalter beladen, der mit zu bearbeitenden Substraten beladen ist.
  • Die vorstehend beschriebene Ausführungsform der Erfindung ermöglicht folglich ebenso, dass [mehrere Rohmaterialien] × [mehrere Substrate] × [Reaktionsparameter wie z. B. Temperatur, Druck und Fluss aus der Gasphase] unabhängig voneinander ausgewählt oder gesteuert werden und in einer beliebigen gewünschten Kombination zusammengestellt werden, und ist daher in der Lage, in einer einzelnen Reihe von Reaktionen eine Gruppe von Substanzen zu einer systematisch gesteuerten Struktur zu synthetisieren oder zusammenzubringen.
  • Überdies wird eine Anordnung geschaffen, in der die Wachstumskammer 24 zum Ausbilden von monomolekularen Epitaxiewachstumsschichten auf Substraten, die Glühkammer 28 zum Glühen des auf Substraten ausgebildeten Dünnschichtwachstums und die Reinigungskammer 28 zum Vorreinigen von Substraten jeweils entsprechenden Heizeinheiten 36, 36 und 36 zugeordnet sind, und die den Druck und die Temperaturen für jedes der Kammer/Einheits-Paare, folglich für ein Paar unabhängig vom anderen, individuell steuerbar gemacht hat. Folglich ist es möglich gemacht, Substrate ohne den Bedarf für die Kühlung oder Temperaturverringerung zu transportieren und die aufeinander folgenden Prozesse nacheinander oder ohne Unterbrechung auszuführen.
  • Als nächstes wird eine Erläuterung einer dritten Ausführungsform der vorliegenden Erfindung gegeben.
  • 10 stellt die dritte Ausführungsform in ihrer Erscheinungsbildansicht dar.
  • In der dritten Ausführungsform verwendet die Erfindung eine Konstruktion, in der Heizeinheiten nicht auf einem Kreis, sondern in einer Reihe angeordnet sind und Vakuumkammern so entsprechend den Heizeinheiten angeordnet sind. Dennoch sollte beachtet werden, dass die Substrathalter-Ladeschleusenkammer und so weiter weggelassen sind.
  • Wie in 10 gezeigt, umfasst eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß der dritten Ausführungsform 400 eine gemeinsame Kammer 422, in der Substratheizeinheiten 436 in ihre jeweiligen Bearbeitungskammern transportiert werden, die aus einer Vorheizkammer 410, einer Wachstumskammer 412, einer Ätzkammer 414 und einer Glühkammer 416 gebildet sind, und jeweils damit verriegelt werden. Jede der Prozesskammern wird dadurch vakuumdicht abgeschirmt oder abgedichtet, um eine unabhängige Vakuumkammer zu bilden, die ein unabhängiges Auspumpen auf ein gegebenes Hochvakuum ermöglicht.
  • Die gemeinsame Kammer 422 ist so ausgelegt, dass sie mit der Vorheizkammer 410, der Wachstumskammer 412, der Ätzkammer 414 und der Glühkammer 413 durch ihre jeweiligen Öffnungen 42, die in einer Trennwand 439 ausgebildet sind, in Verbindung steht, von denen jede einen O-Ring aufweist, der in eine ringförmige Nut eingefügt ist, die um sie ausgebildet ist. Ferner ist jede der Vakuumkammern an der Trennwand 439 vakuumdicht abgedichtet und dadurch sicher gehalten.
  • Die Substratheizeinheiten 436 werden durch eine Trägerplatte 438 getragen, die so ausgelegt ist, dass sie durch vertikal bewegliche Wellen 401 und 401 vertikal bewegt wird, und z. B. an einer Kettenfördereinrichtung abgestützt sind, um sich entlang eines schleifenförmigen Weges 402 zu bewegen, der in der Trägerplatte 438 ausgebildet ist. Es sollte ferner beachtet werden, dass ein Motor 429 vorgesehen ist, um die Substratheizeinheiten 436 entlang des schleifenförmigen Kettenförderweges 402 zu befördern, und Motoren 421 auch vorgesehen sind, um die in den Substratheizeinheiten 436 gehaltenen Substrathalter jeweils zu drehen.
  • 11 stellt in einer etwas detaillierten Ansicht eine Substratheizeinheit in der dritten Ausführungsform der Erfindung dar, in der dieselben Bezugszeichen wie in 2 verwendet Teile oder Komponenten darstellen, die jenen in der zweiten Ausführungsform gemeinsam sind.
  • Mit Bezug auf 11 wird die Substratheizeinheit in der dritten Ausführungsform 436 durch die Trägerplatte 438 mittels einer Welle 406 getragen und ist mit einem Drehantriebsmechanismus zum Drehen des Substrathalters in einer Konfiguration, wie in 2 gezeigt, versehen. Der Motor 421 zum Aufbringen einer Drehantriebskraft auf die Drehwelle 86 ist an einer Oberseiten- oder oberen Abdeckung 418 getragen.
  • Als nächstes wird ein Betrieb der dritten Ausführungsform erwähnt.
  • Die Trägerplatte 438 wird abgesenkt, um den Flansch 33 der Substratheizeinheit 436 mit dem O-Ring an der Trennwand in Druckkontakt zu bringen.
  • Der zusammengedrückte O-Ring bewirkt, dass die Substratheizeinheit zu einem Stillstand kommt. In dieser Stufe wird oder wurde jede der Vakuumkammern vakuumdicht abgedichtet, individuell auf ein gegebenes Vakuum mit gesteuertem Druck ausgepumpt und wird oder wurde auf eine gegebene Temperatur unabhängig voneinander erhitzt.
  • Anschließend wird veranlasst, dass sich die Trägerplatte 438 anhebt und in ihrer oberen Startposition zu einem Stillstand kommt, was ermöglicht, dass sich die Substratheizeinheiten horizontal zur Positionierung über ihren jeweiligen Vakuumkammern bewegen. Bei der Bewegung der Substratheizeinheiten wird der Substrathalter gedreht und eine gegebene Temperatur wird dafür gehalten.
  • Diese Anordnung ermöglicht hier wiederum, dass jede der Vakuumkammern gegenüber ihrem Erwärmungsgegenstück vakuumdicht abgeschirmt oder abgedichtet wird, individuell auf ein gegebenes Vakuum mit gesteuertem Druck ausgepumpt wird und unabhängig voneinander auf eine gegebene Temperatur erhitzt wird.
  • Es sollte in Verbindung mit dem Obigen beachtet werden, dass die Wachstumskammer eine Konstruktion wie in der ersten oder zweiten Ausführungsform aufweisen kann.
  • Industrielle Anwendbarkeit
  • Wie aus der vorangehenden Beschreibung ersichtlich ist, ist eine kombinatorische Molekularschicht-Epitaxievorrichtung gemäß der vorliegenden Erfindung als Epitaxievorrichtung für monomolekulare Schichten äußerst nützlich, um eine effiziente Suche nach einem Material oder einer Substanz effizient in einer kurzen Zeitdauer durchzuführen.

Claims (30)

  1. Kombinatorische Molekularschicht-Epitaxievorrichtung, die umfasst: eine gemeinsame Kammer, deren Innendruck steuerbar ist; ein oder mehrere transportierbare Substratheizeinheiten mit einem Substrathalter zum Halten eines oder mehrerer Substrate in der gemeinsamen Kammer; und eine oder mehrere Prozessausführungskammern, deren Innendruck steuerbar ist und die so vorgesehen sind, dass sie den Substratheizeinheiten entsprechen, wobei die Prozessausführungskammern eine Wachstumskammer, die Rohmaterialvielfalt-Zufuhrmittel zum Zuführen von Rohmaterialien auf ein durch eine Substratheizeinheit gehaltenes Substrat, ein Gaszufuhrmittel zum Zuführen eines Gases auf eine Oberfläche des Substrats und ein Sofortbeobachtungsmittel zum sofortigen Beobachten des epitaktischen Wachstums monomolekularer Schichten für jede der Schichten auf der Substratoberfläche besitzt, wodurch es möglich ist, systematisch in Übereinstimmung mit Angaben der Sofortbeobachtungsmittel die Wachstumstemperatur, den Druck und die Zufuhr der Rohmaterialien für jedes der Substrate zu steuern und eine Gruppe von Substanzen herzustellen, deren epitaktisches Wachstum in einer individuellen monomolekularen Schicht hervorgerufen wird und die für jedes der Substrate zusammengebracht werden.
  2. Kombinatorische Molekularschicht-Epitaxiewachstumsvorrichtung nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Laser-Molekularstrahl-Epitaxiemittel zum Verdampfen mehrerer Targets unterschiedlicher fester Rohmaterialien mit einem Excimer-Laser und zum Bilden einer Dünnschicht mit einer Zusammensetzung, die auf jedem der Substrate angestrebt wird, enthält.
  3. Kombinatorische Molekularschicht-Epitaxiewachstumsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Laser-Molekularstrahl-Epitaxiemittel enthält und ein Substrat aus einem Material zusammengesetzt ist, das aus der Gruppe ausgewählt ist, die aus α-Al2O3, YSZ, MgO, SrTiO3, LaAlO3, NdGaO3, YAlO3, LaSrGaO4, NdAlO3, Y2O5, SrLaAlO4, CaNdAlO4, Si und Verbundhalbleitern besteht.
  4. Kombinatorische Molekularschicht-Epitaxiewachstumsvorrichtung nach Anspruch 1 oder Anspruch 2, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Laser-Molekularstrahl-Epitaxiemittel enthält und die festen Rohmaterialien des Targets Substanzen enthalten, die so beschaffen sind, dass sie ein Material bilden, das aus der Gruppe ausgewählt ist, die aus einem Hochtemperatur-Supraleiter, einem lumineszenten Material, einem dielektrischen Material, einem ferroelektrischen Material, einem Material mit riesigem Magnetowiderstand und einem Oxidmaterial besteht.
  5. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel einen Target-Drehtisch, der drehbar unterstützt und vertikal beweglich ist, um Targets zu tragen, und ein Maskierungsplattenmittel, das zwischen den Targets und den Substraten angeordnet und drehbar unterstützt und vertikal beweglich ist, umfasst.
  6. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass das Maskierungsplattenmittel mehrere Maskierungsplatten mit unterschiedlichen Maskierungskonfigurationen, die nacheinander austauschbar sind, während ein epitaktisches Wachstum erfolgt, umfasst.
  7. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass das Maskierungsplattenmittel eine Maske enthält, die in Bezug auf die Substrate horizontal beweglich und so beschaffen ist, dass sie das Substrat und/oder einen gegebenen Bereich hiervon mit der beweglichen Maske abdeckt oder aufdeckt.
  8. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Laser-Molekularstrahl-Epitaxiemittel umfasst und das Sofortbeobachtungsmittel ein Reflex-Hochenergieelektronenstrahl-Beugungsanalysemittel umfasst.
  9. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Vorrichtung ferner eine Target-Ladeschleusenkammer zum Laden von Targets in die Wachstumskammer umfasst.
  10. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Gasquellen-Molekularstrahl-Epitaxiemittel umfasst, das so beschaffen ist, dass es einen strömungsgesteuerten Strom einer gasförmigen organometallischen Verbindung durch ein Düsenmittel auf jedes der Substrate sprüht und dadurch zuführt.
  11. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Gasquellen-Molekularstrahl-Epitaxiemittel umfasst und das Sofortbeobachtungsmittel ein optisches Mittel umfasst, das Beobachtungen auf der Grundlage von Reflexionsgrad-Differenzspektroskopie- und/oder Oberflächenlichtabsorptions- und/oder Oberflächenlicht-Interferometer-Prozessen ausführt.
  12. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1, 10 und 11, dadurch gekennzeichnet, dass das Rohmaterialvielfalt-Zufuhrmittel ein Gasquellen-Molekularstrahl-Epitaxiemittel umfasst und die Substrate solche Substrate umfassen, die aus Si oder einem Verbundhalbleiter aufgebaut sind.
  13. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Substrate ein Substrat umfassen, dessen Oberfläche auf atomarem Niveau geglättet ist und dessen äußerste Atomschicht identifiziert wird.
  14. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die gemeinsame Kammer mit einer Substrathalter-Ladeschleusenkammer versehen ist, um die Substrathalter in einem Zustand, in dem für sie ein Hochvakuum aufrechterhalten wird, auszutauschen.
  15. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Substratheizeinheit so beschaffen ist, dass sie mit ihrer entsprechenden Prozessausführungskammer in Kontakt ist, um diese vakuumdicht abzudichten, wobei die Substratheizeinheit und die Prozessausführungskammer dann eine Vakuumkammer mit unabhängig steuerbarem Druck bilden.
  16. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1 oder 15, dadurch gekennzeichnet, dass die Substratheizeinheiten gemeinsam so beschaffen sind, dass sie um eine Trägerplatte gedreht und durch diese vertikal bewegt werden können, um so in Zuordnung zu den Prozessausführungskammern nacheinander transportiert zu werden.
  17. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, ferner gekennzeichnet durch eine drehbare Welle in Form eines röhrenförmigen Zylinders, der mit einer elektrischen Verdrahtung und einer Brauchwasser-Verrohrung außerhalb der gemeinsamen Kammer verbunden und so beschaffen ist, dass er in einem Zustand, in dem das gemeinsame Kammermittel unter Vakuum gehalten wird, gedreht und vertikal bewegt werden kann, wobei eine Kühlwasser-Verrohrung, die in einem Bereich jeder der Substratheizeinheiten angeordnet ist, mit der Brauchwasser-Verrohrung verbunden ist, und eine Trägerplatte, deren Zentrum so angeordnet ist, dass es mit einer Drehachse der drehbaren Welle zusammenfällt.
  18. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 17, dadurch gekennzeichnet, dass an der Drehwelle ein Gleitring, der so beschaffen ist, dass er ein oberes Ende der Drehwelle vakuumdicht abdichtet und das obere Ende elektrisch mit der externen elektrischen Verdrahtung verbindet, ein Kühlwasser-Abdichtungsmittel für die Verbindung mit der externen Brauchwasser-Verrohrung und ein Kühlwasser-Leitungsmittel, das mit dem Kühlwasser-Abdichtungsmittel wasserdicht verbunden ist und durch die die Drehwelle koaxial verläuft, damit sich die Welle in Gleitkontakt hiermit drehen kann, befestigt sind.
  19. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 17 oder 18, dadurch gekennzeichnet, dass das Kühlwasser-Leitungsmittel eine innere und eine äußere Kühlwasser-Leitung umfasst, die koaxial zu der Drehwelle angeordnet sind und einen einzigen Kühlwasserdurchlass bilden.
  20. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1 und 15 bis 17, dadurch gekennzeichnet, dass eine Substratheizeinheit einen Substratdrehmechanismus zum Drehen des Substrathalters enthält.
  21. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1 und 15 bis 17, dadurch gekennzeichnet, dass die Substratheizeinheiten drehbar sind und jede von ihnen einen Substratdrehmechanismus enthält, der eine Drehung anhand einer Antriebsleistung zum Umlaufenlassen der Substratheizeinheiten bereitstellt.
  22. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1, 15 bis 18, 21 und 22, dadurch gekennzeichnet, dass die Substratheizeinheit einen Substratdrehmechanismus umfasst, um den Substrathalter in der Vakuumkammer zu drehen.
  23. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Prozessausführungskammern eine Glühkammer zum Glühen von durch Substrathalter gehaltenen Substraten, eine Vorheizkammer zum Vorheizen der durch die Substrathalter gehaltenen Substrate auf eine gegebene Temperatur in einem Hochvakuum und eine Wachstumskammer zum Bilden einer Dünnschicht auf den durch die Substrathalter gehaltenen Substraten sowie eine Ätzkammer zum Ätzen des Substrats mit der Dünnschicht, die zu einem Wachstum veranlasst und darauf gebildet ist, umfassen.
  24. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1, 14 und 20 bis 23, dadurch gekennzeichnet, dass der Substrathalter mit Öffnungen ausgebildet ist, wovon jede die Form eines Schlitzes hat und so angeordnet ist, dass sie eines oder mehrere Substrate umgeben.
  25. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1, 14 und 20 bis 23, dadurch gekennzeichnet, dass der Substrathalter die Form einer Scheibe hat, die innen hohl ist und in deren Seitenwand eine ringförmige Nut ausgebildet ist, die ermöglicht, dass der Substrathalter auf der Substratheizeinheit gehalten werden kann.
  26. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1, 14 und 20 bis 23, dadurch gekennzeichnet, dass der Substrathalter einen Halterring, der innen eine gestufte Kante besitzt und in dessen Seitenwand eine ringförmige Nut ausgebildet ist, die ermöglicht, dass der Substrathalter auf der Substratheizeinheit gehalten werden kann, und eine Halterplatte in Form einer Scheibe, die auf der gestuften Kante des Halterrings zu liegen kommen soll, um ein oder mehrere Substrate auf ihrer der Substratheizeinheit zugewandten Seite zu unterstützen, umfasst, wobei die Scheibenhalterplatte aus einem Material hergestellt ist, das einen hohen Wärmeabsorptionswirkungsgrad besitzt.
  27. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 26, dadurch gekennzeichnet, dass die Halterplatte, die aus dem Material mit hohem Wärmeabsorptionswirkungsgrad gebildet ist, durch eine Inkonel-Platte, wovon ein Oberflächenbereich bei hoher Temperatur oxidiert worden ist, gebildet ist.
  28. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 1 und 15 bis 17, dadurch gekennzeichnet, dass das Substratheizmittel eine Lampenheizeinrichtung umfasst.
  29. Kombinatorische Molekularschicht-Epitaxievorrichtung nach einem der Ansprüche 26 bis 28, dadurch gekennzeichnet, dass der Substrathalter so angeordnet ist, dass er an einer Fokussierungsposition der Lampenheizeinrichtung liegt.
  30. Kombinatorische Molekularschicht-Epitaxievorrichtung nach Anspruch 26 oder Anspruch 27, dadurch gekennzeichnet, dass die Halterplatte so angeordnet ist, dass sie an einer Fokussierungsposition der Lampenheizeinrichtung, die die Substratheizeinheit bildet, liegt.
DE69937042T 1998-09-11 1999-09-10 Kombinatorische vorrichtung für epitaktische molekularschicht Expired - Lifetime DE69937042T2 (de)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP25897098A JP3028129B2 (ja) 1998-09-11 1998-09-11 同軸型真空加熱装置
JP25896898A JP3192404B2 (ja) 1998-09-11 1998-09-11 基板加熱搬送プロセス処理装置
JP25897098 1998-09-11
JP25896898 1998-09-11
JP10258969A JP3018001B1 (ja) 1998-09-11 1998-09-11 コンビナトリアルレーザー分子線エピタキシー装置
JP10258967A JP3018000B1 (ja) 1998-09-11 1998-09-11 コンビナトリアル分子層エピタキシー装置
JP25896998 1998-09-11
JP25896798 1998-09-11
PCT/JP1999/004946 WO2000015884A1 (fr) 1998-09-11 1999-09-10 Dispositif combinatoire d'épitaxie de couche moléculaire

Publications (2)

Publication Number Publication Date
DE69937042D1 DE69937042D1 (de) 2007-10-18
DE69937042T2 true DE69937042T2 (de) 2008-05-29

Family

ID=27478495

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69937042T Expired - Lifetime DE69937042T2 (de) 1998-09-11 1999-09-10 Kombinatorische vorrichtung für epitaktische molekularschicht

Country Status (4)

Country Link
US (1) US6344084B1 (de)
EP (1) EP1038996B1 (de)
DE (1) DE69937042T2 (de)
WO (1) WO2000015884A1 (de)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7286585B2 (en) * 1998-12-21 2007-10-23 Finisar Corporation Low temperature grown layers with migration enhanced epitaxy adjacent to an InGaAsN(Sb) based active region
US7435660B2 (en) * 1998-12-21 2008-10-14 Finisar Corporation Migration enhanced epitaxy fabrication of active regions having quantum wells
US20030219917A1 (en) * 1998-12-21 2003-11-27 Johnson Ralph H. System and method using migration enhanced epitaxy for flattening active layers and the mechanical stabilization of quantum wells associated with vertical cavity surface emitting lasers
FR2816714B1 (fr) * 2000-11-16 2003-10-10 Shakticom Procede et dispositif de depot de couches minces
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
US6521503B2 (en) * 2001-04-23 2003-02-18 Asm America, Inc. High temperature drop-off of a substrate
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
KR20040007963A (ko) * 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
CA2581614A1 (en) * 2004-10-01 2006-04-13 Finisar Corporation Vertical cavity surface emitting laser having multiple top-side contacts
US7860137B2 (en) * 2004-10-01 2010-12-28 Finisar Corporation Vertical cavity surface emitting laser with undoped top mirror
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US20070102290A1 (en) * 2005-11-10 2007-05-10 The Circle For The Promotion Of Science And Engineering Novel material development apparatus and novel material development method using arc plasma
JP5284108B2 (ja) 2006-02-10 2013-09-11 インターモレキュラー, インコーポレイテッド 材料、単位工程および工程順序のコンビナトリアル変化のための方法およびシステム
CN101421433B (zh) * 2006-02-10 2013-11-06 分子间公司 用于联合改变材料、单元工艺和工艺顺序的方法和装置
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US8815013B2 (en) * 2006-07-19 2014-08-26 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
US20080076679A1 (en) * 2006-08-16 2008-03-27 Intematix Corporation Systems and methods of combinatorial synthesis using laser-assisted thermal activation
US20080113877A1 (en) * 2006-08-16 2008-05-15 Intematix Corporation Liquid solution deposition of composition gradient materials
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
TWI475592B (zh) 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI410527B (zh) * 2010-05-06 2013-10-01 Taiwan Semiconductor Mfg 電鍍輔助裝置及於基板上電鍍導電層之方法
US20120060758A1 (en) * 2011-03-24 2012-03-15 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9406942B2 (en) * 2012-06-27 2016-08-02 Nissan North America, Inc. Electrocatalyst rotating disk electrode preparation apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140273533A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Annealing Method Utilizing a Vacuum Environment
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11402672B2 (en) 2018-05-03 2022-08-02 X Development Llc Quantum confined nanostructures with improved homogeneity and methods for making the same
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
TW202129092A (zh) * 2019-10-17 2021-08-01 美商維克儀器公司 具有可變之基板對來源(substrate-to-source)配置的分子束磊晶系統
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11926925B2 (en) * 2019-11-12 2024-03-12 The Johns Hopkins University Molecular-beam epitaxy system comprising an infrared radiation emitting heater and a thermally conductive backing plate including an infrared-absorbing coating thereon
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2542327B1 (de) * 1983-03-07 1986-03-07 Bensoussan Marcel
JPS60249328A (ja) 1984-05-25 1985-12-10 Kokusai Electric Co Ltd 半導体ウエ−ハ用ドライエツチング・化学気相生成装置
JPS61159572A (ja) 1985-01-07 1986-07-19 Hitachi Ltd 連続スパツタ装置
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
DE68920853T2 (de) * 1988-11-28 1995-05-24 Fujitsu Ltd Verfahren für das Wachstum von epitaxialen Schichten.
US5501175A (en) * 1993-07-02 1996-03-26 Sumitomo Electric Industries, Ltd. Process for preparing high crystallinity oxide thin film
US5660628A (en) * 1993-08-18 1997-08-26 Mitsubishi Kasei Corp. Method of manufacturing semiconductor epitaxial wafer
FR2712308B1 (fr) * 1993-11-12 1996-01-26 Lagues Michel Jean Robert Procédé de dépôt d'un matériau sous forme de couches monomoléculaires.
JP2792423B2 (ja) * 1994-01-14 1998-09-03 日本電気株式会社 結晶成長方法およびその装置
US5985356A (en) * 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US5772758A (en) * 1994-12-29 1998-06-30 California Institute Of Technology Near real-time extraction of deposition and pre-deposition characteristics from rotating substrates and control of a deposition apparatus in near real-time
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
NO970220L (no) * 1996-01-19 1997-07-21 Murata Manufacturing Co Transduktor med tynnfilmsjikt av metall, for akustiske overflatebölger
JP2849064B2 (ja) 1996-03-25 1999-01-20 芝浦メカトロニクス株式会社 真空処理装置

Also Published As

Publication number Publication date
EP1038996A1 (de) 2000-09-27
US6344084B1 (en) 2002-02-05
DE69937042D1 (de) 2007-10-18
EP1038996A4 (de) 2002-05-02
WO2000015884A1 (fr) 2000-03-23
EP1038996B1 (de) 2007-09-05

Similar Documents

Publication Publication Date Title
DE69937042T2 (de) Kombinatorische vorrichtung für epitaktische molekularschicht
DE4005956C1 (de)
DE69730097T2 (de) Verfahren und Vorrichtung zur Behandlung von Wafers
DE3816192C2 (de)
DE68927920T3 (de) Magnetronzerstäubungsanlage und -verfahren
DE1949767A1 (de) Verfahren und Vorrichtung zum Niederschlagen einer gleichmaessigen Schicht eines Stoffes auf einem Gegenstand
DE3047441C2 (de)
DE10244409B4 (de) Verfahren zum Ätzen einer auf einem Wafer ausgebildeten vorbestimmten Schicht und Vorrichtung zur Durchführung derselben
DE102004056170A1 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
DE3634129A1 (de) Verfahren und reaktor zum chemischen aufdampfen
DE3507337A1 (de) Vorrichtung zur durchfuehrung von prozessen im vakuum
DE3211051A1 (de) Vorrichtung und verfahren fuer molekularstrahlniederschlag auf einer vielzahl substrate
DE3811372A1 (de) Vorrichtung zur behandlung eines gegenstands, insbesondere halbleiterkoerpers
DE1621394A1 (de) Verfahren und Vorrichtung zum Erhitzen und/oder Beschichten von Werkstuecken
DE2218609A1 (de) Reaktorsystem zur erzeugung von schichten auf einem substrat
DE3641206A1 (de) Vorrichtung und verfahren zum behandeln eines substrates mit einem plasma
DE4010595A1 (de) Verfahren zur bildung eines kristallinen films
DE102011007735A1 (de) Systeme und Verfahren zur Gasbehandlung einer Anzahl von Substraten
EP0385382A2 (de) Verfahren zur thermischen Behandlung von Halbleitermaterialien und Vorrichtung zur Durchführung desselben
US5356872A (en) "Method of making high Tc superconducting thin films with fullerenes by evaporation"
DE102005061594A1 (de) Vorrichtung zum Herstellen einer Halbleitereinrichtung
DE19522574A1 (de) Reaktor zur Beschichtung von flächigen Substraten und Verfahren zur Herstellung derartiger Substrate
JP3018000B1 (ja) コンビナトリアル分子層エピタキシー装置
JP3018001B1 (ja) コンビナトリアルレーザー分子線エピタキシー装置
DE19631101C2 (de) Beschichtungsapparatur für oxidische Materialien

Legal Events

Date Code Title Description
8364 No opposition during term of opposition