EP0999933A4 - A field acutated ink jet - Google Patents

A field acutated ink jet

Info

Publication number
EP0999933A4
EP0999933A4 EP98933350A EP98933350A EP0999933A4 EP 0999933 A4 EP0999933 A4 EP 0999933A4 EP 98933350 A EP98933350 A EP 98933350A EP 98933350 A EP98933350 A EP 98933350A EP 0999933 A4 EP0999933 A4 EP 0999933A4
Authority
EP
European Patent Office
Prior art keywords
ink
nozzle
etching
layer
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP98933350A
Other languages
German (de)
French (fr)
Other versions
EP0999933A1 (en
EP0999933B1 (en
Inventor
Kia Silverbrook
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silverbrook Research Pty Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AUPO8069A external-priority patent/AUPO806997A0/en
Priority claimed from AUPO8075A external-priority patent/AUPO807597A0/en
Priority claimed from AUPO8049A external-priority patent/AUPO804997A0/en
Priority claimed from AUPO8036A external-priority patent/AUPO803697A0/en
Priority claimed from AUPO7933A external-priority patent/AUPO793397A0/en
Priority claimed from AUPO8053A external-priority patent/AUPO805397A0/en
Priority claimed from AUPO8055A external-priority patent/AUPO805597A0/en
Priority claimed from AUPO8004A external-priority patent/AUPO800497A0/en
Priority claimed from AUPO8070A external-priority patent/AUPO807097A0/en
Priority claimed from AUPO8067A external-priority patent/AUPO806797A0/en
Priority claimed from AUPO8060A external-priority patent/AUPO806097A0/en
Priority claimed from AUPO7949A external-priority patent/AUPO794997A0/en
Priority claimed from AUPO8047A external-priority patent/AUPO804797A0/en
Priority claimed from AUPO8059A external-priority patent/AUPO805997A0/en
Priority claimed from AUPO8048A external-priority patent/AUPO804897A0/en
Priority claimed from AUPO8072A external-priority patent/AUPO807297A0/en
Priority claimed from AUPO8066A external-priority patent/AUPO806697A0/en
Priority claimed from AUPO7936A external-priority patent/AUPO793697A0/en
Priority claimed from AUPO8061A external-priority patent/AUPO806197A0/en
Priority claimed from AUPO8001A external-priority patent/AUPO800197A0/en
Priority claimed from AUPO8035A external-priority patent/AUPO803597A0/en
Priority claimed from AUPO8058A external-priority patent/AUPO805897A0/en
Priority claimed from AUPO8065A external-priority patent/AUPO806597A0/en
Priority claimed from AUPO8077A external-priority patent/AUPO807797A0/en
Priority claimed from AUPO8041A external-priority patent/AUPO804197A0/en
Priority claimed from AUPO8071A external-priority patent/AUPO807197A0/en
Priority claimed from AUPO8056A external-priority patent/AUPO805697A0/en
Priority claimed from AUPO8063A external-priority patent/AUPO806397A0/en
Priority claimed from AUPO8054A external-priority patent/AUPO805497A0/en
Priority claimed from AUPO8044A external-priority patent/AUPO804497A0/en
Priority claimed from AUPO8073A external-priority patent/AUPO807397A0/en
Priority claimed from AUPO8076A external-priority patent/AUPO807697A0/en
Priority claimed from AUPO7950A external-priority patent/AUPO795097A0/en
Priority claimed from AUPO7935A external-priority patent/AUPO793597A0/en
Priority claimed from AUPP3983A external-priority patent/AUPP398398A0/en
Priority claimed from AUPP3982A external-priority patent/AUPP398298A0/en
Priority to EP04024064A priority Critical patent/EP1508445B1/en
Priority to EP04024061A priority patent/EP1508448B1/en
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Priority to EP04024062A priority patent/EP1508449B1/en
Priority to EP04024065A priority patent/EP1510341B1/en
Priority to EP04024060A priority patent/EP1510339B1/en
Priority to EP04024057A priority patent/EP1508443B1/en
Priority to EP04024059A priority patent/EP1512535B1/en
Priority to EP04024058A priority patent/EP1508444B1/en
Priority to EP04024066A priority patent/EP1508446B1/en
Priority to EP04024063A priority patent/EP1510340B1/en
Publication of EP0999933A1 publication Critical patent/EP0999933A1/en
Publication of EP0999933A4 publication Critical patent/EP0999933A4/en
Publication of EP0999933B1 publication Critical patent/EP0999933B1/en
Application granted granted Critical
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J3/00Typewriters or selective printing or marking mechanisms characterised by the purpose for which they are constructed
    • B41J3/44Typewriters or selective printing mechanisms having dual functions or combined with, or coupled to, apparatus performing other functions
    • B41J3/445Printers integrated in other types of apparatus, e.g. printers integrated in cameras
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14314Structure of ink jet print heads with electrostatically actuated membrane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14427Structure of ink jet print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1623Manufacturing processes bonding and adhesion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1629Manufacturing processes etching wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1632Manufacturing processes machining
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1635Manufacturing processes dividing the wafer into individual chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1637Manufacturing processes molding
    • B41J2/1639Manufacturing processes molding sacrificial molding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1642Manufacturing processes thin film formation thin film formation by CVD [chemical vapor deposition]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1643Manufacturing processes thin film formation thin film formation by plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1645Manufacturing processes thin film formation thin film formation by spincoating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1646Manufacturing processes thin film formation thin film formation by sputtering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1648Production of print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/17Ink jet characterised by ink handling
    • B41J2/175Ink supply systems ; Circuit parts therefor
    • B41J2/17596Ink pumps, ink valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2002/041Electromagnetic transducer

Definitions

  • the present invention relates to the field of ink jet printing systems.
  • US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilised by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
  • Piezo-electric ink jet printers are also one form of commonly utilised ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.
  • thermal ink jet printing has become an extremely popular form of ink jet printing.
  • the ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent
  • a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
  • esoteric techniques are also often utilized. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.
  • an ink jet printing nozzle arrangement comprising a nozzle chamber having an ink ejection port at one end; a plunger constructed from soft magnetic material and positioned between the nozzle chamber and an ink chamber, which allows for the supply of ink to the nozzle chamber, and an electric coil located adjacent to the plunger and electrically connected to a nozzle activation signal wherein, upon activation, the plunger is caused to move from an ink loaded position to an ink ejection position and thereby causes the ejection of ink from the ink chamber through the ejection port.
  • the ink ejection nozzle can comprise an armature plate constructed from soft magnetic material and the plunger is attracted to the armature plate on the activation of the coil.
  • a cavity is defined by the plunger in which the electric coil is located, which has its dimensions reduced as a result of movement of the plunger, the plunger further having a series of fluid release slots in fluid communication with the cavity and the ink chamber, allowing for the expulsion of fluid under pressure in the formed cavity.
  • the ink jet printing nozzle comprises a resilient means for assisting in the return of the plunger from the ink ejection position to the ink loaded position after the ejection of ink from the ink ejection port.
  • the resilient means comprises a torsional spring of an arcuate construction having a circumferential profile substantially the same as that of the plunger.
  • an ink jet printing nozzle arrangement constructed in accordance with the previous aspect of the invention wherein the plunger has along one surface a series of slots. This surface forms the inner radial surface defining the cavity between the plunger and the electric coil. Further, the plunger has no fluid release slots in its top surface that defines the top wall of the cavity formed. Upon reduction of the cavity dimensions due to the downward movement of the plunger, induced by the electric coil, an ink flow through the slots into the nozzle chamber occurs assisting in the ejection of ink from the ink ejection port.
  • the slots Preferably, have a substantially constant cross-sectional profile.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator comprising a first planar electrode formed within a bottom substrate of the nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, wherein the second planar electrode is moveable to a pre-firing position adjacent to said first planar electrode, upon forming a potential difference across the electrodes, thereby causing a corrugated border portion of the second electrode to concertina, such that, upon reduction of the potential difference, the corrugated border returns to its quiescent position and thereby causes the ejection of ink from the nozzle chamber.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator to eject ink from the nozzle chamber via the ink ejection port, wherein the electrostatic actuator comprises a first planar electrode formed within a bottom substrate of the nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, and the ink jet nozzle arrangement is being formed from the depositing and etching of material on a single monolithic wafer.
  • the first and second planar electrode which is interconnected to an external atmosphere at a side of the nozzle chamber such that air flows into and out of the gap upon movement of the actuator.
  • the surface of the electrodes facing and opposing electrode are coated with a material having a low coefficient of friction so as to reduce the possibilities of stiction.
  • this material comprised of substantially polytetrafluoroethylene.
  • the second planar electrode includes preferable a layer of stiffening materials for maintaining the stiffness of the second planar electrode which is substantially comprised of nitride.
  • the air gap between a first and a second planar electrode structure is formed by utilisation of a sacrificial material layer which is etched away to release the second planar electrode structure.
  • an outer surface of the ink chamber includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator comprising a series of conductive parallel plates interleaved with a resiliently compressible material to eject ink from the nozzle chamber via the ejection port and a method comprising the steps of producing a potential difference across the plates so as to attract adjacent plates to one another and thereby causing the compressible material to resiliently yield and further reducing the potential difference such that the compressible material returns to its quiescent state, thereby resulting in the ejection of ink from the ejection port.
  • the resilient yielding of the compressible material results in ink being drawn into the nozzle chamber by means of surface tension effects around the ink ejection port.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator, which comprises a series of conductive parallel plates interleaved with a resiliently compressible material, to eject ink from the nozzle chamber via the ink ejection port and a control means for producing a potential difference across the plates so that the material is resiliently, such that, upon deactivation, the electrostatic actuator causes ink to be ejected via the ink ejection port.
  • the compressible material comprises a material having a high dielectric constant, such material including piezo electric ' electrostrictive or materials which can be switched between a ferro-electric and an anti-ferro-electric phase.
  • the electrostatic actuator is constructed utilizing semi-conductor fabrication techniques by laying down one planar layer at a time so as to form an initial sandwiched preform, and subsequently selectively etching the preform so as to provide for an electrical interconnect to the conductive parallel plates. Further groups of the series of the conductive parallel plates are constructed from different materials so as to allow for the selective etching of the plates so as to divide them into 2 groups of different polarities during operation.
  • the plates from each group are interconnected to a common conductive portion for the provision of a charge to the conductive plates.
  • the plates are constructed utilizing chemical vapor deposition techniques.
  • the outer surface of the nozzle chamber of the ink jet nozzle includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • an ink jet printing nozzle apparatus with a connected ink supply chamber, the apparatus comprising an ink ejection means having one surface in fluid communication with the ink in the nozzle chamber, a recoil means connected to the ink ejection means and a first actuator means connected to the ink ejection means.
  • the method of ejecting ink from the ink chamber can comprise the steps of activation of the first actuator means which drives the ink ejection means from a quiescent position to a pre-firing position and deactivation of the first actuator means, causing the recoil means to drive the ink ejection means to eject ink from the nozzle chamber through the ink ejection port.
  • the recoil means can include a resilient member and the movement of the first actuator results in resilient movement of this recoil means and the driving of the ink ejection means can comprise the resilient member acting upon the ink ejection means.
  • the first actuator means can comprise an electromagnetic actuator and the recoil means comprises a torsional spring.
  • the ink ejection means and the first actuator can be interconnected in a cantilever arrangement wherein small movements of the first actuator means result in larger movements of the ink ejection means.
  • the recoil means is located substantially at the pivot point of the cantilever construction.
  • the first actuator can include a solenoid coil surrounded by a magnetic actuator having a first mixed magnetic pole and a second moveable magnetic pole, such that, upon activation of the coil, the poles undergo movement relative to one another with the moveable magnetic pole being connected to the actuator side of the cantilever construction.
  • the moveable magnetic pole includes a plurality of slots for the flow of ink through the pole upon movement.
  • the ink ejection means can comprise a piston or plunger or having a surface substantially mating with at least one surface of the nozzle chamber.
  • an ink jet nozzle arrangement having an ink ejection port for the ejection of ink comprising a nozzle chamber interconnected to the ink ejection port and having one moveable wall including an electromagnetic coil, and the nozzle chamber is in a magnetic field such that, upon activation of the electromagnetic coil the moveable wall experiences a force and is caused to move so as to result in the ejection of ink from the nozzle chamber via the ink ejection port.
  • the moveable wall can be caused to pivot upon activation and interconnects the nozzle chamber with an ink supply chamber and the nozzle chamber is refilled from the ink supply chamber upon the ejection of ink.
  • the moveable wall is interconnected to the nozzle chamber wall by a resilient means.
  • the resilient means acts to return the moveable wall to a quiescent position upon deactivation of the electromagnetic coil.
  • the electromagnetic coil includes multiple layers substantially comprised of copper.
  • the ink jet nozzle can be in a magnetic, permanent field, which is provided by neodymium iron boron magnets.
  • an ink jet printing nozzle apparatus comprising a nozzle chamber in fluid communication with an ink chamber and utilized for the storage of ink to be printed out by the nozzle apparatus, the nozzle chamber having a nozzle chamber outlet hole for the ejection of ink from the nozzle chamber, a magnetic piston located over an aperture in the nozzle chamber and an activation coil located adjacent to the magnetic piston, so that upon activation by a current, force is applied to the piston sufficient to cause movement of the piston from a first position to a second position, this movement causing ink within the nozzle chamber to be ejected from the nozzle chamber through a nozzle chamber outlet hole onto a print media.
  • the printing nozzle apparatus can comprise a series of resilient means attached to the magnetic piston so as to return the magnetic piston to the first position upon deactivation of the activation Coil.
  • the resilient means comprises at least one torsional spring.
  • the ink jet nozzle apparatus is constructed utilizing semi conductor fabrication techniques, and the magnetic piston and/or coils are constructed from a dual damascene process.
  • the nozzle chamber outlet hole includes a nozzle rim adapted to reduce hydrophilic surface spreading of the ink.
  • the activation coil is constructed from a copper deposition process and the magnetic piston is constructed from a rare earth magnetic material.
  • the resilient means in the ink jet printing nozzle apparatus can be constructed from silicon nitride.
  • an ink jet nozzle comprising an ink reservoir containing an ink supply under an oscillating pressure, a nozzle chamber having an ink ejection port for the ejection of ink drops onto a print media, and a shutter means interconnecting the reservoir and the nozzle chamber, which is operable by means of electromagnetic actuation so as to control the ejection of ink from the ejection port.
  • the actuation can comprise activating an electromagnet so as to move an arm interconnected to at least one end of the shutter means, thereby opening a channel for the flow of ink, followed by maintaining a lower keeper current so as to maintain the channel in an open state, followed by deactivation of the electromagnet, and the subsequent returning of the shutter to a closed position.
  • the electromagnet includes a first and second end, wherein each of the ends are positioned closely adjacent to the arm and the electromagnetic actuation includes movement of the arm closer to both of the ends.
  • the arm is pivoted between the first and second end of the electromagnet, and the electromagnet has a spiral shape.
  • the ink jet print nozzle includes a resilient means connected to the shutter means which is elastically deformed by the electromagnetic actuation and operates to return to an initial state upon deactivation of the shutter means so as to restrict the further flow of fluid from the ink reservoir to the nozzle chamber.
  • the resilient means can include a coiled spring.
  • the ink jet print nozzle is formed utilizing semiconductor fabrication techniques from a copper coil surrounding a soft metal core.
  • the copper coil can be formed from utilizing a Damascene process.
  • the shutter means comprises a series of moveable slats, moveable over an aperture in the wall of the nozzle chamber.
  • a method of ejecting ink from an ink jet print nozzle comprising utilizing an electromagnetically activated shutter to control the flow of ink into a nozzle chamber such that ink is ejected from the nozzle chamber when the shutter is open utilizing a first high pressure cycle of a pressurised ink supply for the ejection of the ink, a low pressure cycle for the separation of the ejected drop from the ink in the nozzle chamber and a second high pressure cycle of the pressurised ink supply for refilling the nozzle chamber with ink.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber and a magnetic actuator located between the nozzle chamber and the ink supply reservoir which is actuated to eject ink by means of externally supplied magnetic pulse cycles.
  • the ink jet nozzle comprises a part of an array of nozzles and each of the nozzles further comprises a blocking means, for blocking movement of the magnetic actuator for those nozzles of which it is desired not to eject ink from the nozzle chambers in a current magnetic pulse cycle.
  • the blocking means comprises a thermal actuator having a moveable end protuberance which is moveable to a position blocking the path of movement of the magnetic actuator.
  • the magnetic actuator can include an end protuberance designed to engage the blocking means upon movement of the actuator.
  • the magnetic actuator is affixed to an adjacent wall of the nozzle chamber by means of two bendable strip portions which allow bending movement of the magnetic actuator upon activation by the externally supplied magnetic pulse cycles.
  • the thermal actuator can comprise substantially two arms affixed to a substrate, a first arm having a thin serpentine structure encased in a material having a high coefficient of thermal expansion and a second arm comprising a thicker arm having a tapered thin portion near the end connecting to the substrate so as to concentrate any bending of the thermal actuator at a point close to the substrate.
  • the blocking means can be located in a cavity having a low degree of fluid flow through the cavity and preferably, the serpentine arm of the thermal actuator is located alongside an inner wall of the cavity.
  • the ink jet nozzle is constructed via fabrication of a silicon wafer utilizing semi-conductor fabrication techniques.
  • the actuators include a silicon nitride covering as required so as to insulate and passivate them from adjacent portions.
  • the nozzle chambers can be formed from high density low pressure plasma etching of the silicon substrate.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port at one wall of the chamber, a fixed electric coil located within the chamber or within a wall of the chamber and a moveable plate, in which embedded is an electric coil, located close to the fixed electric coil such that when the amount of current passing through set coils are altered, the movable plunger plate undergoes corresponding movement towards or away from the fixed electric coil and wherein the movement is utilized to inject ink from the nozzle chamber via the ink injection port.
  • the ink jet nozzle can comprise spring means connected to the moveable plate wherein the moveable plate goes from a quiescent position to a spring loaded position upon activation of the coils and upon deactivation of the coils the spring means causes the moveable coil to return to its quiescent position and to thereby eject ink from the ink ejection port.
  • the fixed electric coil of the moveable plunger plate comprises a stacked multi level spiral of conductive material and the stacked conductive material is interconnected at a central axial point of the spiral. The coils are electrically connected together to form a combined circuit.
  • the spring means comprises torsional springs attached to the moveable coil and a conductive strip contact to the coils is located within the torsional springs.
  • the coil comprises substantially copper and is formed from utilization of a damascene construction.
  • the nozzle can be constructed utilizing a sacrificial etch to release the structure of the moveable coil.
  • the nozzle chamber includes a series of slots within the walls of the nozzle chamber so as to allow the supply of ink to the nozzle chamber and an outer surface of the nozzle chamber includes a series of small etched holes for the etching of any sacrificial layer utilized in the construction of the ink jet print nozzle.
  • a means of ejecting ink from a nozzle chamber utilizing the electro-magnetic forces between two coils embedded into place to cause movement of at least one of the plates, the movement further causing the consequential ejection of ink from the nozzle chamber.
  • the utilization of electro-magnetic forces comprises using the electro-magnetic forces between coils embedded into a moveable and a fixed plate so that the moveable plate moves closer to the fixed plate, the moveable plate ⁇ irther being connected to a spring which upon the movement, stores energy within the spring such as that upon deactivation of a current through the coil, the spring releases its stored energy to thereby cause the movement of the moveable plate so as to cause the ejection of ink from the nozzle.
  • an ink jet nozzle arrangement comprising: a nozzle chamber having an ink ejection port for the ejection of ink, an ink supply reservoir for supplying ink to the nozzle chamber, a plunger located within the nozzle chamber and further, a linear stepper actuator interconnected to the plunger and adapted to actuate the plunger so as to cause the ejection of ink from the ink ejection port.
  • a linear stepper actuator interconnected to the plunger and adapted to actuate the plunger so as to cause the ejection of ink from the ink ejection port.
  • At least one surface of the plunger located alongside a wall of the nozzle chamber is hydrophobic.
  • the linear actuator interconnected to the plunger in the jet nozzle chamber is driven in three phases by a series of electromagnets.
  • a series of twelve electromagnets is arranged in opposing pairs alongside the linear actuator. Further, each phase is duplicated resulting in four electromagnets for each phase.
  • the ink jet nozzle has an open wall along a back surface of the plunger which comprises a series of posts adapted to form a filter to filter ink flowing through the open wall into the nozzle chamber.
  • the linear actuator construction includes a guide at the end opposite to the nozzle chamber for guiding the linear actuator.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber, a shutter for opening and closing a fluid passage between the reservoir and chamber so as to cause the ejection of ink from the ink ejection port and the shutter includes a ratcheted edge for moving the shutter to an open or closed position via the utilization of an actuator driven driving means.
  • the driving means can include a gearing means interconnected to a driving means wherein the gearing means results in a reduced driving frequency of the ratcheted edge relative to the frequency of operation of the driving means.
  • the driving means includes utilizing a conductive element in a magnetic field to exert a force on the ratcheted edge and utilizing a conductive element in a magnetic field to exert a force on a cog of a gearing mechanism with the gearing mechanism utilized to transfer the force on the ratcheted edge.
  • the conductive element includes a concertinaed structure designed to expand or contract upon movement of the conductive element.
  • the shutter mechanism can include a series of slots having corresponding retainers utilized in guiding the shutter between the reservoir and the nozzle chamber and the shutter is formed through the fabrication of an array of nozzles on a silicon wafer structure.
  • the ink within the ink supply reservoir is driven with an oscillating ink pressure.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber, and a tapered magnetic plunger located between the nozzle chamber and the ink supply reservoir, which is surrounded by an electromagnetic device such that upon activation of the device the magnetic plunger is forced towards the ink ejection port to thereby cause the ejection of ink from the ink ejection port.
  • the plunger is substantially circular and has a tapered rim at adjacent portions of the electromagnetic device.
  • the electromagnetic device is of a cylindrical shape and the plunger is located in the centre of the cylinder.
  • the plunger is further connected to a resilient means which allows for the return of the plunger to its original position upon deactivation of the electromagnetic device.
  • the magnetic plunger is connected to a side wall of the nozzle chamber by means of a series of springs which radially spiral out to the side walls.
  • the springs are foimed from tensional release of a deposited material.
  • the deposited material can include nitride.
  • a shuttered grill ink jet printer wherein the shutter is electromagnetically actuated from a closed to an open position so as to allow the ejection from a chamber onto print media.
  • a shuttered ink jet nozzle comprising an ink chamber having an ink ejection nozzle for the ejection of ink from the ink chamber, an ink reservoir for the supply of ink to the ink chamber under pressure, and a shutter device located between the ink reservoir and the ink chamber so as to allow or restrict the flow of ink between the ink chamber and ink reservoir to thereby cause the ejection of ink from the chamber, wherein the shutter device is being actuated on demand.
  • the actuator can comprise an electromagnetic coil mechanism attracting a magnetic bar.
  • the coil is anchored to a wafer and the magnetic bar is connected to a shutter plate adapted to open and close over a series of shutter holes allowing fluid communication between the ink reservoir and the ink chamber.
  • the shuttered ink jet nozzle can comprise an actuator that includes at least one linear spring so as to amplify the travel of a shutter plate covering shutter holes upon activation of the actuator.
  • the linear spring is anchored on one side of the ink chamber and the electromagnetic coil is anchored to an opposite side of the ink chamber with a shutter plate operable between the linear spring anchor and the electromagnetic anchor.
  • the ink reservoir includes ink under oscillating ink pressure.
  • the shutter device can comprise a plurality of shutter plates covering a corresponding plurality of shutter holes allowing the flow of ink between the ink chamber and the ink reservoir.
  • the ink chamber can be formed by a crystallographic etch of a silicon wafer.
  • the ejection frequency of drops from the nozzle chamber can be substantially half the frequency of an oscillating pressure of the ink within the ink reservoir.
  • arrays of ink jet nozzles are grouped into separate groups and each group is activated in turn so as to reduce pressure requirements in the ink jet reservoir.
  • a method of operation of a shuttered ink jet print nozzle having a nozzle chamber and ink reservoir, the ink reservoir having an oscillating ink pressure comprising opening the shutter to cause ink to be ejected from the nozzle chamber resulting in a reduction of ink in the nozzle chamber, followed by leaving the shutter open during a subsequent high pressure of the ink pressure so as to allow the nozzle chamber to refill, followed by closing the shutter at the end of a high pressure cycle so as to restrict back flow of ink from the nozzle chamber to the ink reservoir.
  • an ink jet print nozzle arrangement comprising an ink ejection chamber having an ejection port for the ejection of ink, which is in fluid communication with an ink reservoir for the supply of ink to be ejected, where at least one wall of the chamber comprises a moveable diaphragm actuated by means of a Lorenz force so as to cause the consequential ejection of ink from the ejection chamber.
  • the moveable diaphragm can be of a corrugated or concertinaed form and includes an embedded conductive coil.
  • the diaphragm Upon actuation of the diaphragm by the Lorenz interaction between a current in the conducted coils and a static magnetic field, the diaphragm is expandable by a concertina action.
  • the diaphragm is formed through utilization of an appropriately half-toned mask.
  • the ink chamber in the ink jet print nozzle can be formed by means of an isotropic etch of a silicon wafer.
  • an ink jet nozzle utilizing the phase transformation of a magnetostrictive material in a magnetic field as an actuator to cause the ejection of ink from the chamber.
  • the method can include a magnetostrictive petal in a quiescent state which transforms to an ink ejection state upon the application of a magnetic field thereby causing ink ejection from the chamber.
  • the magnetic field is applied by means of passing a current through a conductive coil adjacent that magnetostrictive material.
  • the ink chamber is formed from a crystallographic etch of the silicon wafer so as to have one surface of the chamber substantially formed by the actuator, which is attached to one wall of the chamber opposite the nozzle port from which ink is ejected.
  • the nozzle port is formed by the back etching of a silicon wafer to a buried epitaxial layer and etching a nozzle port hole in the epitaxial layer.
  • the crystallographic etch includes providing side-wall slots of non-etched layers of a processed silicon wafer so as to extend the dimensions of the chamber as a result of the crystallographic etch process.
  • the magnetostrictive shape memory alloy comprises substantially Terfanol-D.
  • an ink jet nozzle arrangement comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator to eject ink from the nozzle chamber via the ink ejection port, and a magnetic field actuation means for producing a magnetic field around the magnetostrictive actuator so as to cause magnetostrictive operation of the actuator, thereby causing the actuator to eject ink from the ink ejection port.
  • the magnetic field actuation means comprises a conductive coil surrounding the magnetostrictive actuator.
  • the ink jet nozzle arrangement can be formed on a silicon wafer utilizing semiconductor processing techniques and the conductive coil is interconnected to a lower metal layer which provides control circuitry for the ink jet printer.
  • a method of ejecting ink from a chamber comprising utilization of the transformation of a shape memory alloy from its martensitic phase to its austenitic phase (or visa versa) as an actuator to cause the ejection of ink from the chamber.
  • the actuator can comprise a conductive shape memory alloy panel in a quiescent state which transforms to an ink injection state upon heating thereby causing ink ejection from the chamber.
  • the heating occurs by means of passing a current through the shape memory alloy.
  • the chamber can be formed from a crystallographic etch of a silicon wafer so as to have one surface of the chamber substantially formed by the actuator.
  • the actuator is formed from a conductive shape memory alloy arranged in a serpentine form and is attached to one wall of the chamber opposite a nozzle port from which ink is ejected.
  • the nozzle port can be formed by the back etching of a silicon wafer to the epitaxial layer and etching a nozzle port hole in the epitaxial layer.
  • the crystallographic etch can include providing side wall slots of non-etched layers of a processed silicon wafer so as to the extend the dimensions of the chamber as a result of the crystallographic etch process.
  • the shape memory alloy comprises nickel titanium alloy.
  • an ink jet nozzle arrangement for the ejection of ink from an ink ejection nozzle comprising: a substrate; a conductive coil formed on the substrate and operable in a controlled manner; a moveable magnetic actuator surrounding the conductive coil and forming an ink nozzle chamber between the substrate and the actuator, the moveable magnetic actuator further including an ink ejection nozzle defined therein; wherein variations in the energization level of the conductive coil cause the magnetic actuator to move from a first position to a second position, thereby causing a consequential ejection of ink from the nozzle chamber as a result of fluctuations in the ink pressure within the nozzle chamber.
  • the arrangement can further include an ink supply channel interconnecting the nozzle chamber for the resupply of ink to the nozzle chamber.
  • the interconnection can comprise a series of elongated slots etched in the substrate.
  • the substrate can comprise a silicon wafer and the ink supply channel can be etched through the wafer.
  • the moveable magnetic actuator can be moveable from a first position having an expanded nozzle chamber volume to a second position having a contracted nozzle chamber volume by the operation of the conductive coil.
  • the arrangement can further include at least one resilient member attached to the moveable magnetic actuator, so as to bias the moveable magnetic actuator, in its quiescent position, at the first position.
  • the at least one resilient member can comprise a leaf spring.
  • a slot can be defined between the magnetic actuator and the substrate and the actuator portions adjacent the slot can be hydaphobically treated so as to minimize wicking through the slot.
  • a magnetic base plate located between the conductive coil and the substrate such that the magnetic actuator and the nozzle plate substantially encompasses the conductive coil.
  • the magnetic actuator can be formed from a cobalt nickel iron alloy.
  • a method of manufacturing a radiant plunger ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching
  • the step (f) further can comprise etching cavities defining a series of spring posts and the step (g) preferably can include forming a series of leaf springs interconnected with the first magnetic plate for resiliently biasing the magnetic plate in a first direction.
  • the conductive layer can comprise substantially copper.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the magnetic flux material can comprise substantially a cobalt nickel iron alloy and the wafer can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • an electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) forming a bottom electrode layer of conductive material on or in the electrical circuitry layer; (c) depositing and etching a first hydrophobic layer on the electrode layer; (d) depositing and etching a first sacrificial layer of sacrificial material on the first hydrophobic layer; (e) depositing and etching a top electrode layer of conductive material on the first sacrificial layer the top electrode layer including predetermined portions interconnecting with the electrical circuitry layer; (f) depositing and etching a membrane layer on the top electrode layer; (g) depositing and etching a second sacrificial layer on the membrane layer, the second sacrificial layer forming a blank for the nozzle chamber walls; (h)
  • the top electrode layer and the membrane layer can include a concertina edge so as to allow for movement of the membrane layer.
  • the bottom electrode layer can be formed from a metal plane layer of the circuitry layer.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the step (h) preferably can include etching a nozzle rim around the nozzle fluid ejection hole and a series of small holes in at least one wall of the nozzle chamber.
  • the hydrophobic layer can comprise substantially polytetrafluroethylene.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a stacked electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer thereon on including etched vias for interconnection of the circuitry with subsequent layers; (b) repeatedly depositing a series of planar layers on the electrical circuitry layer the planer layers including a first conductive layer, a second conductive layer and an intermediate compressible non conductive layer; (c) etching the planar layer so as to form a series of stacked alternating structures; (d) isolating at least one first edge of a stacked alternating structure; (e) etching the second conductive layer and the intermediate compressible layer along the edge so as to expose the first conductive layer; (f) isolating a second edge of the stacked alternating structure; (g) etching the first conductive layer and the intermediate compressible layer along the second edge so as to expose the second conductive layer; (
  • the step (j) preferably can include etching a series of small holes in a wall of the nozzle chamber interconnecting the chamber with the ambient atmosphere.
  • the first conductive layer and the second conductive layer are preferably formed from different conductive material.
  • the compressible layer can comprise substantially elastomer.
  • the method further preferably can include swelling the elastomer along the edges.
  • the ink supply channel can be etched through the wafer from a back surface of the wafer.
  • a reverse spring level ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etch
  • the step (f) further can comprise etching cavities defining a series of spring posts and the step (g) preferably can include forming a series of torsional pivot springs interconnected with the lever arm for resiliently biasing the second magnetic plate substantially against the first magnetic plate.
  • the conductive layer can comprise substantially copper and the magnetic flux material can comprise substantially a cobalt nickel iron alloy.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a paddle type ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on, in addition to a top protecting layer having a series of vias interconnected to predetermined portions of the circuitry layer; (b) forming on the semiconductor wafer layer a first conductive layer including a first conductive coil interconnected to predetermined portions of the circuitry layer; (c) depositing and etching, on the first conductive layer, a non-conductive layer including predetermined vias for the interconnection of subsequent layers with lower layers; (d) forming a second conductive layer on the nonconducting layer, including a second conductive coil and the interconnection of predetermined portions of the coil with the first conductive coil and the circuitry layer; (e) depositing and etching a second non-conductive layer over the second conductive layer the etching including
  • the step (g) can comprise a crystallographic etch and can utilize the epitaxial layer as an etch stop.
  • the step (i) preferably can include etching a series of small holes in a wall of the nozzle chamber interconnecting the chamber with the ambient atmosphere.
  • the first conductive layer and the second conductive layer are preferably foimed from substantially copper.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a permanent magnet electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the wafer.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer foimed thereon on; (b) depositing and etching a first inert layer, the etching including etching predetermined vias and a nozzle chamber aperture; (c) forming a first conductive coil layer on the first inert layer around the nozzle aperture, the conductive coil layer including predetermined portions interconnecting with the electrical circuitry layer; (d) utilizing the nozzle aperture to etch a nozzle chamber in the wafer; (e) depositing and etching a sacrificial material layer over the wafer including the nozzle chamber, the etching including etching a series a mould for a series of magnet suspension posts and a permanent magnet above the nozzle aperture; (f) deposit and etch a magnetic material layer,
  • the first inert layer can comprise substantially silicon nitride.
  • a planar swing grill electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching an inert material layer including a grill structure over the nozzle chamber aperture and vias for electrical interconnection of subsequent layers with the electrical circuitry layer; (e) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g)
  • the steps further can include the simultaneous formation of a shutter grill guard around the shutter.
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • the conductive layers can comprise substantially copper and the inert layers can comprise substantially silicon nitride.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a pulse magnetic field ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a two plate reverse firing electromagnetic ink jet print head wherein an array of nozzles are foimed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first lower fixed coil layer of conductive material having predetermined interconnections with the electrical circuitry layer; (c) depositing and etching a first protective layer over the fixed coil layer; (d) depositing and etching a second moveable coil layer of conductive material having predetermined interconnections with the electrical circuitry layer; (e) depositing and etching a second protective layer over the second moveable coil layer; (f) depositing and etching a sacrificial material layer over the second moveable coil layer; (g) depositing and etching an inert material over the sacrificial material layer to from a nozzle chamber around the first and second coil layer; (h) etching an ink supply channel interconnected with the
  • the method further preferably includes the step of forming a hydrophobic layer between the first and second coil layer.
  • the first and second coil layers are preferably foimed in an inert material layer and are foimed utilizing a dual damascene process.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer with the step
  • (h) preferably including etching a series of small holes in at least one wall of the nozzle chamber.
  • the hydrophobic layer can comprise substantially polytetrafiuroethylene. Further, the method can include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects.
  • the wafer can comprise a double side polished CMOS wafer. The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a linear stepper actuator ink jet print head wherein an array of nozzles are foimed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry formed thereon on; (b) depositing and etching a first sacrificial layer forming a lower electrical coil mould; (c) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g) depositing and etching a second sacrificial layer including etching a mould for a fixed magnetic pole, a series of moving poles, horizontal guides and a core pusher rod; (h) depositing and etching a high saturation flux material layer to form the fixed magnetic pole, the series of moving poles, the
  • a method of manufacturing a print head wherein an array of nozzles are foimed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a tapered magnetic pole electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer foimed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) filling the nozzle chamber cavity with a first sacrificial material layer; (d) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (e) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (f) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (g) depositing and etching
  • the conductive layer can comprise substantially copper
  • the magnetic flux material can comprise substantially a cobalt nickel iron alloy
  • the inert material can comprise silicon nitride.
  • the method can also include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the second magnetic plate preferably can include a tapered portion adjacent the nozzle chamber.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a linear spring electromagnetic grill ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching an inert material layer including a grill structure over the nozzle chamber aperture and vias for electrical interconnection of subsequent layers with the electrical circuitry layer; (e) depositing and etching a first conductive material layer including a series of lower electrical coil portions interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers;
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • the high saturation flux material can comprise substantially a cobalt nickel iron alloy and the conductive layers can comprise substantially copper with the inert layers comprising substantially silicon nitride.
  • a method of manufacturing a Lorenz diaphragm electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer of sacrificial material, filling the nozzle chamber cavity, the etching including etching a series of concertinaed ridges in the sacrificial layer above the nozzle chamber cavity; (d) depositing and etching a first inert material layer on the concertinaed ridges, the first inert material layer retaining a series of concertined ridges on the surface thereof; (e) depositing and etching a first conductive material layer over the concertinead ridges of the first inert material layer
  • the inert material layers can comprise substantially silicon nitride and the conductive layer can comprise substantially copper.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a PTFE surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer foimed thereon on; (b) etching a nozzle inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer which can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a magnetostrictive ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a shape memory alloy print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to said substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber in the wafer and the electrical circuitry layer; (c) depositing and etching a layer of sacrificial material, filling the nozzle chamber; (d) depositing and etching a layer of shape memory alloy forming a conductive paddle structure over the nozzle chamber attached to the electrical circuitry layer; (e) back etching the semiconductor wafer to the epitaxial layer; (f) etching the epitaxial layer to define a nozzle ejection hole therein interconnecting with the nozzle chamber; (g) etching away the sacrificial layers.
  • the step (b) utilizes the epitaxial layer as an etch stop and can comprise a crystallographic etch.
  • the shape memory alloy can comprise substantially nitinol.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacture of a Coil Actuated Magnetic Plate Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Multiple ink jet heads are preferably formed simultaneously on a single planar substrate.
  • the substrate can be a silicon wafer.
  • the print heads are preferably foimed utilizing standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably foimed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a series of slots in at least the circuitry layer to define a nozzle cavity inlet; (c) depositing and etching a first layer of magnetic flux material on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching a insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer in for form of a conductive coil conductively interconnected to the electrical circuitry layer; (f) depositing and etching a hydrophobic material layer in the region of the conductive coil;
  • the step (g) further can comprise etching cavities defining a series of spring posts and the step (h) preferably can includes forming a series of leaf springs interconnected with the first magnetic plate for resiliently biasing the magnetic plate in a first direction.
  • the conductive layer can comprise substantially copper.
  • the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • the method can further include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects and the etching of layers preferably can includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
  • the magnetic flux material can comprise substantially a cobalt nickel iron alloy and the wafer can comprise a double side polished CMOS wafer.
  • Fig. 1 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment of the present invention
  • Fig. 2 is a timing diagram illustrating the operation of an embodiment
  • Fig. 3 is a cross-sectional top view of a single ink nozzle constructed in accordance with an embodiment of the present invention
  • Fig.4 provides a legend of the materials indicated in Fig. 5 to Fig.21;
  • Fig. 5 to Fig. 21 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle
  • Fig. 22 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 23 is a close-up perspective cross-sectional view (portion A of Fig. 22), of a single ink jet nozzle constructed in accordance with an embodiment;
  • Fig. 24 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
  • Fig. 25 provides a legend of the materials indicated in Fig. 26 to Fig. 36;
  • Fig. 26 to Fig. 36 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 37 is a perspective view through a single ink jet nozzle constructed in accordance with an embodiment of the present invention.
  • Fig. 38 is a schematic cross-sectional view of the ink nozzle constructed in accordance with an embodiment of the present invention, with the actuator in its quiescent state;
  • Fig. 39 is a schematic cross-sectional view of the ink nozzle immediately after activation of the actuator.
  • Fig. 40 is a schematic cross-sectional view illustrating the ink jet nozzle ready for firing
  • Fig.41 is a schematic cross-sectional view of the ink nozzle immediately after deactivation of the actuator
  • Fig. 42 is a perspective view, in part exploded, of the actuator of a single ink jet nozzle constructed in accordance with an embodiment of the present invention
  • Fig. 43 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment of the present invention
  • Fig. 44 provides a legend of the materials indicated in Fig. 45 to Fig. 58;
  • Fig. 45 to Fig. 58 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 59 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 60 is a perspective view, in part in section, of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 61 provides a legend of the materials indicated in Fig. 62 to Fig. 78; and Fig. 62 to Fig. 78 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 79 is a cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment in its quiescent state;
  • Fig. 80 is a cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, illustrating the state upon activation of the actuator;
  • Fig. 81 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 82 provides a legend of the materials indicated in Fig. 83 to Fig.93;
  • Fig. 83 to Fig. 93 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 94 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 95 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 96 provides a legend of the materials indicated in Fig. 97 to Fig. I l l;
  • Fig. 97 to Fig. 111 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 112 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, with the shutter means in its closed position;
  • Fig. 113 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, with the shutter means in its open position;
  • Fig. 114 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 115 provides a legend ofthe materials indicated in Fig. 116 to Fig. 137;
  • Fig. 116 to Fig. 137 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 138 is a perspective, partly sectional view of a single ink jet nozzle in its quiescent position constructed in accordance with an embodiment
  • Fig. 139 is a perspective, partly sectional view of a single ink jet nozzle in its firing position constructed in accordance with an embodiment
  • Fig. 140 is an exploded perspective illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 141 provides a legend ofthe materials indicated in Fig. 142 to Fig. 156; and Fig. 142 to Fig. 156 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 157 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment in its quiescent state;
  • Fig. 158 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment after reaching its stop position;
  • Fig. 159 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment in the keeper face position;
  • Fig. 160 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment after de-energizing from the keeper level.
  • Fig. 161 is an exploded perspective view illustrating the construction of an embodiment
  • Fig. 162 is the cut out topside view of a single ink jet nozzle constructed in accordance with an embodiment in the keeper level;
  • Fig. 163 provides a legend ofthe materials indicated in Fig. 164 to Fig. 183;
  • Fig. 164 to Fig. 183 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink j et printhead nozzle .
  • Fig. 184 is a cut-out top view of an ink jet nozzle in accordance with an embodiment
  • Fig. 185 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 186 provides a legend ofthe materials indicated in Fig. 187 to Fig. 207; and Fig. 187 to Fig. 207 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 208 is a cut-out top perspective view ofthe ink nozzle in accordance with an embodiment ofthe present invention
  • Fig. 209 is an exploded perspective view illustrating the shutter mechanism in accordance with an embodiment ofthe present invention
  • Fig. 210 is a top cross-sectional perspective view of the ink nozzle constructed in accordance with an embodiment ofthe present invention.
  • Fig. 211 provides a legend ofthe materials indicated in Fig. 212 to Fig. 225; and Fig. 212 to Fig. 226 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 227 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 228 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 229 provides a legend ofthe materials indicated in Fig. 230 to Fig. 248;
  • Fig. 230 to Fig. 248 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 249 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, in its closed position;
  • Fig. 250 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, in its open position;
  • Fig. 251 is a perspective, cross-sectional view taking along the line II of Fig. 250, of a single ink jet nozzle in accordance with an embodiment
  • Fig. 252 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 253 provides a legend ofthe materials indicated in Fig. 254 to Fig. 275;
  • Fig. 254 to Fig. 275 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 276 is a schematic top view of a single ink jet nozzle chamber apparatus constructed in accordance with an embodiment
  • Fig. 277 is a top cross-sectional view of a single ink jet nozzle chamber apparatus with the diaphragm in its activated stage;
  • Fig. 278 is a schematic cross-sectional view illustrating the exposure of a resist layer through a halftone mask
  • Fig. 279 is a schematic cross-sectional view illustrating the resist layer after development exhibiting a corrugated pattern
  • Fig. 280 is a schematic cross-sectional view illustrating the transfer of the corrugated pattern onto the substrate by etching
  • Fig. 281 is a schematic cross-sectional view illustrating the construction of an embedded, corrugated, conduction layer
  • Fig. 282 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
  • Fig. 283 is a perspective view ofthe heater traces used in a single ink jet nozzle constructed in accordance with an embodiment.
  • Fig. 284 provides a legend ofthe materials indicated in Fig. 285 to Fig. 296;
  • Fig. 285 to Fig. 296 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 297 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 298 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 299 provides a legend ofthe materials indicated in Fig. 300 to Fig. 311; and Fig. 300 to Fig. 311 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 312 is an exploded perspective view of a single ink jet nozzle as constructed in accordance with an embodiment
  • Fig. 313 is a top cross sectional view of a single ink jet nozzle in its quiescent state taken along line A-A in Fig. 312;
  • Fig. 314 is a top cross sectional view of a single ink jet nozzle in its actuated state taken along line A-A in Fig. 312;
  • Fig. 315 provides a legend ofthe materials indicated in Fig. 316 to Fig. 326;
  • Fig. 316 to Fig. 326 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 327 to Fig. 329 are schematic illustrations of the operation of an ink jet nozzle arrangement of an embodiment.
  • Fig. 330 illustrates a side perspective view, partly in section, of a single ink jet nozzle arrangement of an embodiment
  • Fig. 331 provides a legend ofthe materials indicated in Fig. 332 to Fig. 347;
  • Fig. 332 to Fig. 347 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle
  • the preferred embodiments and other embodiments will be discussed under separate headings with the heading including an IJ number for ease of reference.
  • the headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.
  • Fig. 1 there is illustrated an exploded perspective view illustrating the construction of a single ink jet nozzle 4 in accordance with the principles ofthe present invention.
  • the nozzle 4 operates on the principle of electro-mechanical energy conversion and comprises a solenoid 11 which is connected electrically at a first end 12 to a magnetic plate 13 which is in turn connected to a current source e.g. 14 utilized to activate the ink nozzle 4.
  • the magnetic plate 13 can be constructed from electrically conductive iron.
  • a second magnetic plunger 15 is also provided, again being constructed from soft magnetic iron. Upon energizing the solenoid 11, the plunger 15 is attracted to the fixed magnetic plate 13. The plunger thereby pushes against the ink within the nozzle 4 creating a high pressure zone in the nozzle chamber 17.
  • a series of apertures e.g. 20 is provided so that ink in the region of solenoid 11 is squirted out ofthe holes 20 in the top ofthe plunger 15 as it moves towards lower plate 13. This prevents ink trapped in the area of solenoid 11 from increasing the pressure on the plunger 15 and thereby increasing the magnetic forces needed to move the plunger 15.
  • Fig. 2 there is illustrated 30 a timing diagram of the plunger current control signal.
  • the solenoid current is activated 31 for the movement of the plunger and ejection of a drop from the ink nozzle.
  • the current to the solenoid is turned off.
  • a reverse current is applied having approximately half the magnitude ofthe forward current.
  • the reverse current 32 causes the plunger to move backwards towards its original position.
  • a series of torsional springs 22, 23 (Fig. 1) also assists in the return ofthe plunger to its original position.
  • a meniscus at the nozzle tip is foimed with an approximately a concave hemispherical surface.
  • the surface tension will exert a net forward force on the ink which will result in nozzle refilling.
  • the repetition rate of the nozzle 4 is therefore principally determined by the nozzle refill time which will be lOOmicro- seconds, depending on the device geometry, ink surface tension and the volume ofthe ejected drop.
  • the plate 15 Upon a current flowing through the coil 11, the plate 15 becomes strongly attracted to the plate 13. The plate 15 experiences a downward force and begins movement towards the plate 13. This movement imparts a momentum to the ink within the nozzle chamber 17. The ink is subsequently ejected as hereinbefore described.
  • the movement ofthe plate 15 causes a build-up of pressure in the area 64 between the plate 15 and the coil 11. This build-up would normally result in a reduced effectiveness ofthe plate 15 in ejecting ink.
  • the plate 15 preferably includes a series of apertures e.g. 20 which allow for the flow of ink from the area 64 back into the ink chamber and thereby allow a reduction in the pressure in area 64. This results in an increased effectiveness in the operation ofthe plate 15.
  • the apertures 20 are of a teardrop shape increasing in diameter with increasing radial distance of the plunger.
  • the aperture profile thereby providing minimal disturbance of the magnetic flux through the plunger while maintaining structural integrity of plunger 15.
  • the torsional spring e.g. 23 acts to return the plate 15 to its initial position.
  • a torsional spring e.g. 23 has a number of substantial benefits including a compact layout, and the construction ofthe torsional spring from the same material and same processing steps as that ofthe plate 15.
  • the top surface of plate 15 does not include a series of apertures. Rather, the inner radial surface 25 of plate 15 comprises slots of substantially constant cross-sectional profile in fluid communication between the nozzle chamber 17 and the area 64 between plate 15 and the solenoid 11.
  • the plate 15 Upon activation ofthe coil 11, the plate 15 is attracted to the armature plate 13 and experiences a force directed towards plate 13.
  • fluid in the area 64 is compressed and experiences a higher pressure than its surrounds.
  • the flow of fluid takes place out ofthe slots in the inner radial surface 25 plate 15 into the nozzle chamber 17.
  • the flow of fluid into chamber 17, in addition to the movement ofthe plate 15, causes the ejection of ink out ofthe ink nozzle port 24.
  • the movement ofthe plate 15 causes the torsional springs, for example 23, to be resiliently deformed.
  • the coil 11 is deactivated and a slight reverse current is applied.
  • the reverse current acts to repel the plate 15 from the armature plate 13.
  • the torsional springs, for example 23, act as additional means to return the plate 15 to its initial or quiescent position.
  • the nozzle apparatus is constructed from the following main parts including a nozzle tip 40 having an aperture 24 which can be constructed from boron doped silicon.
  • the radius ofthe aperture 24 ofthe nozzle tip is an important determinant of drop velocity and drop size.
  • CMOS silicon layer 42 is provided upon which is fabricated all the data storage and driving circuitry 41 necessary for the operation of the nozzle 4.
  • a nozzle chamber 17 is also constructed.
  • the nozzle chamber 17 should be wide enough so that viscous drag from the chamber walls does not significantly increase the force required ofthe plunger. It should also be deep enough so that any air ingested through the nozzle port 24 when the plunger returns to its quiescent state does not extend to the plunger device. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface resulting in the nozzle not refilling properly.
  • a CMOS dielectric and insulating layer containing various current paths parts for the current connection to the plunger device is also provided 44.
  • a fixed plate of ferroelectric material having two parts 13, 46.
  • the two parts 13, 46 are electrically insulated from one another.
  • a solenoid 11 is provided.
  • This can comprise a spiral coil of deposited copper.
  • Preferably a single spiral layer is utilized to avoid fabrication difficulty and copper is used for a low resistivity and high electro-migration resistance.
  • a plunger 15 of ferromagnetic material is provided to maximize the magnetic force generated.
  • the plunger 15 and fixed magnetic plate 13, 46 surround the solenoid 11 as a torus. Thus, little magnetic flux is lost and the flux is concentrated around the gap between the plunger 15 and the fix plate 13, 46.
  • the gap between the fixed plate 13, 46 and the plunger 15 is one ofthe most important "parts" ofthe print nozzle 4.
  • the size of the gap will strongly affect the magnetic force generated, and also limits the travel of the plunger 15. A small gap is desirable to achieve a strong magnetic force, but a large gap is desirable to allow longer plunger 15 to travel, and therefore allow smaller plunger radius to be utilized.
  • the springs, e.g. 22, 23 for returning to the plunger 15 to its quiescent position after a drop has been ejected are provided.
  • the springs, e.g. 22, 23 can be fabricated from the same material, and in the same processing steps, as the plunger 15.
  • the springs, e.g. 22, 23 act as torsional springs in their interaction with the plunger 15.
  • passivation layers which may be silicon nitride (Si3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer.
  • the passivation layers are especially important for device lifetime, as the active device will be immersed in the ink.
  • Fig. 5 Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 5. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 4 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the edges of the print heads chips, and the vias for the contacts from the aluminum electrodes to the two halves ofthe split fixed magnetic plate. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This etch does not substantially etch the aluminum. This step is shown in Fig. 6.
  • CoNiFe is chosen due to a high saturation flux density of
  • Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
  • 26. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 17.
  • the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • an ink jet print head is made up of a plurality of nozzle chambers each having an ink ejection port. Ink is ejected from the ink ejection port through the utilisation of attraction between two parallel plates.
  • the nozzle arrangement 110 includes a nozzle chamber 111 in which is stored ink to be ejected out of an ink ejection port 112.
  • the nozzle arrangement 110 can be constructed on the top of a silicon wafer utilising micro electro-mechanical systems construction techniques as will become more apparent hereinafter.
  • the top ofthe nozzle plate also includes a series of regular spaced etchant holes, e.g. 113 which are provided for efficient sacrificial etching of lower layers of the nozzle arrangement 110 during construction.
  • the size of the etchant holes 113 is small enough that surface tension characteristics prohibit ejection from the holes 113 during operation.
  • Ink is supplied to the nozzle chamber 111 via an ink supply channel, e.g. 115.
  • FIG. 23 there is illustrated a cross-sectional view of one side ofthe nozzle arrangement 110.
  • a nozzle arrangement 110 is constructed on a silicon wafer base 117 on top of which is first constructed a standard CMOS two level metal layer 118 which includes the required drive and control circuitry for each nozzle arrangement.
  • the layer 118 which includes two levels of aluminium, includes one level of aluminium 119 being utilised as a bottom electrode plate. Other portions of this layer 120 can comprise nitride passivation.
  • PTFE polytetrafluoroethylene
  • an air gap 127 is provided between the top and bottom layers.
  • a further PTFE layer 128 which forms part of the top plate 122.
  • the two PTFE layers 121, 128 are provided so as to reduce possible stiction effects between the upper and lower plates.
  • a top aluminium electrode layer 130 is provided followed by a nitride layer (not shown) which provides structural integrity to the top electro plate.
  • the layers 128 - 130 are fabricated so as to include a corrugated portion 123 which concertinas upon movement ofthe top plate 122.
  • the top plate 122 is attracted to bottom aluminum layer 119 thereby resulting in a movement of the top plate 122 towards the bottom plate 119.
  • the ink jet nozzles of an embodiment can be formed from utilisation of semi-conductor fabrication and MEMS techniques.
  • Fig. 24 there is illustrated an exploded perspective view ofthe various layers in the final construction of a nozzle arrangement 110.
  • the silicon wafer 117 upon which all other processing steps take place.
  • the CMOS circuitry layers 118 which primarily comprises glass.
  • a nitride passivation layer 120 which is primarily utilized to passivate and protect the lower glass layer from any sacrificial process that may be utilized in the building up of subsequent layers.
  • the aluminum layer 119 which, in the alternative, can form part ofthe lower CMOS glass layer 118.
  • This layer 119 forms the bottom plate.
  • two PTFE layers 126, 128 are provided between which is laid down a sacrificial layer, such as glass, which is subsequently etched away so as to release the plate 122 (Fig. 23).
  • a sacrificial layer such as glass
  • the aluminum layer 130 On top of the PTFE layer 128 is laid down the aluminum layer 130 and a subsequent thicker nitride layer (not shown) which provides structural support to the top electrode stopping it from sagging or deforming. After this comes the top nitride nozzle chamber layer 135 which forms the rest ofthe nozzle chamber and ink supply channel.
  • the layer 135 can be formed from the depositing and etching of a sacrificial layer and then depositing the nitride layer, etching the nozzle and etchant holes utilizing an appropriate mask before etching away the sacrificial material.
  • print heads can be foimed from large arrays of nozzle arrangements 110 on a single wafer which is subsequently diced into separate print heads.
  • Ink supply can be either from the side of the wafer or through the wafer utilizing deep anisotropic etching systems such as high density low pressure plasma etching systems available from surface technology systems.
  • the corrugated portion 123 can be formed through the utilisation of a half tone mask process.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
  • Fig. 26 For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 25 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • This mask is a gray-scale mask which defines the concertina edge of the upper electrode.
  • the result of the etch is a series of triangular ridges at the circumference of the electrode. This concertina edge is used to convert tensile stress into bend strain, and thereby allow the upper electrode to move when a voltage is applied across the electrodes. This step is shown in Fig. 28.
  • a proximity aligner can be used to obtain a large depth of focus, as the line-width for this step is greater than 2 microns, and can be 5 microns or more.
  • This mask defines the nozzle chamber walls. This step is shown in Fig. 30.
  • TAB TAB
  • Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • a stacked capacitive actuator which has alternative electrode layers sandwiched between a compressible polymer.
  • the plates are drawn together compressing the polymer thereby storing energy in the compressed polymer.
  • the capacitor is then deactivated or drained with the result that the compressed polymer acts to return the actuator to its original position and thereby causes the ejection of ink from and ink ejection port.
  • the nozzle arrangement 310 includes an ink ejection portal 311 for the ejection of ink on demand.
  • the ink is ejected from a nozzle chamber 312 by means of a stacked capacitor-type device 313.
  • the stacked capacitor device 313 consists of capacitive plates sandwiched between a compressible polymer. Upon charging of the capacitive plates, the polymer is compressed thereby resulting in a general "accordion" or “concertinaing" of the actuator 313 so that it's top surface moves away from the ink ejection portal 311. The compression of the polymer sandwich stores energy in the compressed polymer.
  • the capacitors are subsequently rapidly discharged resulting in the energy in the compressed polymer being released upon the polymer's return to quiescent position.
  • the return ofthe actuator to it's quiescent position results in the ejection of ink from the nozzle chamber 312.
  • the process is illustrated schematically in Fig. 38 to Fig. 41, with Fig. 38 illustrating the nozzle chamber 310 in it's quiescent or idle state, having an ink meniscus 314 around the nozzle ejection portal 311.
  • the electrostatic actuator 313 is activated resulting in it's contraction as indicated in Fig. 39.
  • the contraction results in the meniscus 314 changing shape as indicated with the resulting surface tension effects resulting in the drawing in of ink around the meniscus and consequently ink 316 flows into nozzle chamber 312.
  • the meniscus 314 After sufficient time, the meniscus 314 returns to its quiescent position with the capacitor 313 being loaded ready for firing (Fig. 40).
  • the capacitor plates 313 are then rapidly discharged resulting, as illustrated in Fig. 41, in the rapid return ofthe actuator 313 to it's original position.
  • the rapid return imparts a momentum to the ink within the nozzle chamber 312 so as to cause the expansion ofthe ink meniscus 314 and the subsequent ejection of ink from the nozzle chamber 312.
  • the actuator 313 consists of a series of interleaved plates 320, 321 between which is sandwiched a compressive material 322, for example styrene-ethylene-butylene-styrene block co-polymer.
  • a compressive material 322 for example styrene-ethylene-butylene-styrene block co-polymer.
  • One group of electrodes, e.g. 320, 323, 325 jut out at one side of the stacked capacitor layout.
  • a second series of electrodes, e.g. 321, 324 jut out a second side ofthe capacitive actuator.
  • the electrodes are connected at one side to a first conductive material 327 and the other series of electrodes, e.g. 321, 324 are connected to second conductive material 328 (Fig. 37).
  • the two conductive materials 327, 328 are electrically isolated from one another and are in turn interconnected to lower signal and drive layers as will become more readily apparent here and
  • the stacked capacitor device 313 consists of other thin film materials in place ofthe example styrene-ethylene-butylene-styrene block co-polymer.
  • Such materials may include: 1) Piezo electric materials such as PZT 2) Electrostrictive materials such as PLZT
  • the electrode actuator 313 can be rapidly constructed utilizing chemical vapor deposition (CVD) techniques.
  • the various layers, 320, 321, 322 can be layed down on a planer wafer one after another covering the whole surface ofthe wafer.
  • a stack can be built up rapidly utilizing CVD techniques.
  • the two sets of electrodes are preferably deposited utilizing separate metals. For example, aluminum and tantalum could be utilized as materials for the metal layers.
  • the utilisation of different metal layers allows for selective etching utilizing a mask layer so as to form the structure as indicated in Fig. 42.
  • the CVD sandwich can be first layed down and then a series of selective etchings utilizing appropriate masks can be utilized to produced the overall stacked capacitor structure.
  • the utilisation of the CVD process substantially enhances the efficiency of production of the stacked capacitor devices. Construction ofthe Ink Nozzle Arrangement
  • Fig. 43 there is shown an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
  • the ink jet nozzle arrangement 310 is constructed on a standard silicon wafer 330 on top of which is constructed data drive circuitry which can be constructed in the usual manner such as a two-level metal CMOS layer 331.
  • CMOS layer 331 On top ofthe CMOS layer 331 is constructed a nitride passivation layer
  • the stacked device 313 is constructed utilizing the aforementioned production steps including utilizing appropriate masks for selective etchings to produce the overall stacked capacitor structure. Further, interconnection can be provided between the electrodes 327, 328 and the circuitry in the CMOS layer 331.
  • a nitride layer 333 is provided so as to form the walls of the nozzle chamber, e.g. 334, and posts, e.g. 335, in one open wall 336 of the nozzle chamber.
  • the surface layer 337 of the layer 333 can be deposited onto a sacrificial material. The sacrificial material is subsequently etched so as to form the nozzle chamber 312 (Fig. 37).
  • the top layer 337 includes etchant holes, e.g. 338, so as to speed up the etching process in addition to the ink ejection portal 311.
  • the diameter ofthe etchant holes, e.g. 338, is significantly smaller than that of the ink ejection portal 311.
  • an additional nitride layer may be provided on top of the layer 320 to protect the stacked device 313 during the etching ofthe sacrificial material to form the nozzle chamber
  • Fig. 45 For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle.
  • Fig. 44 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • sacrificial material e.g. photosensitive polyimide
  • An embodiment ofthe present invention relies upon the utilisation of a magnetic actuator to "load" a spring, such that, upon deactivation ofthe magnetic actuator the resultant movement ofthe spring causes ejection of a drop of ink as the spring returns to its original position.
  • FIG. 59 there is illustrated an exploded perspective view of an ink nozzle arrangement 401 constructed in accordance with an embodiment. It would be understood that an embodiment can be constructed as an array of nozzle arrangements 401 so as to together form a line for printing.
  • the operation ofthe ink nozzle arrangement 401 of Fig. 59 proceeds by a solenoid 402 being energized by way of a driving circuit 403 when it is desired to print out a ink drop.
  • the energized solenoid 402 induces a magnetic field in a fixed soft magnetic pole 404 and a moveable soft magnetic pole 405.
  • the solenoid power is turned on to a maximum current for long enough to move the moveable pole 405 from its rest position to a stopped position close to the fixed magnetic pole 404.
  • the ink nozzle arrangement 401 of Fig. 59 sits within an ink chamber filled with ink. Therefore, holes 406 are provided in the moveable soft magnetic pole 405 for "squirting" out of ink from around the coil 402 when the plate 405 undergoes movement.
  • the moveable soft magnetic pole is balanced by a fulcrum 408 with a piston head 409. Movement of the magnetic pole 405 closer to the stationary pole 404 causes the piston head 409 to move away from a nozzle chamber
  • the piston 409 drawing air into the chamber 411 via an ink ejection port 413.
  • the piston 409 is then held open above the nozzle chamber 411 by means of maintaining a low "keeper" current through solenoid 402.
  • the keeper level current through solenoid 402 being sufficient to maintain the moveable pole 405 against the fixed soft magnetic pole 404.
  • the level of current will be substantially less than the maximum current level because the gap between the two poles 404 and 405 is at a minimum.
  • a keeper level current of 10% of the maximum current level may be suitable.
  • the meniscus of ink at the nozzle tip or ink ejection port 413 is a concave hemisphere due to the in flow of air.
  • the solenoid reverse current is turned off.
  • the current is turned off when the residual magnetism of the movable pole is at a minimum.
  • the piston 409 continues to move towards its original rest position.
  • the piston 409 will overshoot the quiescent or rest position due to its inertia. Overshoot in the piston movement achieves two things: greater ejected drop volume and velocity, and improved drop break off as the piston returns from overshoot to its quiescent position.
  • the piston 409 will eventually return from overshoot to the quiescent position. This return is caused by the springs 416, 419 which are now stressed in the opposite direction.
  • the piston return "sucks” some of the ink back into the nozzle chamber 411, causing the ink ligament connecting the ink drop to the ink in the nozzle chamber 411 to thin.
  • the forward velocity ofthe drop and the backward velocity ofthe ink in the nozzle chamber 411 are resolved by the ink drop breaking off from the ink in the nozzle chamber 411.
  • the piston 409 stays in the quiescent position until the next drop ejection cycle.
  • a liquid ink print head has one ink nozzle arrangement 401 associated with each ofthe multitude of nozzles.
  • the arrangement 401 has the following major parts: ( 1 ) Drive circuitry 403 for driving the solenoid 402.
  • a nozzle tip 413 The radius ofthe nozzle tip 413 is an important determinant of drop velocity and drop size.
  • a piston 404 This is a cylinder which moves through the nozzle chamber 411 to expel the ink.
  • the piston 409 is connected to one end ofthe lever arm 417.
  • the piston radius is approximately 1.5 to 2 times the radius of the hole 413.
  • the ink drop volume output is mostly determined by the volume of ink displaced by the piston 409 during the piston return stroke.
  • a nozzle chamber 411 is slightly wider than the piston 409.
  • the gap between the piston 409 and the nozzle chamber walls is as small as is required to ensure that the piston does not contact the nozzle chamber during actuation or return. If the print heads are fabricated using 0.5 ⁇ m semiconductor lithography, then a 1 ⁇ m gap will usually be sufficient.
  • the nozzle chamber is also deep enough so that air ingested through the nozzle tip 413 when the plunger 409 returns to its quiescent state does not extend to the piston 409. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface. If this happens, the nozzle will not refill properly.
  • a solenoid 402. This is a spiral coil of copper. Copper is used for its low resistivity, and high electro-migration resistance.
  • the moveable magnetic pole 405 and fixed magnetic pole 404 surround the solenoid 402 as a torus. Thus little magnetic flux is lost, and the flux is concentrated across the gap between the moveable magnetic pole 405 and the fixed pole 404.
  • the moveable magnetic pole 405 has holes in the surface 406 (Fig. 59) above the solenoid to allow trapped ink to escape. These holes are arranged and shaped so as to minimize their effect on the magnetic force generated between the moveable magnetic pole 405 and the fixed magnetic pole 404.
  • a magnetic gap The gap between the fixed plate 404 and the moveable magnetic pole 405 is one ofthe most important "parts" ofthe print actuator. The size ofthe gap strongly affects the magnetic force generated, and also limits the travel ofthe moveable magnetic pole 405. A small gap is desirable to achieve a strong magnetic force.
  • the travel ofthe piston 409 is related to the travel ofthe moveable magnetic pole 405 (and therefore the gap) by the lever arm 417.
  • the lever arm 417 allows the travel of the piston 409 and the moveable magnetic pole 405 to be independently optimized.
  • the piston 409. The spring 416 is at the fulcrum 408.
  • the optimum travel for the moveable magnetic pole 405 is less than 1 micron, so as to minimize the magnetic gap.
  • the optimum travel for the piston 409 is approximately 405 ⁇ m for a 1200 dpi printer. The difference in optimum travel is resolved by a lever 417 with a 5:1 or greater ratio in arm length.
  • Springs 416, 419 (Fig. 59).
  • the springs e.g. 416 return the piston to its quiescent position after a deactivation ofthe actuator.
  • the springs 416 are at the fulcrum 408 ofthe lever arm.
  • Passivation layers (not shown). Al surfaces are preferably coated with passivation layers, which may be silicon nitride (Si3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer.
  • passivation layers are especially important for device lifetime, as the active device is immersed in the ink. As will be evident from the foregoing description there is an advantage in ejecting the drop on deactivation ofthe solenoid 402.
  • This advantage comes from the rate of acceleration of the moving magnetic pole 405 which is used as a piston or plunger.
  • the force produced by a moveable magnetic pole by an electromagnetic induced field is approximately proportional to the inverse square of the gap between the moveable 405 and static magnetic poles 404.
  • this gap is at a maximum.
  • the solenoid 402 is turned on, the moving pole 405 is attracted to the static pole 404.
  • the force increases, accelerating the movable pole 405 faster.
  • the velocity increases in a highly non-linear fashion, approximately with the square of time.
  • the acceleration of the moving pole 405 is greatest at the beginning and then slows as the spring elastic stress falls to zero. As a result, the velocity ofthe moving pole 405 is more uniform during the reverse stroke movement.
  • the solenoid 402 must be provided with a "keeper" current for the nozzle fill duration.
  • the keeper current will typically be less than 10% ofthe solenoid actuation current.
  • the nozzle fill duration is typically around 50 times the drop firing duration, so the keeper energy will typically exceed the solenoid actuation energy.
  • the operation ofthe actuator is more complex due to the requirement for a "keeper" phase.
  • the print head is fabricated from two silicon wafers.
  • a first wafer is used to fabricate the print nozzles (the print head wafer) and a second wafer (the Ink Channel Wafer) is utilized to fabricate the various ink channels in addition to providing a support means for the first channel.
  • the fabrication process then proceeds as follows: ( 1 ) Start with a single crystal silicon wafer 420, which has a buried epitaxial layer 422 of silicon which is heavily doped with boron.
  • the boron should be doped to preferably 10 ⁇ 0 atoms per cm3 of boron or more, and be approximately 3 ⁇ m thick, and be doped in a manner suitable for the active semiconductor device technology chosen.
  • the wafer diameter ofthe print head wafer should be the same as the ink channel wafer.
  • Level 1 is 4 ⁇ m deep, and level 2 is 5 ⁇ m deep. Level 2 contacts the second level metal.
  • the masks for the static magnetic pole are used.
  • (6) Deposit 5 ⁇ m of nickel iron alloy (NiFe).
  • a thin diffusion barrier such as Ti, TiN, or TiW, and an adhesion layer if the diffusion layer chosen has insufficient adhesion.
  • (13) Deposit 4 ⁇ m of copper for forming the solenoid 402 and spring posts 424.
  • the deposition may be by sputtering, CVD, or electroless plating.
  • copper has significantly higher resistance to electro-migration.
  • the electro-migration resistance is significant, as current densities in the order of 3 x l ⁇ 6 Amps/cm2 may be required.
  • Copper films deposited by low energy kinetic ion bias sputtering have been found to have 1,000 to 100,000 times larger electro-migration lifetimes larger than aluminum silicon alloy.
  • the deposited copper should be alloyed and layered for maximum electro-migration lifetimes than aluminum silicon alloy.
  • the deposited copper should be alloyed and layered for maximum electro-migration resistance, while maintaining high electrical conductivity.
  • Fig. 60 shows a perspective view, in part in section, of a single ink jet nozzle arrangement 401 constructed in accordance with an embodiment.
  • Fig. 62 Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 62. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 61 is a key to representations of various materials in these manufacturing diagrams.
  • CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the edges of the print heads chips, and the vias for the contacts from the aluminum electrodes to the two halves ofthe split fixed magnetic plate. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This etch does not substantially etch the aluminum. This step is shown in Fig. 63.
  • CoNiFe is chosen due to a high saturation flux density of
  • the ink nozzle unit 510 includes an ink ejection nozzle 511 for the ejection of ink which resides in a nozzle chamber 513.
  • the ink is ejected from the nozzle chamber 513 by means of movement of paddle 515.
  • the paddle 515 operates in a magnetic field 516 which runs along the plane ofthe paddle 515.
  • the paddle 515 includes at least one solenoid coil 517 which operates under the control of nozzle activation signal.
  • the paddle 515 operates in accordance with the well known principal ofthe force experienced by a moving electric charge in a magnetic field.
  • the solenoid coil 517 is activated.
  • one end of the paddle will experience a downward force 519 while the other end of the paddle will experience an upward force 520.
  • the downward force 519 results in a corresponding movement ofthe paddle and the resultant ejection of ink.
  • the paddle 515 can comprise multiple layers of solenoid wires with the solenoid wires, e.g. 521, forming a complete circuit having the current flow in a counter clockwise direction around a center ofthe paddle 515.
  • a torsional spring 522 is to be preferred it is envisaged that other forms of springs may be possible such as a leaf spring or the like.
  • the nozzle chamber 513 refills due to the surface tension of the ink at the ejection nozzle 511 after the ejection of ink.
  • MEMS micro-electro mechanical system
  • two wafers are utilized. Upon which the active circuitry and inkjet print nozzles are fabricated and a further wafer in which the ink channels are fabricated.
  • FIG. 81 there is illustrated an exploded perspective view of a single ink jet nozzle constructed in accordance with an embodiment.
  • Construction begins which a silicon wafer 540 upon which has been fabricated and epitaxial boron doped layer 541 and an epitaxial silicon layer 542.
  • the boron layer is doped to a concentration of preferably l ⁇ /cm3 of boron or more and is approximately 2 microns thick.
  • the silicon epitaxial layer is constructed to be approximately 8 microns thick and is doped in a manner suitable for the active semi conductor device technology.
  • the drive transistors and distribution circuitry are constructed in accordance with the fabrication process chosen resulting in a CMOS logic and drive transistor level 543.
  • a silicon nitride layer 544 is then deposited.
  • the paddle metal layers are constructed utilizing a damascene process which is a well known process utilizing chemical mechanical polishing techniques (CMP) well known for utilization as a multi-level metal application.
  • CMP chemical mechanical polishing techniques
  • the solenoid coils in paddle 515 can be constructed from a double layer which for a first layer 545, is produced utilizing a single damascene process.
  • a second layer 546 is deposited utilizing this time a dual damascene process.
  • the copper layers 545, 546 include contact posts 547, 548, for interconnection ofthe electromagnetic coil to the CMOS 543 through vias in the silicon nitride layer 544 (not shown).
  • the metal post portion also includes a via interconnecting it with the lower copper level.
  • the damascene process is finished with a planarised glass layer. The glass layers produced during utilisation of the damascene processes utilized for the deposition of layers 545, 546, are shown as one layer
  • the paddle is formed and separated from the adjacent glass layer by means of a plasma edge as the edge being down to the position of stop player 580. Further, the nozzle chamber 513 underneath the panel is removed by means of a silicon anisotropic wet edge which will edge down to the boron layer 541. A passivation layer is then applied.
  • the passivation layer can comprise a conformable diamond like carbon layer or a high density
  • this coating provides a protective layer for the paddle and its surrounds as the paddle must exist in the highly corrosive environment water and ink.
  • the silicon wafer can be back-edged through the boron doped layer and the ejection port 511 and an ejection port rim 550 (Fig. 79) can also be foimed utilizing etching procedures.
  • One form of alternative detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
  • Fig. 83 Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 83. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 82 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. 4. Deposit 0.1 microns of silicon nitride (Si3N4).
  • the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • Fig. 94 there is illustrated 601 a perspective view in section of a single nozzle constructed in accordance with the techniques of an embodiment.
  • Each nozzle 601 includes a nozzle outlet port 602 for the ejection of ink from a nozzle chamber 604 as a result of activation of an electromagnetic piston 605.
  • the electromagnetic piston 605 is activated via solenoid coil 606 which circles the piston 605.
  • solenoid coil 606 which circles the piston 605.
  • the piston 605 experiences a force in the direction as indicated 613 hence.
  • the piston 605 begins movement towards outlet port 602 and thereby imparts momentum to ink within the nozzle chamber 604.
  • the current to the coil 606 is turned off.
  • the torsional springs, e.g. 608, act to return the piston 605 to its rest position as initially shown in Fig. 94. Subsequently, surface tension forces cause the chamber 604 to refill with ink and to return ready for "re-firing".
  • a liquid inkjet print head 601 has one actuator device associated with each of a multitude of nozzles. It will be evident that the actuator 601 has the following major parts, which are constructed using standard semi-conductor and micromechanical construction techniques:
  • the nozzle outlet port 602. The radius of the nozzle outlet port 602 is an important determinant of drop velocity and drop size.
  • the magnetic piston 605. This can be a cylinder of a rare earth magnetic material such as neodymium iron boron (NdFeB) or samarium cobalt (SaCo).
  • the pistons 605 are magnetized after a last high temperature step in the fabrication of the print heads, to thereby ensure that the Curie temperature is not exceeded after magnetization.
  • a typical print head may include many thousands of pistons all of which can be magnetized simultaneously and in the same direction.
  • the nozzle chamber 604. The nozzle chamber 604 is slightly wider than the piston 605.
  • the gap between the piston 605 and the nozzle chamber 604 can be as small as is required to ensure that the piston does not contact the nozzle chamber during actuation or return. If the print heads are fabricated using a standard 0.5 micron lithography process, then a 1 micron gap will usually be sufficient.
  • the nozzle chamber 604 should also be deep enough to that air ingested through the nozzle tip 602 when the plunger returns to its quiescent state does not extend to the piston. If it does, the ingested air bubble may form a cylindrical surface instead of a hemispherical surface. If this happens, the nozzle chamber 604 may not refill properly.
  • the solenoid coil 606. This is a spiral coil of copper. A double layer spiral is used to obtain a high field strength with a small device radius. Copper is used for its low resistivity, and high electro-migration resistance. 6. Springs 608-611. The springs 608-611 return the piston 605 to its quiescent position after a drop 603 has been ejected. The springs can be fabricated from silicon nitride.
  • passivation layers All surfaces are coated with passivation layers, which may be silicon nitride (SJ3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer.
  • passivation layers are especially important for device lifetime, as the active device is immersed in the ink.
  • the print head is fabricated from two silicon wafers.
  • a first wafer is used to fabricate the print nozzles (the print head wafer) and a second wafer is utilized to fabricate the various ink channels in addition to providing a support means for the first channel (the Ink Channel Wafer).
  • Fig. 95 is an exploded perspective view illustrating the construction of a single inkjet nozzle 601 on a print head wafer.
  • the fabrication process proceeds as follows: Start with a single silicon wafer, which has a buried epitaxial layer 621 of silicon which is heavily doped with boron.
  • the boron should be doped to preferably 1020 atoms per cm 3 of boron or more, and be approximately 3 micron thick.
  • a lightly doped silicon epitaxial layer 622 on top of the boron doped layer 621 should be approximately 8 micron thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is the starting point for the print head wafer.
  • the wafer diameter should be the same as that ofthe ink channel wafer.
  • CMOS layer 618 a standard CMOS layer 618 up until oxide over the first level metal.
  • CMOS layer 618 On top ofthe CMOS layer 618 is deposited a silicon nitride passivation layer 625.
  • a silicon oxide layer 627 is deposited.
  • the silicon oxide layer 627 is etched utilizing a mask for the copper coil layer.
  • the copper layer 630 is deposited through the mask for the copper coil.
  • the layers 627, 625 also include vias for the interconnection ofthe copper coil layer 630 to the underlying CMOS 618 (not shown).
  • the nozzle chamber 604 (Fig. 94) is etched.
  • a sacrificial material is deposited to entirely fill the etched volume (not shown).
  • a silicon nitride layer 631 is deposited, including site portions 632.
  • the magnetic material layer 633 is deposited utilizing the magnetic piston mask. This layer also includes the posts, e.g. 634.
  • a final silicon nitride layer 635 is then deposited onto an additional sacrificial layer (not shown) deposited to cover the bare portions of nitride layer 631 to the height ofthe magnetic material layer 633, utilizing a mask for the magnetic piston and the torsional springs, e.g. 608.
  • the torsional springs, e.g. 608, and the magnetic piston 605 are liberated by etching the aforementioned sacrificial material.
  • MEMS micro-electro mechanical system
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps: 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
  • Fig. 97 Complete a 0.5 micron, one poly, 2 metal CMOS process.
  • the metal layers are copper instead of aluminum, due to high current densities and subsequent high temperature processing.
  • This step is shown in Fig. 97.
  • these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle.
  • Fig. 96 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • silicon nitride (SJ3N4).
  • the nitride acts as a dielectric, and etch stop, a copper diffusion barrier, and an ion diffusion barrier.
  • the speed of operation ofthe print head is low, the high dielectric constant of silicon nitride is not important, so the nitride layer can be thick compared to sub-micron
  • Steps 4 to 11 represent a copper dual damascene process, with a 4:1 copper aspect ratio (4 microns high, 1 micron wide). This step is shown in Fig. 100.
  • sacrificial layer e.g. low stress glass
  • the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • a shutter is actuated by means of a magnetic coil, the coil being utilized to move an attached shutter to thereby cause the shutter to open or close.
  • the shutter is disposed between an ink reservoir having an oscillating ink pressure and a nozzle chamber having an ink ejection port defined therein for the ejection of ink.
  • ink is allowed to flow from the ink reservoir through to the nozzle chamber and thereby cause an ejection of ink from the ink ejection port.
  • the shutter is closed, the nozzle chamber remains in a stable state such that no ink is ejected from the chamber.
  • a single inkjet nozzle arrangement 710 in a closed position.
  • the arrangement 710 includes a series of shutters 711 which are located above corresponding apertures to a nozzle chamber.
  • the ink jet nozzle 710 is illustrated in an open position which also illustrates the apertures 712 providing a fluid interconnection to a nozzle chamber 713 and an ink ejection port 714.
  • the shutters e.g. 711 are interconnected together and further connected to an arm 716 which is pivotally mounted about a pivot point 717 about which the shutters e.g. 711 rotate.
  • the shutter 711 and arm 716 are constructed from nickel iron (NiFe) so as to be magnetically attracted to an electromagnetic device 719.
  • the electromagnetic device 719 comprises a NiFe core 720 around which is constructed a copper coil 721.
  • the copper coil 721 is connected to a lower drive layer via vias 723,724.
  • the coil 719 is activated by sending a current through the coil 721 which results in its magnification and corresponding attraction in the areas 726,727.
  • the high levels of attraction are due to its close proximity to the ends ofthe electromagnet 719. This results in a general rotation of the surfaces 726,727 around the pivot point 717 which in turn results in a corresponding rotation of the shutter from a closed to an open position.
  • a number of coiled springs 730-732 are also provided.
  • the coiled springs store energy as a consequence of the rotation of the shutter 711.
  • the coil springs 730-732 act to return the shutter to its closed position.
  • the opening and closing ofthe shutter 711 allows for the flow of ink to the ink nozzle chamber for a subsequent ejection.
  • the coil 719 is activated rotating the arm 716 bringing the surfaces 726,727 into close contact with the electromagnet 719.
  • the surfaces 726,727 are kept in contact with the electromagnet 719 by means of utilisation of a keeper current which, due the close proximity between the surfaces is substantially less than that required to initially move the arm 716.
  • the shutter 711 is maintained in the plane by means of a guide 734 which overlaps slightly with an end portion of the shutter 711.
  • a nozzle arrangement 710 in accordance with an embodiment.
  • the bottom level consists of a boron doped silicon layer 740 which can be formed from constructing a buried epitaxial layer within a selected wafer and then back etching utilising the boron doped layer as an etch stop. Subsequently, there is provided a silicon layer 741 which includes a crystallographically etched pit forming the nozzle chamber 713. On top ofthe silicon layer 741 there is constructed a 2 micron silicon dioxide layer 742 which includes the nozzle chamber pit opening whose side walls are passivated by a subsequent nitride layer.
  • nitride layer 744 On top ofthe silicon dioxide layer 742 is constructed a nitride layer 744 which provides passivation ofthe lower silicon dioxide layer and also provides a base on which to construct the electromagnetic portions and the shutter.
  • the nitride layer 744 and lower silicon dioxide layer having suitable vias for the interconnection to the ends of the electromagnetic circuit for the purposes of supplying power on demand to the electromagnetic circuit.
  • a copper layer 745 is provided.
  • the copper layer providing a base wiring layer for the electromagnetic array in addition to a lower portion ofthe pivot 717 and a lower portion ofthe copper layer being utilised to form a part ofthe construction ofthe guide 734.
  • a NiFe layer 747 is provided which is utilized for the formation of the internal portions 720 of the electromagnet, in addition to the pivot, aperture arm and shutter 711 in addition to a portion of the guide 734, in addition to the various spiral springs.
  • a copper layer 749 for providing the top and side windings ofthe coil 721 in addition to providing the formation ofthe top portion of guide 734.
  • Each of the layers 745,747 can be conductively insulated from its surroundings where required through the utilisation of a nitride passivation layer (not shown). Further, a top passivation layer can be provided to cover the various top layers which will be exposed to the ink within the ink reservoir and nozzle chamber.
  • the various levels 745,749 can be foimed through the utilisation of supporting sacrificial structures which are subsequently sacrificially etched away to leave the operable device.
  • Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
  • Electroplate 1 micron of copper This step is shown in Fig. 122.
  • Strip the resist and etch the exposed copper seed layer This step is shown in Fig. 123.
  • CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
  • the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • the package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the inkjet operation.
  • an array of ink jet nozzles is provided with each ofthe nozzles being under the influence of a outside pulsed magnetic field.
  • the outside pulsed magnetic field causes selected nozzles to eject ink from their ink nozzle chambers.
  • Fig. 138 and Fig. 139 there is illustrated a side perspective view, partly in section, of a single ink jet nozzle 910.
  • Fig. 138 illustrates a nozzle in a quiescent position
  • Fig. 139 illustrates a nozzle in an ink ejection position.
  • the ink jet nozzle 910 has an ink ejection port 911 for the ejection of ink on demand.
  • the ink jet ejection port 911 is connected to an ink nozzle chamber 912 which is usually filled with ink and supplied from an ink reservoir 913 via holes eg.915.
  • a magnetic actuation device 925 is included and comprises a magnetic soft core 917 which is surrounded by a nitride coating eg. 918.
  • the nitride coating includes an end protuberance 927.
  • the magnetic core 917 operates under the influence of an external pulsed magnetic field. Hence, when the external magnetic field is very high, the actuator 925 is caused to move rapidly downwards and to thereby cause the ejection of ink from the ink ejection port 911.
  • Adjacent the actuator 920 is provided a locking mechanism 920 which comprises a thermal actuator which includes a copper resistive circuit having two arms 922, 924. A current is passed through the connected arms 922, 924 thereby causing them to be heated.
  • the arm 922 being of a thinner construction undergoes more resistive heating than the arm 924 which has a much thicker structure.
  • the arm 922 is also of a serpentine nature and is encased in polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion, thereby increasing the degree of expansion upon heating.
  • PTFE polytetrafluoroethylene
  • the copper portions expand with the PTFE portions by means of concertinaing.
  • the arm 924 has a thinned portion 929 (Fig. 140) which becomes the concentrated bending region in the resolution ofthe various forces activated upon heating. Hence, any bending of arm 924 is accentuated in the region 929 and upon heating, the region 929 bends so that end portion 926 (Fig. 138) moves out to block any downward movement ofthe edge 927 ofthe actuator 925.
  • the locking mechanism 920 when it is desired to eject an ink drop from a current nozzle chamber, the locking mechanism 920 is not activated and as a result ink is ejected from the ink ejection port during the next external magnetic pulse phase.
  • the locking mechanism 920 is activated to block any movement ofthe actuator 925 and therefore stop the ejection of ink from the chamber.
  • the actuator 920 is located within a cavity 928 such that the volume of ink flowing past arm 922 is extremely low whereas the arm 924 receives a much larger volume of ink flow during operation.
  • Fig. 140 there is illustrated an exploded perspective view of a single ink jet nozzle 910 illustrating the various layers which make up the nozzle.
  • the nozzle 910 can be constructed on a semiconductor wafer utilizing standard semiconductor processing techniques in addition to those techniques commonly used for the construction of micro-electromechanical systems (MEMS).
  • MEMS micro-electromechanical systems
  • the nozzle plate 930 including the ink ejection port 911.
  • the nozzle plate 930 can be constructed from a buried boron doped epitaxial layer of a silicon wafer which has been back etched to the point ofthe epitaxial layer. The epitaxial layer itself is then etched utilizing a mask so as to form the nozzle rim (not shown) and the nozzle hole 911.
  • the silicon wafer layer 932 which is etched so as to include the nozzle chamber 912.
  • the silicon layer 932 can be etched to contain substantially vertical side walls through the utilisation of high density, low pressure plasma etching such as that available from Surface Technology Systems and subsequently filled with sacrificial material which will be later etched away.
  • CMOS circuitry layer 933 which comprises substantially glass in addition to the usual metal and poly layers.
  • the layer 933 includes the formation of the heater element contacts which can be constructed from copper.
  • the PTFE layer 935 can be provided as a departure from normal construction with a bottom PTFE layer being first deposited followed by the copper layer 934 and a second PTFE layer to cover the copper layer 934.
  • a nitride passivation layer 936 which acts to provide a passivation surface for the lower layers in addition to providing a base for a soft magnetic Nickel Ferrous layer 917 which forms the magnetic actuator portion of the actuator 925.
  • the nitride layer 936 includes bending portions 940 utilized in the bending of the actuator.
  • a nitride passivation layer 939 is provided so as to passivate the top and side surfaces ofthe nickel iron (NiFe) layer 917.
  • Fig. 142 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features ofthe wafer at this step are shown in Fig. 142. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 141 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • PTFE polytetrafluoroethylene
  • TiN titanium nitride
  • an ink jet nozzle and chamber filled with ink there is provided an ink jet nozzle and chamber filled with ink.
  • a static coil and a moveable coil When energized, the static and movable coils are attracted towards one another, loading a spring. The ink drop is ejected from the nozzle when the coils are de-energized.
  • Fig. 157 to Fig. 160 there is illustrated schematically the operation of an embodiment.
  • Fig. 157 there is shown a single inkjet nozzle chamber 1010 having an ink ejection port 1011 and ink meniscus in this position 1012. Inside the nozzle chamber 1010 are located a fixed or static coil 1014 and a moveable coil 1015.
  • the arrangement of Fig. 157 illustrates the quiescent state in the inkjet nozzle chamber.
  • the two coils are then energised resulting in an attraction to one another. This results in the movable plate 1015 moving towards the static or fixed plate 1014 as illustrated in Fig. 158. As a result of the movement, springs 1018,1019 are loaded. Additionally, the movement of coil 1015 may cause ink to flow out ofthe chamber 1010 in addition to a change in the shape ofthe meniscus 1012.
  • the coils are energised for long enough for the moving coil 1015 to reach its position (approximate two microseconds). The coil currents are then turned to a lower "level" while the nozzle fills.
  • the keeper power can be substantially less than the maximum current level utilised to move the plate 1015 because the magnetic gap between the plates 1014 and 1015 is at a minimum when the moving coil 1015 is at its stop position.
  • the surface tension on the meniscus 1012 inserts a net force on the ink which results in nozzle refilling as illustrated in Fig. 159.
  • the nozzle refilling replaces the volume of the piston withdrawal with ink in a process which should take approximately 100 microseconds.
  • the coil current is then turned off and the moveable coil 1015 acts as a plunger which is accelerated to its normal position by the springs 1018, 1019 as illustrated in Fig. 160.
  • the spring force on the plunger coil 1015 will be greatest at the beginning of its stroke and slows as the spring elastic stress falls to zero.
  • the acceleration of plunger plate 1015 is high at the beginning ofthe stroke but decreases during the stroke resulting in a more uniform ink velocity during the stroke.
  • the movement plate 1015 causes the meniscus to bulge and break off performing ink drop 1020.
  • the plunger coil 1015 in turn settles in its quiescent position until the next drop ejection cycle.
  • Fig. 161 there is illustrated a perspective view of one form of construction of an ink jet nozzle 1010.
  • the ink jet nozzle 1010 can be constructed on a silicon wafer base 1022 as part of a large array of nozzles 1010 which can be formed for the purposes of providing a print head having a certain dpi, for example, a 1600 dpi print head.
  • the print head 1010 can be constructed utilizing advanced silicon semi-conductor fabrication and micro machining and micro fabrication process technology.
  • the wafer is first processed to include lower level drive circuitry (not shown) before being finished off with a two microns thick dioxide layer 1022 with appropriate vias for interconnection.
  • the CMOS layer can include one level of metal for providing basic interconnects.
  • nitride layer 1023 On top ofthe glass layer 1022 is constructed a nitride layer 1023 in which is embedded two coil layers 1025 and 1026.
  • the coil layers 1025, 1026 can be embedded within the nitride layer 1023 through the utilisation of the well-known dual damascene process and chemical mechanical planarization techniques ("Chemical Mechanical Planarisation of Micro Electronic Materials” by Sterger Wald et al published 1997 by John Wiley and Sons Inc., New York, New York).
  • the two coils 1025,1026 are interconnected utilizing a fire at their central point and are further connected, by appropriate vias at ends 1028,1029 to the end points 1028,1029.
  • the moveable coil can be foimed from two copper coils 1031,1032 which are encased within a further nitride layer 1033.
  • the copper coil 1031,1032 and nitride layer 1033 also include torsional springs 1036-1039 which are foimed so that the top moveable coil has a stable state away from the bottom fixed coil.
  • the top copper coils 1031,1032 are attracted to the bottom copper coils 1025,1026 thereby resulting in a loading being placed on the torsional springs 1036-1039 such that, when the current is turned off, the springs 1036-1039 act to move the top moveable coil to its original position.
  • the nozzle chamber can be formed via nitride wall portions e.g. 1040,1041 having slots between adjacent wall portions. The slots allow for the flow of ink into the chamber as required.
  • a top nitride plate 1044 is provided to cap the top ofthe internals of 1010 and to provide in flow channel support.
  • the nozzle plate 1044 includes a series of holes 1045 provided to assist in sacrificial etching of lower level layers.
  • the ink injection nozzle 1011 having a ridge around its side so as to assist in resisting any in flow on to the outside surface ofthe nozzle 1010.
  • the etched through holes 1045 are of much smaller diameter than the nozzle hole 1011 and, as such, surface tension will act to retain the ink within the through holes of 1045 whilst simultaneously the injection of ink from nozzle 1011.
  • the various layers of the nozzle 1010 can be constructed in accordance with standard semi-conductor and micro mechanical techniques. These techniques utilize the dual damascene process as mentioned earlier in addition to the utilisation of sacrificial etch layers to provide support for structures which are later released by means of etching the sacrificial layer.
  • the ink can be supplied within the nozzle 1010 by standard techniques such as providing ink channels along the side ofthe wafer so as to allow the flow of ink into the area under the surface of nozzle plate 1044.
  • ink channel portals can be provided through the wafer via means of utilisation of a high density low pressure plasma etch processing system such as that available from surface technology system and known as their Advanced Silicon Edge (ASE) process.
  • ASE Advanced Silicon Edge
  • the etched portals 1045 being so small that surface tension affects not allow the ink to leak out ofthe small portal holes.
  • Fig. 162 there is shown a final assembled inkjet nozzle ready for the ejection of ink.
  • Fig. 164 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 164. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 163 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • silicon nitride (Si3N4).
  • the nitride acts as a dielectric, and etch stop, a copper diffusion barrier, and an ion diffusion barrier.
  • the high dielectric constant of silicon nitride is not important, so the nitride layer can be thick compared to sub-micron CMOS back-end processes.
  • Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
  • Steps 2 to 9 represent a copper dual damascene process. This step is shown in Fig. 167.
  • Steps 10 to 16 represent a second copper dual damascene process. This step is shown in Fig. 170.
  • Steps 20 to 26 represent a third copper dual damascene process. This step is shown in Fig. 173.
  • Steps 27 to 33 represent a fourth copper dual damascene process. This step is shown in Fig. 176.
  • 34. Deposit 0.1 microns of low stress PECVD silicon nitride.
  • the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer.
  • a linear stepper motor is utilized to control a plunger device.
  • the plunger device compressing ink within a nozzle chamber so as to thereby cause the ejection of ink from the chamber on demand.
  • Fig. 184 there is illustrated a single nozzle arrangement 1110 as constructed in accordance with an embodiment.
  • the nozzle arrangement 1110 includes a nozzle chamber 1111 into which ink flows via a nozzle chamber filter portion 1114 which includes a series of posts which filter out foreign bodies in the ink in flow.
  • the nozzle chamber 1111 includes an ink ejection port 1115 for the ejection of ink on demand. Normally, the nozzle chamber 1111 is filled with ink.
  • a linear actuator 1116 is provided for rapidly compressing a nickel ferrous plunger 1118 into the nozzle chamber 1111 so as to compress the volume of ink within chamber 1111 to thereby cause ejection of drops from the ink ejection port 1115.
  • the plunger 1118 is connected to the stepper moving pole device 1116 which is actuated by means of a three phase arrangement of electromagnets 1120 to 1131.
  • the electromagnets are driven in three phases with electro magnets 1120, 1126, 1123 and 1129 being driven in a first phase, electromagnets 1121, 1127, 1124,
  • electromagnets 1122, 1128, 1125, 1131 being driven in a third phase.
  • the electromagnets are driven in a reversible manner so as to de-actuate plunger 1118 via actuator 1116.
  • the actuator 1116 is guided at one end by a means of guide 1133, 1134.
  • the plunger 1118 is coated with a hydrophobic material such as polytetrafluoroethylene (PTFE) which can form a major part ofthe plunger 1118.
  • PTFE polytetrafluoroethylene
  • the PTFE acts to repel the ink from the nozzle chamber 1111 resulting in the creation of a membrane eg. 1138, 1139 between the plunger 1118 and side walls eg. 1136, 1137.
  • the surface tension characteristics ofthe membranes 1138, 1139 act to balanced one another thereby guiding the plunger 1118 within the nozzle chamber.
  • the meniscus eg. 1138, 1139 further stops ink from flowing out ofthe chamber 1111 and hence the electromagnets 1120 to 1131 can be operated in normal air.
  • the nozzle arrangement 1110 is therefore operated to eject drops on demand by means of activating the actuator 1116 by appropriately synchronized driving of electromagnets 1120 to 1131.
  • the actuation ofthe actuator 1116 results in the plunger 1118 moving towards the nozzle ink ejection port 1115 thereby causing ink to be ejected from the port 1115.
  • the electromagnets are driven in reverse thereby moving the plunger in an opposite direction resulting in the in flow of ink from an ink supply connected to the ink inlet port 1114.
  • multiple ink nozzle arrangements 1110 can be constructed adjacent to one another to form a multiple nozzle ink ejection mechanism.
  • the nozzle arrangements 1110 are preferably constructed in an array print head constructed on a single silicon wafer which is subsequently diced in accordance with requirements.
  • the diced print heads can then be interconnected to an ink supply which can comprise a through chip ink flow or ink flow from the side of a chip.
  • a silicon wafer 1140 which has a standard electronic circuitry layer such as a two level metal CMOS layer 1141.
  • the two metal CMOS provides the drive and control circuitry for the ejection of ink from the nozzles by interconnection ofthe electromagnets to the CMOS layer.
  • CMOS layer 1141 On top ofthe CMOS layer 1141 is a nitride passivation layer 1142 which passivates the lower layers against any ink erosion in addition to any etching ofthe lower CMOS glass layer should a sacrificial etching process be utilized in the construction ofthe nozzle arrangement 1110.
  • nitride layer 1142 On top of the nitride layer 1142 is constructed various other layers.
  • nitride passivation layer 1142 are constructed with the appropriate fires for interconnecting to the above layers.
  • a bottom copper layer 1143 which interconnects with the CMOS layer 1141 as appropriate.
  • a nickel ferrous layer 1145 is constructed which includes portions for the core of the electromagnets and the actuator 1116 and guides 1131, 1132.
  • a second copper layer 1146 which forms the rest ofthe electromagnetic device.
  • the copper layer 1146 can be constructed utilizing a dual damascene process.
  • a PTFE layer 1147 is laid down followed by a nitride layer 1148 which includes the side filter portions and side wall portions of the nozzle chamber.
  • the ejection port 1115 and the rim 1151 are constructed by means of etching.
  • a number of apertures 1150 which are provided for the sacrificial etching of any sacrificial material utilized in the construction ofthe various lower layers including the nitride layer 1148.
  • the various layers 1143, 1145 to 1148 can be constructed by means of utilizing a sacrificial material to deposit the structure of various layers and subsequent etching away of the sacrificial material as to release the structure ofthe nozzle arrangement 1110.
  • MEMS micro-electro mechanical system
  • Fig. 187 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 187. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 186 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • This mask defines the contact vias from the second level metal electrodes to the solenoids. This step is shown in Fig. 188.
  • TiN titanium nitride
  • CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
  • the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer.
  • the package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
  • an ink jet nozzle chamber having a shutter mechanism which open and closes over a nozzle chamber.
  • the shutter mechanism includes a ratcheted drive which slides open and closed.
  • the ratcheted drive is driven by a gearing mechanism which in turn is driven by a drive actuator which is activated by passing an electric current through the drive actuator in a magnetic field.
  • the actuator force is "geared down" so as to drive a ratchet and pawl mechanism to thereby open and shut the shutter over a nozzle chamber.
  • the nozzle arrangement 1210 includes a nozzle chamber 1212 having an anisotropic ⁇ 111> crystallographic etched pit which is etched down to what is originally a boron doped buried epitaxial layer 1213 which includes a nozzle rim 1214 and a nozzle ejection port 1215 which ejects ink.
  • the ink flows in through a fluid passage 1216 when the aperture 1216 is open.
  • the ink flowing through passage 1216 flows from an ink reservoir which operates under an oscillating ink pressure.
  • ink is ejected from the ink ejection port 1215.
  • the shutter mechanism includes a plate 1217 which is driven via means of guide slots 1218, 1219 to a closed position.
  • the driving of the nozzle plate is via a latch mechanism 1220 with the plate structure being kept in a correct path by means of retainers 1222 to 1225.
  • the nozzle arrangement 1210 can be constructed utilising a two level poly process which can be a standard micro-electro mechanical system production technique (MEMS).
  • MEMS micro-electro mechanical system production technique
  • the plate 1217 can be constructed from a first level polysilicon and the retainers 1222 to 1225 can be constructed from a lower first level poly portion and a second level poly portion, as it is more apparent from the exploded perspective view illustrated in Fig. 209.
  • the bottom circuit of plate 1217 includes a number of pits 1227 which are provided on the bottom surface of plate 1217 so as to reduce stiction effects.
  • the ratchet mechanism 1220 is driven by a gearing arrangement which includes first gear wheel 1230, second gear wheel 1231 and third gear wheel 1232. These gear wheels 1230 to 1232 are constructed utilising two level poly which each gear wheel being constructed around a corresponding central pivot 1235 to 1237. The gears 1230 to 1232 operate to gear down the ratchet speed with the gears being driven by a gear actuator mechanism 1240.
  • Fig. 209 there is illustrated an exploded perspective view of a single nozzle chamber 1210.
  • the actuator 1240 comprises mainly a copper circuit having a drive end 1242 which engages and drives the cogs 1243 ofthe gear wheel 1232.
  • the copper portion includes serpentine sections 1245, 1246 which concertina upon movement ofthe end 1242.
  • the end 1242 is actuated by means of passing an electric current through the copper portions in the presence of a magnetic field pe ⁇ endicular to the surface of the wafer such that the interaction of the magnetic field and circuit result in a Lorenz force acting on the actuator 1240 so as to move the end 1242 to drive the cogs 1243.
  • the copper portions are mounted on aluminium disks 1248, 1249 which are connected to lower levels of circuitry on the wafer upon which actuator 1240 is mounted.
  • the actuator 1240 can be driven at a high speed with the gear wheels 1230 to 1232 acting to gear down the high speed driving of actuator 1240 so as to drive ratchet mechanism 1220 open and closed on demand.
  • the shutter is opened by means of driving actuator 1240.
  • ink will be ejected from the nozzle 1215.
  • a second actuator 1250 is utilized to drive the gear wheel in the opposite direction thereby resulting in the closing ofthe shutter plate 1217 over the nozzle chamber 1212 resulting in no ink being ejected in subsequent pressure cycles.
  • the pits 1227 act to reduce the forces required for driving the shutter plate 1217 to an open and closed position.
  • Fig. 210 there is illustrated a top cross-sectional view illustrating the various layers making up a single nozzle chamber 1210.
  • the nozzle chambers can be foimed as part of an array of nozzle chambers making up a single print head which in turn forms part of an array of print head fabricated on a semiconductor wafer in accordance with in accordance with the semiconductor wafer fabrication techniques well known to those skilled in the art of
  • the bottom boron layer 1213 can be formed from the processing step of back etching a silicon wafer utilizing a buried epitaxial boron doped layer as the etch stop. Further processing of the boron layer can be undertaken so as to define the nozzle hole 1215 which can include a nozzle rim 1214.
  • the next layer is a silicon glass layer 1252 which normally sits on top ofthe boron doped layer 1213.
  • the silicon glass layer 1252 includes an anisotropically etched pit 1212 so as to define the structure of the nozzle chamber.
  • a glass layer 1254 which includes the various electrical circuitry (not shown) for driving the actuators.
  • the layer 1254 is passivated by means of a nitride layer 1256 which includes trenches 1257 for passivating the side walls of glass layer 1254.
  • a first level polysilicon layer 1258 which defines the shutter and various cog wheels.
  • the second poly layer 1259 includes the various retainer mechanisms and gear wheel 1231.
  • a copper layer 1260 is provided for defining the copper circuit actuator.
  • the copper 1260 is interconnected with lower portions of glass layer 1254 for forming the circuit for driving the copper actuator.
  • the nozzle chamber 1210 can be constructed utilizing the standard MEMS processes including forming the various layers utilizing the sacrificial material such as silicon dioxide and subsequently sacrificially etching the lower layers away.
  • wafers that contain a series of print heads can be diced into separate print heads and a print head mounted on a wall of an ink supply chamber having a piezo electric oscillator actuator for the control of pressure in the ink supply chamber.
  • Ink is then ejected on demand by opening the shutter plate 1217 during periods of high oscillation pressure so as to eject ink.
  • the nozzles being actuated by means of placing the print head in a strong magnetic field utilizing permanent magnets or electro- magnetic devices and driving current through the actuators e.g. 1240, 1250 as required to open and close the shutter and thereby eject drops of ink on demand.
  • Fig. 211 is a key to representations of various materials in these manufacturing diagrams. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • This mask defines the nozzle cavity. This etch stops on ⁇ 111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 213.
  • 4. Deposit 12 microns of low stress sacrificial oxide. Planarize down to silicon using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 214.
  • CMOS complementary metal-oxide-semiconductor
  • the MEMS processes which form the mechanical components of the inkjet are interleaved with the CMOS device fabrication steps.
  • the example given here is of a 1 micron, 2 poly, 2 metal retrograde P-well process.
  • the mechanical components are formed from the CMOS polysilicon layers. For clarity, the CMOS active components are omitted.
  • the field oxide is used as a MEMS sacrificial layer, so inkjet mechanical details are inco ⁇ orated in the active area mask.
  • the MEMS features of this step are shown in Fig. 215.
  • this layer includes the lower layer of MEMS components. This includes the lower layer of gears, the shutter, and the shutter guide. It is preferable that this layer be thicker than the normal CMOS thickness. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 215.
  • CMOS mask for this level also contains the pattern for the MEMS inter-poly sacrificial oxide.
  • MEMS features of this step are shown in Fig. 216.
  • this layer includes the upper layer of MEMS components. This includes the upper layer of gears and the shutter guides. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 217.
  • CMOS mask for this level also contains the pattern for the MEMS actuator contacts.
  • Metal 1 should be non-corrosive in water, such as gold or platinum, if it is to be used as the Lorenz actuator.
  • the MEMS features of this step are shown in Fig. 218.
  • CMOS third interlevel dielectric As shown in Fig. 219. This is the standard CMOS third interlevel dielectric.
  • the mask pattern includes complete coverage ofthe MEMS area.
  • Metal 2 deposition and etch This is the standard CMOS metal 2.
  • the mask pattern includes no metal 2 in the MEMS area.
  • CMOS devices must be located sufficiently far from the MEMS devices that they are not affected by the sacrificial oxide etch.
  • the MEMS features of this step are shown in Fig. 220.
  • the print heads Mount the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • the package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
  • the package also contains the permanent magnets which provide the 1 Tesla magnetic field for the Lorenz actuators foimed of metal 1.
  • an inkjet nozzle which inco ⁇ orates a plunger that is surrounded by an electromagnetic device.
  • the plunger is made from a magnetic material such that upon activation of the magnetic device, the plunger is forced towards a nozzle outlet port thereby resulting in the ejection of ink from the outlet port.
  • the electromagnet Upon deactivation ofthe electromagnet, the plunger returns to its rest position via the utilisation of a series of springs constructed to return the electromagnet to its rest position.
  • Fig.227 illustrates a sectional view through a single inkjet nozzle 1310 as constructed with an embodiment.
  • the ink jet nozzle 1310 includes a nozzle chamber 1311 which is connected to a nozzle output port 1312 for the ejection of ink.
  • the ink is ejected by means of a tapered plunger device 1314 which is made of a soft magnetic material such as nickel-ferrous material (NIFE).
  • the plunger 1314 includes tapered end portions, e.g. 1316, in addition to interconnecting nitride springs, e.g. 1317.
  • An electromagnetic device is constructed around the plunger 1314 and includes outer soft magnetic material
  • the circuit being further formed by means of vias (not shown) connecting the current carrying wire to lower layers which can take the structure of standard CMOS fabrication layers.
  • the tapered plunger portions 1316 Upon activation ofthe electromagnet, the tapered plunger portions 1316 attracted to the electromagnet.
  • the tapering allows for the forces to be resolved by means of downward movement of the overall plunger 1314, the downward movement thereby causing the ejection of ink from ink ejection port 1312. In due course, the plunger will move to a stable state having a top surface substantially flush with the electromagnet.
  • the plunger 1314 Upon turning the power off, the plunger 1314 will return to its original position as a result of energy stored within that nitride springs 1317.
  • the nozzle chamber 1311 is refilled by inlet holes 1322 from the ink reservoir 1323.
  • the bottom layer 1330 can be formed by back etching a silicon wafer which has a boron dope epitaxial layer as the etch stop.
  • the boron dope layer 1330 can be further individually masked and etched so as to form nozzle rim 1331 and the nozzle ejection port 1312.
  • a silicon layer 1332 is formed.
  • the silicon layer 1332 can be formed as part ofthe original wafer having the buried boron doped layer 1330.
  • the nozzle chamber proper can be formed substantially from high density low pressure plasma etching ofthe silicon layer 1332 so as to produce substantially vertical side walls thereby forming the nozzle chamber.
  • a glass layered 1333 which can include the drive and control circuitry required for driving an array of nozzles 1310.
  • the drive and control circuitry can comprise standard two level metal CMOS circuitry intra-connected to form the copper coil circuit by means of vias though upper layers (not shown).
  • a nitride passivation layer 1334 is provided so as to passivate any lower glass layers, e.g. 1333, from sacrificial etches should a sacrificial etching be utilized in the formation of portions ofthe nozzle.
  • nitride layer 1334 On top ofthe nitride layer 1334 is foimed a first nickel- ferrous layer 1336 followed by a copper layer 1337 and a further nickel-ferrous layer 1338 which can be foimed via a dual damascene process.
  • the final nitride spring layer 1340 On top ofthe layer 1338 is formed the final nitride spring layer 1340 with the springs being formed by means of semiconductor treatment ofthe nitride layer 1340 so as to release the springs in tension so as to thereby cause a slight rating ofthe plunger 1314.
  • a number of techniques not disclosed in Fig. 228 can be utilized in the construction of various portions of the arrangement 1310.
  • the nozzle chamber can be formed by utilizing the aforementioned plasma etch and then subsequently filling the nozzle chamber with sacrificial material such as glass so as to provide a support for the plunger 1314 with the plunger 1314 being subsequently released via sacrificial etching ofthe sacrificial layers.
  • sacrificial material such as glass
  • the tapered end portions ofthe nickel-ferrous material can be formed so that the utilisation of a half- tone mask having an intensity pattern corresponding to the desired bottom tapered profile of plunger 1314.
  • the halftone mask can be utilized to half-tone a resist so that the shape is transferred to the resist and subsequently to a lower layer, such as sacrificial glass on top of which is laid the nickel-ferrous material which can be finally planarised utilizing chemical mechanical planarization techniques.
  • Fig. 230 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 230. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 229 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber and the edges ofthe print heads chips. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This etch does not substantially etch the aluminum. This step is shown in Fig. 231.
  • CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
  • 35 Detach the chips from the glass blank. Strip all adhesive, resist, sacrificial, and exposed seed layers. The nitride spring is released in this step, lifting the magnetic plunger out of the fixed magnetic pole by 3 microns. This step is shown in Fig. 247. 36. Mount the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • a magnetically actuated inkjet print nozzle for the ejection of ink from an ink chamber.
  • the magnetically actuated inkjet utilizes a linear spring to increase the travel of a shutter grill which blocks any ink pressure variations in a nozzle when in a closed position.
  • pressure variations are directly transmitted to the nozzle chamber and can result in the ejection of ink from the chamber.
  • An oscillating ink pressure within an ink reservoir is used therefore to inject ink from nozzles having an open shutter grill.
  • a single nozzle mechanism 1410 of an embodiment when in a closed or rest position includes a shutter mechanism 1411 having shutters 1412, 1413 which are interconnected together 1415 at one end for providing structural stability.
  • the two shutters 1412, 1413 are interconnected at another end to a moveable bar 1416 which is further connected to a stationary positioned bar 1418 via leaf springs 1420, 1421.
  • the bar 1416 can be made of a soft magnetic (NiFe) material.
  • An electromagnetic actuator is utilized to attract the bar 1416 generally in the direction 1425.
  • the electromagnetic actuator consists of a series of soft iron claws 1424 around which is formed a copper coil wire 1426.
  • the electromagnetic actuators can comprise a series of actuators 1428-1430 interconnected via the copper coil windings.
  • the attraction 1425 results in a corresponding interaction with linear springs 1420, 1421 and a movement of shutters 1412, 1413 to an open position as illustrated in Fig. 250, the result of the actuation being to open portals 1432, 1433 into an ink ejection chamber 1434 thereby allowing the ejection of ink through an ink ejection nozzle 1436.
  • the linear springs 1420, 1421 are designed to increase the movement ofthe shutter as a result of actuation by a factor of eight. A one micron motion of the bar towards the electromagnets will result in an eight micron sideways movement. This dramatically improves the efficiency ofthe system, as any magnetic field falls off strongly with distance, while the linear springs have a linear relationship between motion in one axis and the other. The use of the linear springs 1420, 1421 therefore allows the relatively large motion required to be easily achieved.
  • the surface of the wafer is directly immersed in an ink reservoir or in relatively large ink channels.
  • An ultrasonic transducer (for example, a piezoelectric transducer), not shown, is positioned in the reservoir.
  • the transducer oscillates the ink pressure at approximately 100 kHz.
  • the ink pressure oscillation is sufficient that ink drops would be ejected from the nozzle were it not blocked by the shutters 1412, 1413.
  • the drive transistor for that nozzle is turned on. This energizes the actuators 1428-1430, which moves the shutter so that it is not blocking the ink chamber.
  • the peak ofthe ink pressure variation causes the ink to be squirted out ofthe nozzle. As the ink pressure goes negative, ink is drawn back into the nozzle, causing drop break-off. The shutter 1412, 1413 is kept open until the nozzle is refilled on the next positive pressure cycle. It is then shut to prevent the ink from being withdrawn from the nozzle on
  • Each drop ejection takes two ink pressure cycles.
  • Preferably half of the nozzles should eject drops in one phase, and the other half of the nozzle should eject drops in the other phase. This minimizes the pressure variations which occur due to a large number of nozzles being actuated.
  • the amplitude of the ultrasonic transducer can be further altered in response to the viscosity of the ink
  • This amplitude adjustment can be used to maintain consistent drop size in varying environmental conditions.
  • Fig. 251 there is illustrated a section taken through the line II of Fig. 250 so as to illustrate the nozzle chamber 1434 which can be formed utilizing an anisotropic crystallographic etch ofthe silicon substrate.
  • the etch access through the substrate can be via the slots 1432,1422 (Fig. 250) in the shutter grill.
  • the device is manufactured on ⁇ 100> silicon with a buried boron etch stop layer 1440, but rotated 45° in relation to the ⁇ 010> and ⁇ 001> planes. Therefore, the ⁇ 111> planes which stop the crystallographic etch of the nozzle chamber form a 45° rectangle which superscribes the slots in the fixed grill. This etch will proceed quite slowly, due to limited access of etchant to the silicon. However, the etch can be performed at the same time as the bulk silicon etch which thins the bottom ofthe wafer.
  • Fig. 252 there is illustrated an exploded perspective ofthe various layers foimed in the construction of an ink jet print head 1410.
  • the layers include the boron doped layer 1440 which acts as an etched stop and can be derived from back etching a silicon wafer having a buried epitaxial layer as is well known in Micro Electro Mechanical Systems (MEMS).
  • MEMS Micro Electro Mechanical Systems
  • SPIE International Society for Optical
  • nozzle chambers side walls are foimed from a crystallographic graphic etch of the wafer 1441 with the boron doped layer 1440 being utilized as an etch stop.
  • a subsequent layer 1442 is constructed for the provision of drive transistors and printer logic and can comprise a two level metal CMOS processing layer 1442.
  • the CMOS processing layer is covered by a nitride layer 1443 which includes portions 1444 which cover and protect the side walls of the CMOS layer 1442.
  • the copper layer 1445 can be constructed utilizing a dual damascene process.
  • a soft metal (NiFe) layer 1446 is provided for forming the rest ofthe actuator.
  • Each ofthe layers 1444, 1445 are separately coated by a nitride insulating layer (not shown) which provides passivation and insulation and can be a standard 0.1 ⁇ m process.
  • the arrangement of Fig. 249 therefore provides an ink jet nozzle having a high speed firing rate
  • Fig. 253 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
  • CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
  • Si3N4 silicon nitride
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • the package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
  • An embodiment utilizes a Lorenz force on a current carrying wire in a magnetic field to actuate a diaphragm for the injection of ink from a nozzle chamber via a nozzle hole.
  • the magnetic field is static and is provided by a permanent magnetic yoke around the nozzles of an inkjet head.
  • Each ink jet nozzle 1510 includes a diaphragm 1511 of a corrugated form which is suspended over a nozzle chamber having a ink port 1513 for the injection of ink.
  • the diaphragm 1511 is constructed from a number of layers including a plane copper coil layer which consists of a large number of copper coils which form a circuit for the flow of electric current across the diaphragm 1511. The electric current in the wires of the diaphragm coil section 1511 all flowing in the same direction.
  • FIG. 283 is a perspective view of the current circuit utilised in the construction of a single ink jet nozzle, illustrating the corrugated structure ofthe traces in the diaphragm 1511 of Fig. 276.
  • a permanent magnetic yoke (not shown) is arranged so that the magnetic field , 1516, is in the plane of the chip's surface, pe ⁇ endicular to the direction of current flow across the diaphragm coil 1511.
  • Fig. 277 there is illustrated a sectional view ofthe ink jet nozzle 1510 taken along the line A-Al of Fig. 276 when the diaphragm 1511 has been activated by current flowing through coil wires 1514.
  • the diaphragm 1511 is forced generally in the direction of nozzle 1513 thereby resulting in ink within chamber 1518 being ejected out of port 1513.
  • the diaphragm 1511 and chamber 1518 are connected to an ink reservoir 1519 which, after the ejection of ink via port 1513, results in a refilling of chamber 1518 from ink reservoir 1519.
  • the movement ofthe diaphragm 1511 results from a Lorenz interaction between the coil current and the magnetic field.
  • the diaphragm 1511 is corrugated so that the diaphragm motion occurs as an elastic bending motion. This is important as a flat diaphragm may be prevented from flexing by tensile stress.
  • the drive transistor for that nozzle When data signals distributed on the print head indicate that a particular nozzle is to eject a drop of ink, the drive transistor for that nozzle is turned on. This energises the coil 1514, causing elastic deformation of the diaphragm 1511 downwards, ejecting ink. After approximately 3 ⁇ s, the coil current is turned off, and the diaphragm 1511 returns to its quiescent position. The diaphragm return 'sucks' some of the ink back into the nozzle, causing the ink ligament connecting the ink drop 1520 to the ink in the nozzle to thin. The forward velocity of the drop and backward velocity of the ink in the chamber 1518 are resolved by the ink drop 1520 breaking off from the ink in the nozzle.
  • the ink drop 1520 then continues towards the recording medium.
  • Ink refill of the nozzle chamber 1518 is via the two slots 1522, 1523 at either side of the diaphragm.
  • the ink refill is caused by the surface tension ofthe ink meniscus at the nozzle.
  • the corrugated diaphragm can be foimed by depositing a resist layer 1530 on top of a sacrificial glass layer 1531.
  • the resist layer 1530 is exposed utilising a mask 1532 having a halftone pattern delineating the corrugations.
  • the resist 1530 contains the corrugation pattern.
  • the resist layer 1530 and the sacrificial glass layer are then etched utilizing an etchant that erodes the resist 1530 at substantially the same rate as the sacrificial glass 1531. This transfers the corrugated pattern into the sacrificial glass layer 1531 as illustrated in Fig. 280.
  • Fig. 280 As illustrated in Fig.
  • a nitride passivation layer 1534 is deposited followed a copper layer 1535 which is patterned utilizing a coil mask.
  • a further nitride passivation layer 1536 follows on top ofthe copper layer 1535. Slots 1522, 1523 in the nitride layer at the side ofthe diaphragm can be etched (Fig. 276) and subsequently, the sacrificial glass layer can be etched away leaving the corrugated diaphragm.
  • Fig. 282 there is illustrated an exploded perspective view ofthe various layers of an inkjet nozzle 1510 which is constructed on a silicon wafer having a buried boron doped epitaxial layer 1540 which is back etched in a final processing step, including the etching of ink port 1513.
  • the silicon substrate 1541 is an anisotropically crystallographically etched so as to form the nozzle chamber structure.
  • CMOS layer 1542 On top of the silicon substrate layer 1541 is a CMOS layer 1542 which can comprise standard CMOS processing to form two level metal drive and control circuitry.
  • a first passivation layer which can comprise silicon nitride which protects the lower layers from any subsequent etching processes.
  • the copper layer 1545 having through holes eg. 1546 to the CMOS layer 1542 for the supply of current.
  • a second nitrate passivation layer 1547 which provides for protection ofthe copper layer from ink and provides insulation.
  • the nozzle 1510 can be formed as part of an array of nozzles foimed on a single wafer. After construction, the wafer creating nozzles 1510 can be bonded to a second ink supply wafer having ink channels for the supply of ink such that the nozzle 1510 is effectively supplied with an ink reservoir on one side and ejects ink through the hole 1513 onto print media or the like on demand as required.
  • the nozzle chamber 1518 is formed using an anisotropic crystallographic etch of the silicon substrate. Etchant access to the substrate is via the slots 1522, 1523 at the sides ofthe diaphragm.
  • the device is manufactured on ⁇ 100> silicon (with a buried boron etch stop layer), but rotated 45° in relation to the ⁇ 010> and ⁇ 001> planes. Therefore, the ⁇ 111> planes which stop the crystallographic etch ofthe nozzle chamber form a 45° rectangle which superscribes the slot in the nitride layer. This etch will proceed quite slowly, due to limited access of etchant to the silicon. However, the etch can be performed at the same time as the bulk silicon etch which thins the wafer.
  • the drop firing rate is around 7 kHz.
  • the ink jet head is suitable for fabrication as a monolithic page wide print head. The illustration shows a single nozzle of a 1600 dpi print head in 'down shooter' configuration.
  • Fig. 285. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 285. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle.
  • Fig. 284 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • This mask is a gray-scale mask which defines the concertina ridges of the flexible membrane containing the central part of the solenoid.
  • the result of the etch is a series of triangular ridges across the whole length ofthe ink pushing membrane. This step is shown in Fig.
  • Electroplate 1 micron of copper Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
  • Wafer probe All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated. 18. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 292.
  • a nozzle chamber having an ink ejection port and a magnetostrictive actuator surrounded by an electrical coil such that, upon activation of the coil, a magnetic field is produced which effects the actuator to the extent that it causes the ejection of ink from the nozzle chamber.
  • nozzle arrangement 2410 includes a nozzle chamber 2411 which opens to a nozzle ejection port 2412 for the ejection of ink.
  • the nozzle 2410 can be formed on a large silicon wafer with multiple print heads being foimed from nozzle groups at the same time.
  • the nozzle port 2412 can be formed from back etching the silicon wafer to the level of a boron doped epitaxial layer 2413 which is subsequently etched utilizing an appropriate mask to form the nozzle portal 2412 including a rim 2415.
  • the nozzle chamber 2411 is further foimed from a crystallographic etch ofthe remaining portions of the silicon wafer 2416, the crystallographic etching process being well known in the field of micro- electro-mechanical systems (MEMS).
  • MEMS micro- electro-mechanical systems
  • Fig. 298 there is illustrated an exploded perspective view illustrating the construction of a single inkjet nozzle arrangement 2410 in accordance with an embodiment.
  • CMOS layer 2417 On top ofthe silicon wafer 2416 there is previously constructed a two level metal CMOS layer 2417 which includes an aluminum layer (not shown).
  • the CMOS layer 2417 is constructed to provide data and control circuitry for the ink jet nozzle 2410.
  • a nitride passivation layer 2420 On top of the CMOS layer 2417 is constructed a nitride passivation layer 2420 which includes nitride paddle portion 2421.
  • the nitride layer 2421 can be constructed by a utilizing a sacrificial material such as glass to first fill the crystallographic etched nozzle chamber 2411 then depositing the nitride layer 2420, 2421 before etching the sacrificial layer away to release the nitride layer 2421.
  • Terfenol-D is a material having high magnetostrictive properties (for further information on the properties of Terfenol-D, reference is made to "magnetostriction, theory and applications of magnetoelasticity" by
  • the Terfenol-D substance expands.
  • the Terfenol-D layer 2422 is attached to a lower nitride layer 2421 which does not undergo expansion.
  • the forces are resolved by a bending of the nitride layer 2421 towards the nozzle ejection hole 2412 thereby causing the ejection of ink from the ink ejection portal 2412.
  • the Terfenol-D layer 2422 is passivated by a top nitride layer 2423 on top of which is a copper coil layer
  • the Terfenol-D layer 2422 which is interconnected to the lower CMOS layer 2417 via a series of vias so that copper coil layer 2424 can be activated upon demand.
  • the activation of the copper coil layer 2424 induces a magnetic field 2425 across the Terfenol-D layer 2422 thereby causing the Terfenol-D layer 2422 to undergo phase change on demand. Therefore, in order to eject ink from the nozzle chamber 2411, the Terfenol-D layer 2422 is activated to undergo phase change causing the bending ofthe actuator 2426 (Fig. 297) in the direction ofthe ink ejection port 2412 thereby causing the ejection of ink drops. Upon deactivation of the upper coil layer 2424 the actuator 2426 (Fig.
  • the copper layer 2424 is passivated by a nitride layer (not shown) and the nozzle arrangement 2410 abuts an ink supply reservoir 2428 (Fig. 297).
  • Fig. 300 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process.
  • the metal layers are copper instead of aluminum, due to high current densities and subsequent high temperature processing.
  • Relevant features ofthe wafer at this step are shown in Fig. 300. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle.
  • Fig. 299 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. 4.
  • Electroplate 20 microns of copper 14. Strip the resist and etch the copper seed layer. Steps 10 to 13 form a LIGA process. This step is shown in
  • DLC ECR diamond like carbon
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • shape memory materials are utilized to construct an actuator suitable for injecting ink from the nozzle of an ink chamber.
  • Fig. 312 there is illustrated an exploded perspective view 2510 of a single ink jet nozzle as constructed in accordance with an embodiment.
  • the ink jet nozzle 2510 is constructed from a silicon wafer base utilizing back etching ofthe wafer to a boron doped epitaxial layer.
  • the inkjet nozzle 2510 comprises a lower layer 2511 which is constructed from boron doped silicon.
  • the boron doped silicon layer is also utilized a crystallographic etch stop layer.
  • the next layer comprises the silicon layer 2512 that includes a crystallographic pit 2513 having side walls etch at the usual angle of 54.74.
  • the layer 2512 also includes the various required circuitry and transistors for example, CMOS layer (not shown). After this, a 0.5 micron thick thermal silicon oxide layer 2515 is grown on top ofthe silicon wafer 2512.
  • CMOS process layers which provide the metal interconnect for the CMOS transistors foimed within the layer 2512.
  • the various metal pathways etc. are not shown in Fig. 312 but for two metal interconnects 2518, 2519 which provide interconnection between a shape memory alloy layer 2520 and the CMOS metal layers 2516.
  • the shape memory metal layer is next and is shaped in the form of a se ⁇ entine coil to be heated by end interconnect/via portions 2521,2523.
  • a top nitride layer 2522 is provided for overall passivation and protection of lower layers in addition to providing a means of inducing tensile stress to curl upwards the shape memory alloy layer 2520 in its quiescent state.
  • An embodiment relies upon the thermal transition of a shape memory alloy 2520 (SMA) from its martensitic phase to its austenitic phase.
  • SMA shape memory alloy
  • the basis of a shape memory effect is a martensitic transformation which creates a polydemane phase upon cooling.
  • This polydemane phase accommodates finite reversible mechanical deformations without significant changes in the mechanical self energy of the system.
  • the thermal transition is achieved by passing an electrical current through the SMA.
  • the actuator layer 2520 is suspended at the entrance to a nozzle chamber 2513 connected via leads 2518, 2519 to the lower layers.
  • Fig. 313 there is shown a cross-section of a single nozzle 2510 when in its quiescent state, the section basically being taken through the line A-A of Fig. 312.
  • the actuator 2530 is bent away from the nozzle when in its quiescent state.
  • Fig. 314 there is shown a corresponding cross-section for a single nozzle 2510 when in an actuated state. When energized, the actuator 2530 straightens, with the corresponding result that the ink is pushed out ofthe nozzle.
  • the process of energizing the actuator 2530 requires supplying enough energy to raise the SMA above its transition temperature, and to provide the latent heat of transformation to the SMA 2520.
  • the SMA martensitic phase must be pre-stressed to achieve a different shape from the austenitic phase.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • the weak martensitic phase ofthe SMA provides little resistance to this curl.
  • the SMA is heated to its austenitic phase, it returns to the flat shape into which it was annealed during the nitride deposition. The transformation being rapid enough to result in the ejection of ink from the nozzle chamber.
  • the actuator layer is therefore composed of three layers: 1.
  • An Si ⁇ 2 lower layer 2515 This layer acts as a stress 'reference' for the nitride tensile layer. It also protects the SMA from the crystallographic silicon etch that forms the nozzle chamber. This layer can be foimed as part ofthe standard CMOS process for the active electronics ofthe print head.
  • a SMA heater layer 2520 A SMA such as nickel titanium (NiTi) alloy is deposited and etched into a se ⁇ entine form to increase the electrical resistance. 3. A silicon nitride top layer 2522. This is a thin layer of high stiffness which is deposited using
  • the nitride stoichiometry is adjusted to achieve a layer with significant tensile stress at room temperature relative to the Si ⁇ 2 lower layer. Its pu ⁇ ose is to bend the actuator at the low temperature martensitic phase.
  • the inkjet nozzle of Fig. 312 can be constructed by utilizing a silicon wafer having a buried boron epitaxial layer.
  • the 0.5 micron thick dioxide layer 2515 is then foimed having side slots 2545 which are utilized in a subsequent crystallographic etch.
  • the various CMOS layers 2516 are formed including drive and control circuitry (not shown).
  • the SMA layer 2520 is then created on top of layers 2515/2516 and being interconnected with the drive circuitry.
  • a silicon nitride layer 2522 is foimed on top.
  • Each of the layers 2515, 2516, 2522 include the various slots eg. 2545 which are utilized in a subsequent crystallographic etch.
  • the silicon wafer is subsequently thinned by means of back etching with the etch stop being the boron layer 2511. Subsequent boron etching forms the nozzle hole eg. 2547 and rim 2546 (Fig. 314). Subsequently, the chamber proper is formed by means of a crystallographic etch with the slots 2545 defining the extent of the etch within the silicon oxide layer 2512.
  • a large array of nozzles can be formed on the same wafer which in turn is attached to an ink chamber for filling the nozzle chambers.
  • Fig. 316 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 316. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 315 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations. 4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges ofthe print heads chips. This step is shown in Fig. 317.
  • Nitinol is a 'shape memory' alloy of nickel and titanium, developed at the Naval Ordnance Laboratory in the US (hence Ni-Ti-NOL). A shape memory alloy can be thermally switched between its weak martensitic state and its high stiffness austenic state. 12. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 321.
  • High stress silicon nitride is used so that once the sacrificial material is etched, and the paddle is released, the stress in the nitride layer will bend the relatively weak martensitic phase ofthe shape memory alloy. As the shape memory alloy - in its austenic phase - is flat when it is annealed by the relatively high temperature deposition of this silicon nitride layer, it will return to this flat state when electrothermally heated.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
  • an ink jet print head is constructed from a series of nozzle arrangements where each nozzle arrangement includes a magnetic plate actuator which is actuated by a coil which is pulsed so as to move the magnetic plate and thereby cause the ejection of ink.
  • the movement of the magnetic plate results in a leaf spring device being extended resiliently such that when the coil is deactivated, the magnetic plate returns to a rest position resulting in the ejection of a drop of ink from an aperture created within the plate.
  • FIG. 327 there is illustrated an ink jet nozzle arrangement 4401 which includes a nozzle chamber 4402 which connects with an ink ejection nozzle 4403 such that, when in a quiescent position, an ink meniscus 4404 forms over the nozzle 4403.
  • the nozzle 4403 is formed in a magnetic nozzle plate 4405 which can be constructed from a ferrous material. Attached to the nozzle plate 4405 is a series of leaf springs e.g. 4406, 4407 which bias the nozzle plate 4405 away from a base plate 4409.
  • a conductive coil 4410 which is interconnected and controlled via a lower circuitry layer 4411 which can comprise a standard CMOS circuitry layer.
  • the ink chamber 4402 is supplied with ink from a lower ink supply channel 4412 which is foimed by etching through a wafer substrate 4413.
  • the wafer substrate 4413 can comprise a semiconductor wafer substrate.
  • the ink chamber 4402 is interconnected to the ink supply channel 4412 by means of a series of slots 4414 which can be etched through the CMOS layer 4411.
  • the area around the coil 4410 is hydrophobically treated so that, during operation, a small meniscus e.g. 4416, 4417 forms between the nozzle plate 4405 and base plate 4409.
  • the coil 4410 is energised. This results in a movement of the plate 4405 as illustrated in Fig. 328.
  • the general downward movement ofthe plate 4405 results in a substantial increase in pressure within nozzle chamber 4402.
  • the increase in pressure results in a rapid growth in the meniscus 4404 as ink flows out ofthe nozzle chamber 4403.
  • the movement ofthe plate 4405 also results in the springs 4406, 4407 undergoing a general resilient extension.
  • the small width ofthe slot 4414 results in minimal outflows of ink into the nozzle chamber 4412.
  • the coil 4410 is deactivated resulting in a return ofthe plate 4405 towards its quiescent position as a result ofthe springs 4406, 4407 acting on the nozzle plate 4405.
  • the return ofthe nozzle plate 4405 to its quiescent position results in a rapid decrease in pressure within the nozzle chamber 4402 which in turn results in a general back flow of ink around the ejection nozzle 4403.
  • the forward momentum ofthe ink outside the nozzle plate 4403 and the back suction of the ink around the ejection nozzle 4403 results in a drop 4419 being formed and breaking off so as to continue to the print media.
  • the surface tension characteristics across the nozzle 4403 result in a general inflow of ink from the ink supply channel 4412 until such time as the quiescent position of Fig. 327 is again reached.
  • a coil actuated magnetic inkjet print head is foimed for the adoption of ink drops on demand.
  • the area around the coil 4410 is hydrophobically treated so as to expel any ink from flowing into this area.
  • Fig. 330 there is illustrated a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with the principles as previously outlined with respect to Fig. 327 to Fig. 329.
  • the arrangement 4401 includes a nozzle plate 4405 which is formed around an ink supply chamber 4402 and includes an ink ejection nozzle 4403.
  • a series of leaf spring elements 4406-4408 are also provided which can be formed from the same material as the nozzle plate 4405.
  • a base plate 4409 also is provided for encompassing the coil 4410.
  • the wafer 4413 includes a series of slots 4414 for the wicking and flowing of ink into nozzle chamber 4402 with the nozzle chamber 4402 being interconnected via the slots with an ink supply channel 4412.
  • the coil 4410 is conductive interconnected at a predetermined portion (not shown) with a lower CMOS layer for the control and driving ofthe coil 4410 and movement of base plate 4405.
  • the plate 4409 can be broken into two separate semi- circular plates and the coil 4410 can have separate ends connected through one of the semi circular plates through to a lower CMOS layer.
  • an array of ink jet nozzle devices can be formed at a time on a single silicon wafer so as to form multiple printheads.
  • Fig. 331 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)]. 5. Spin on 4 microns of resist, expose with Mask 2, and develop. This mask defines the split fixed magnetic plate, for which the resist acts as an electroplating mold. This step is shown in Fig. 334.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer.
  • IJ USES The presently disclosed inkjet printing technology is potentially suited to a wide range of printing system including: colour and monochrome office printers, short run digital printers, high speed digital printers, offset press supplemental printers, low cost scanning printers high speed pagewidth printers, notebook computers with inbuilt pagewidth printers, portable colour and monochrome printers, colour and monochrome copiers, colour and monochrome facsimile machines, combined printer, facsimile and copying machines, label printers, large format plotters, photograph copiers, printers for digital photographic "minilabs", video printers, PhotoCD printers, portable printers for PDAs, wallpaper printers, indoor sign printers, billboard printers, fabric printers, camera printers and fault tolerant commercial printer arrays.
  • the embodiments of the invention use an ink jet printer type device. Of course many different devices could be used. However presently popular inkjet printing technologies are unlikely to be suitable.
  • thermal inkjet The most significant problem with thermal inkjet is power consumption. This is approximately 100 times that required for high speed, and stems from the energy-inefficient means of drop ejection. This involves the rapid boiling of water to produce a vapor bubble which expels the ink. Water has a very high heat capacity, and must be superheated in thermal inkjet applications. This leads to an efficiency of around 0.02%, from electricity input to drop momentum (and increased surface area) out.
  • piezoelectric inkjet The most significant problem with piezoelectric inkjet is size and cost. Piezoelectric crystals have a very small deflection at reasonable drive voltages, and therefore require a large area for each nozzle. Also, each piezoelectric actuator must be connected to its drive circuit on a separate substrate. This is not a significant problem at the current limit of around 300 nozzles per print head, but is a major impediment to the fabrication of pagewide print heads with 19,200 nozzles.
  • the inkjet technologies used meet the stringent requirements of in-camera digital color printing and other high quality, high speed, low cost printing applications.
  • new inkjet technologies have been created.
  • the target features include: low power (less than 10 Watts) high resolution capability (1,600 dpi or more) photographic quality output low manufacturing cost small size (pagewidth times minimum cross section) high speed ( ⁇ 2 seconds per page).
  • inkjet designs shown here are suitable for a wide range of digital printing systems, from battery powered one-time use digital cameras, through to desktop and network printers, and through to commercial printing systems
  • the print head is designed to be a monolithic 0.5 micron CMOS chip with MEMS post processing.
  • the print head is 100 mm long, with a width which depends upon the inkjet type.
  • the smallest print head designed is IJ38, which is 0.35 mm wide, giving a chip area of 35 square mm.
  • the print heads each contain 19,200 nozzles plus data and control circuitry. Ink is supplied to the back ofthe print head by injection molded plastic ink channels. The molding requires
  • inkjet configurations can readily be derived from these 45 examples by substituting alternative configurations along one or more ofthe 11 axes.
  • Most ofthe IJOl to IJ45 examples can be made into inkjet print heads with characteristics superior to any currently available inkjet technology.
  • Suitable applications include: Home printers, Office network printers, Short run digital printers, Commercial print systems, Fabric printers, Pocket printers, Internet WWW printers, Video printers, Medical imaging, Wide format printers, Notebook PC printers, Fax machines, Industrial printing systems, Photocopiers, Photographic minilabs etc.
  • Actuator mechanism (applied only to selected ink drops)
  • Thermal bubble An electrothermal heater heats the ink to ⁇ Large force generated ⁇ High power ⁇ Canon Bubblejet 1979 above boiling point, transferring significant ⁇ Simple construction ⁇ Ink carrier limited to water Endo et al GB patent heat to the aqueous ink.
  • a bubble nucleates ⁇ No moving parts ⁇ Low efficiency 2,007,162
  • Piezoelectric A piezoelectric crystal such as lead ⁇ Low power consumption ⁇ Very large area required for actuator ⁇ Kyser et al USP 3,946,398 lanthanum zirconate (PZT) is electrically ⁇ Many ink types can be ⁇ Difficult to integrate with electronics ⁇ Zoltan USP 3,683,212 activated, and either expands, shears, or used ⁇ High voltage drive transistors required ⁇ 1973 Stemme USP bends to apply pressure to the ink, ejecting ⁇ Fast operation ⁇ Full pagewidth print heads impractical 3,747,120 drops. ⁇ High efficiency due to actuator size ⁇ Epson Stylus
  • Conductive A polymer with a high coefficient of ⁇ High force can be generated ⁇ Requires special materials development ⁇ IJ24 polymer thermal expansion (such as PTFE) is doped ⁇ Very low power consumption (High CTE conductive polymer) thermoelastic with conducting substances to increase its ⁇ Many ink types can be used ⁇ Requires a PTFE deposition process, which actuator conductivity to about 3 orders of magnitude
  • Examples of conducting dopants include: processing
  • Pigmented inks may be infeasible, as
  • CO pigment particles may jam the bend polythiophene ⁇ Easy extension from single actuator
  • Shape memory A shape memory alloy such as TiNi (also ⁇ High force is available ⁇ Fatigue limits maximum number of cycles ⁇ IJ26 alloy known as Nitinol - Nickel Titanium alloy (stresses of hundreds of ⁇ Low strain (1%) is required to extend fatigue developed at the Naval Ordnance MPa) resistance Laboratory) is thermally switched between ⁇ Large strain is available (more ⁇ Cycle rate limited by heat removal its weak martensitic state and its high than 3%) ⁇ Requires unusual materials (TiNi) stiffness austenic state.
  • TiNi stiffness austenic state.

Abstract

An ink jet printing nozzle apparatus comprising: a nozzle chamber in fluid communication with an ink chamber and utilized for the storage of ink to be printed out by said nozzle apparatus, said nozzle chamber having a nozzle chamber outlet hole for the ejection of ink from said nozzle chamber; a magnetic piston located over an aperture in said nozzle chamber; and an activation coil located adjacent to said magnetic piston, said coil upon activation by a current applying a force to said piston sufficient to cause movement of said piston from a first position to a second position, said movement causing ink within said nozzle chamber to be ejected from said nozzle chamber through a nozzle chamber outlet hole onto print media. <IMAGE>

Description

A FIELD ACTUATED INK JET
Field of Invention
The present invention relates to the field of ink jet printing systems.
Background of the Art Many different types of printing have been invented, a large number of which are presently in use. The known forms of print have a variety of methods for marking the print media with a relevant marking media. Commonly used forms of printing include offset printing, laser printing and copying devices, dot matrix type impact printers, thermal paper printers, film recorders, thermal wax printers, dye sublimation printers and ink jet printers both of the drop on demand and continuous flow type. Each type of printer has its own advantages and problems when considering cost, speed, quality, reliability, simplicity of construction and operation etc.
In recent years, the field of ink jet printing, wherein each individual pixel of ink is derived from one or more ink nozzles has become increasingly popular primarily due to its inexpensive and versatile nature.
Many different techniques of ink jet printing have been invented. For a survey of the field, reference is made to an article by J Moore, "Non-Impact Printing: Introduction and Historical Perspective", Output Hard Copy Devices, Editors R Dubeck and S Sherr, pages 207 - 220 (1988).
Ink Jet printers themselves come in many different types. The utilisation of a continuous stream ink in ink jet printing appears to date back to at least 1929 wherein US Patent No. 1941001 by Hansell discloses a simple form of continuous stream electro-static ink jet printing.
US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilised by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
Piezo-electric ink jet printers are also one form of commonly utilised ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.
Recently, thermal ink jet printing has become an extremely popular form of ink jet printing. The ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent
4490728. Both the aforementioned references disclosed ink jet printing techniques rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media. Printing devices utilising the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.
As can be seen from the foregoing, many different types of printing technologies are available. Ideally, a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
Many ink jet printing mechanisms are known. Unfortunately, in mass production techniques, the production of ink jet heads is quite difficult. For example, often, the orifice or nozzle plate is constructed separately from the ink supply and ink ejection mechanism and bonded to the mechanism at a later stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)). These separate material processing steps required in handling such precision devices often adds a substantially expense in manufacturing.
Additionally, side shooting ink jet technologies (U.S. Patent No. 4,899,181) are often used but again, this limit the amount of mass production throughput given any particular capital investment.
Additionally, more esoteric techniques are also often utilized. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.
The utilisation of the above techniques is likely to add substantial expense to the mass production of ink jet print heads and therefore add substantially to their final cost.
It would therefore be desirable if an efficient system for the mass production of ink jet print heads could be developed.
Summary of the invention
It is an object of the present invention to provide for an ink jet printing mechanism having a series of ink ejection nozzles, with the nozzles including an internal selective actuator mechanism activated on a nozzle by nozzle basis by the placement of a field around said nozzles.
In accordance with an aspect of the present invention there is provided an ink jet printing nozzle arrangement comprising a nozzle chamber having an ink ejection port at one end; a plunger constructed from soft magnetic material and positioned between the nozzle chamber and an ink chamber, which allows for the supply of ink to the nozzle chamber, and an electric coil located adjacent to the plunger and electrically connected to a nozzle activation signal wherein, upon activation, the plunger is caused to move from an ink loaded position to an ink ejection position and thereby causes the ejection of ink from the ink chamber through the ejection port.
Further, the ink ejection nozzle can comprise an armature plate constructed from soft magnetic material and the plunger is attracted to the armature plate on the activation of the coil. A cavity is defined by the plunger in which the electric coil is located, which has its dimensions reduced as a result of movement of the plunger, the plunger further having a series of fluid release slots in fluid communication with the cavity and the ink chamber, allowing for the expulsion of fluid under pressure in the formed cavity. Preferably, the ink jet printing nozzle comprises a resilient means for assisting in the return of the plunger from the ink ejection position to the ink loaded position after the ejection of ink from the ink ejection port. Advantageously, the resilient means comprises a torsional spring of an arcuate construction having a circumferential profile substantially the same as that of the plunger.
In accordance with a further aspect of the present invention, there is provided an ink jet printing nozzle arrangement constructed in accordance with the previous aspect of the invention wherein the plunger has along one surface a series of slots. This surface forms the inner radial surface defining the cavity between the plunger and the electric coil. Further, the plunger has no fluid release slots in its top surface that defines the top wall of the cavity formed. Upon reduction of the cavity dimensions due to the downward movement of the plunger, induced by the electric coil, an ink flow through the slots into the nozzle chamber occurs assisting in the ejection of ink from the ink ejection port. Preferably, the slots have a substantially constant cross-sectional profile.
In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator comprising a first planar electrode formed within a bottom substrate of the nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, wherein the second planar electrode is moveable to a pre-firing position adjacent to said first planar electrode, upon forming a potential difference across the electrodes, thereby causing a corrugated border portion of the second electrode to concertina, such that, upon reduction of the potential difference, the corrugated border returns to its quiescent position and thereby causes the ejection of ink from the nozzle chamber.
In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator to eject ink from the nozzle chamber via the ink ejection port, wherein the electrostatic actuator comprises a first planar electrode formed within a bottom substrate of the nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, and the ink jet nozzle arrangement is being formed from the depositing and etching of material on a single monolithic wafer. Further, there is an air gap between the first and second planar electrode which is interconnected to an external atmosphere at a side of the nozzle chamber such that air flows into and out of the gap upon movement of the actuator. Preferably the surface of the electrodes facing and opposing electrode are coated with a material having a low coefficient of friction so as to reduce the possibilities of stiction. Advantageously this material comprised of substantially polytetrafluoroethylene. The second planar electrode includes preferable a layer of stiffening materials for maintaining the stiffness of the second planar electrode which is substantially comprised of nitride. The air gap between a first and a second planar electrode structure is formed by utilisation of a sacrificial material layer which is etched away to release the second planar electrode structure.
Further an outer surface of the ink chamber includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator comprising a series of conductive parallel plates interleaved with a resiliently compressible material to eject ink from the nozzle chamber via the ejection port and a method comprising the steps of producing a potential difference across the plates so as to attract adjacent plates to one another and thereby causing the compressible material to resiliently yield and further reducing the potential difference such that the compressible material returns to its quiescent state, thereby resulting in the ejection of ink from the ejection port. The resilient yielding of the compressible material results in ink being drawn into the nozzle chamber by means of surface tension effects around the ink ejection port.
In accordance, with a second aspect of the present invention, there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator, which comprises a series of conductive parallel plates interleaved with a resiliently compressible material, to eject ink from the nozzle chamber via the ink ejection port and a control means for producing a potential difference across the plates so that the material is resiliently, such that, upon deactivation, the electrostatic actuator causes ink to be ejected via the ink ejection port. Advantageously the compressible material comprises a material having a high dielectric constant, such material including piezo electric' electrostrictive or materials which can be switched between a ferro-electric and an anti-ferro-electric phase. Advantageously the electrostatic actuator is constructed utilizing semi-conductor fabrication techniques by laying down one planar layer at a time so as to form an initial sandwiched preform, and subsequently selectively etching the preform so as to provide for an electrical interconnect to the conductive parallel plates. Further groups of the series of the conductive parallel plates are constructed from different materials so as to allow for the selective etching of the plates so as to divide them into 2 groups of different polarities during operation. The plates from each group are interconnected to a common conductive portion for the provision of a charge to the conductive plates. Advantageously, the plates are constructed utilizing chemical vapor deposition techniques. The outer surface of the nozzle chamber of the ink jet nozzle includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction. In accordance with a further aspect of the present invention there is provided an ink jet printing nozzle apparatus with a connected ink supply chamber, the apparatus comprising an ink ejection means having one surface in fluid communication with the ink in the nozzle chamber, a recoil means connected to the ink ejection means and a first actuator means connected to the ink ejection means.
The method of ejecting ink from the ink chamber can comprise the steps of activation of the first actuator means which drives the ink ejection means from a quiescent position to a pre-firing position and deactivation of the first actuator means, causing the recoil means to drive the ink ejection means to eject ink from the nozzle chamber through the ink ejection port. Further, the recoil means can include a resilient member and the movement of the first actuator results in resilient movement of this recoil means and the driving of the ink ejection means can comprise the resilient member acting upon the ink ejection means. Preferably, the first actuator means can comprise an electromagnetic actuator and the recoil means comprises a torsional spring. The ink ejection means and the first actuator can be interconnected in a cantilever arrangement wherein small movements of the first actuator means result in larger movements of the ink ejection means. Advantageously, the recoil means is located substantially at the pivot point of the cantilever construction.
The first actuator can include a solenoid coil surrounded by a magnetic actuator having a first mixed magnetic pole and a second moveable magnetic pole, such that, upon activation of the coil, the poles undergo movement relative to one another with the moveable magnetic pole being connected to the actuator side of the cantilever construction. Preferably, the moveable magnetic pole includes a plurality of slots for the flow of ink through the pole upon movement. The ink ejection means can comprise a piston or plunger or having a surface substantially mating with at least one surface of the nozzle chamber.
In accordance with a further aspect of the present invention there is provided an ink jet nozzle arrangement having an ink ejection port for the ejection of ink comprising a nozzle chamber interconnected to the ink ejection port and having one moveable wall including an electromagnetic coil, and the nozzle chamber is in a magnetic field such that, upon activation of the electromagnetic coil the moveable wall experiences a force and is caused to move so as to result in the ejection of ink from the nozzle chamber via the ink ejection port.
Further, the moveable wall can be caused to pivot upon activation and interconnects the nozzle chamber with an ink supply chamber and the nozzle chamber is refilled from the ink supply chamber upon the ejection of ink. Preferably the moveable wall is interconnected to the nozzle chamber wall by a resilient means. The resilient means acts to return the moveable wall to a quiescent position upon deactivation of the electromagnetic coil. Advantageously, the electromagnetic coil includes multiple layers substantially comprised of copper. Further, the ink jet nozzle can be in a magnetic, permanent field, which is provided by neodymium iron boron magnets.
In accordance with a further aspect of the present invention there is provided an ink jet printing nozzle apparatus comprising a nozzle chamber in fluid communication with an ink chamber and utilized for the storage of ink to be printed out by the nozzle apparatus, the nozzle chamber having a nozzle chamber outlet hole for the ejection of ink from the nozzle chamber, a magnetic piston located over an aperture in the nozzle chamber and an activation coil located adjacent to the magnetic piston, so that upon activation by a current, force is applied to the piston sufficient to cause movement of the piston from a first position to a second position, this movement causing ink within the nozzle chamber to be ejected from the nozzle chamber through a nozzle chamber outlet hole onto a print media.
Further, the printing nozzle apparatus can comprise a series of resilient means attached to the magnetic piston so as to return the magnetic piston to the first position upon deactivation of the activation Coil. Preferably, the resilient means comprises at least one torsional spring. The ink jet nozzle apparatus is constructed utilizing semi conductor fabrication techniques, and the magnetic piston and/or coils are constructed from a dual damascene process. Advantageously, the nozzle chamber outlet hole includes a nozzle rim adapted to reduce hydrophilic surface spreading of the ink. Preferably, the activation coil is constructed from a copper deposition process and the magnetic piston is constructed from a rare earth magnetic material. Further, the resilient means in the ink jet printing nozzle apparatus can be constructed from silicon nitride.
In accordance with an aspect of the present invention there is provided an ink jet nozzle comprising an ink reservoir containing an ink supply under an oscillating pressure, a nozzle chamber having an ink ejection port for the ejection of ink drops onto a print media, and a shutter means interconnecting the reservoir and the nozzle chamber, which is operable by means of electromagnetic actuation so as to control the ejection of ink from the ejection port. In one embodiment the actuation can comprise activating an electromagnet so as to move an arm interconnected to at least one end of the shutter means, thereby opening a channel for the flow of ink, followed by maintaining a lower keeper current so as to maintain the channel in an open state, followed by deactivation of the electromagnet, and the subsequent returning of the shutter to a closed position. Preferably the electromagnet includes a first and second end, wherein each of the ends are positioned closely adjacent to the arm and the electromagnetic actuation includes movement of the arm closer to both of the ends. Further, the arm is pivoted between the first and second end of the electromagnet, and the electromagnet has a spiral shape.
Advantageously, the ink jet print nozzle includes a resilient means connected to the shutter means which is elastically deformed by the electromagnetic actuation and operates to return to an initial state upon deactivation of the shutter means so as to restrict the further flow of fluid from the ink reservoir to the nozzle chamber. Preferably the resilient means can include a coiled spring. The ink jet print nozzle is formed utilizing semiconductor fabrication techniques from a copper coil surrounding a soft metal core. The copper coil can be formed from utilizing a Damascene process. Preferably the shutter means comprises a series of moveable slats, moveable over an aperture in the wall of the nozzle chamber.
In accordance with a further aspect of the present invention, there is provided a method of ejecting ink from an ink jet print nozzle comprising utilizing an electromagnetically activated shutter to control the flow of ink into a nozzle chamber such that ink is ejected from the nozzle chamber when the shutter is open utilizing a first high pressure cycle of a pressurised ink supply for the ejection of the ink, a low pressure cycle for the separation of the ejected drop from the ink in the nozzle chamber and a second high pressure cycle of the pressurised ink supply for refilling the nozzle chamber with ink. In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber and a magnetic actuator located between the nozzle chamber and the ink supply reservoir which is actuated to eject ink by means of externally supplied magnetic pulse cycles.
Further, the ink jet nozzle comprises a part of an array of nozzles and each of the nozzles further comprises a blocking means, for blocking movement of the magnetic actuator for those nozzles of which it is desired not to eject ink from the nozzle chambers in a current magnetic pulse cycle. Preferably the blocking means comprises a thermal actuator having a moveable end protuberance which is moveable to a position blocking the path of movement of the magnetic actuator. The magnetic actuator can include an end protuberance designed to engage the blocking means upon movement of the actuator. Advantageously the magnetic actuator is affixed to an adjacent wall of the nozzle chamber by means of two bendable strip portions which allow bending movement of the magnetic actuator upon activation by the externally supplied magnetic pulse cycles.
Further the thermal actuator can comprise substantially two arms affixed to a substrate, a first arm having a thin serpentine structure encased in a material having a high coefficient of thermal expansion and a second arm comprising a thicker arm having a tapered thin portion near the end connecting to the substrate so as to concentrate any bending of the thermal actuator at a point close to the substrate. The blocking means can be located in a cavity having a low degree of fluid flow through the cavity and preferably, the serpentine arm of the thermal actuator is located alongside an inner wall of the cavity.
The ink jet nozzle is constructed via fabrication of a silicon wafer utilizing semi-conductor fabrication techniques. Advantageously, the actuators include a silicon nitride covering as required so as to insulate and passivate them from adjacent portions. Further the nozzle chambers can be formed from high density low pressure plasma etching of the silicon substrate.
In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port at one wall of the chamber, a fixed electric coil located within the chamber or within a wall of the chamber and a moveable plate, in which embedded is an electric coil, located close to the fixed electric coil such that when the amount of current passing through set coils are altered, the movable plunger plate undergoes corresponding movement towards or away from the fixed electric coil and wherein the movement is utilized to inject ink from the nozzle chamber via the ink injection port.
Further, the ink jet nozzle can comprise spring means connected to the moveable plate wherein the moveable plate goes from a quiescent position to a spring loaded position upon activation of the coils and upon deactivation of the coils the spring means causes the moveable coil to return to its quiescent position and to thereby eject ink from the ink ejection port. Preferably, the fixed electric coil of the moveable plunger plate comprises a stacked multi level spiral of conductive material and the stacked conductive material is interconnected at a central axial point of the spiral. The coils are electrically connected together to form a combined circuit.
Further, the spring means comprises torsional springs attached to the moveable coil and a conductive strip contact to the coils is located within the torsional springs. Advantageously, the coil comprises substantially copper and is formed from utilization of a damascene construction. The nozzle can be constructed utilizing a sacrificial etch to release the structure of the moveable coil. Preferably, the nozzle chamber includes a series of slots within the walls of the nozzle chamber so as to allow the supply of ink to the nozzle chamber and an outer surface of the nozzle chamber includes a series of small etched holes for the etching of any sacrificial layer utilized in the construction of the ink jet print nozzle.
In accordance with a further aspect of the present invention there is provided a means of ejecting ink from a nozzle chamber utilizing the electro-magnetic forces between two coils embedded into place to cause movement of at least one of the plates, the movement further causing the consequential ejection of ink from the nozzle chamber. Further, the utilization of electro-magnetic forces comprises using the electro-magnetic forces between coils embedded into a moveable and a fixed plate so that the moveable plate moves closer to the fixed plate, the moveable plate πirther being connected to a spring which upon the movement, stores energy within the spring such as that upon deactivation of a current through the coil, the spring releases its stored energy to thereby cause the movement of the moveable plate so as to cause the ejection of ink from the nozzle.
In accordance with a further aspect of the present invention, an ink jet nozzle arrangement is presented comprising: a nozzle chamber having an ink ejection port for the ejection of ink, an ink supply reservoir for supplying ink to the nozzle chamber, a plunger located within the nozzle chamber and further, a linear stepper actuator interconnected to the plunger and adapted to actuate the plunger so as to cause the ejection of ink from the ink ejection port. At least one surface of the plunger located alongside a wall of the nozzle chamber is hydrophobic. Preferably, the linear actuator interconnected to the plunger in the jet nozzle chamber is driven in three phases by a series of electromagnets. Preferably, a series of twelve electromagnets is arranged in opposing pairs alongside the linear actuator. Further, each phase is duplicated resulting in four electromagnets for each phase. The ink jet nozzle has an open wall along a back surface of the plunger which comprises a series of posts adapted to form a filter to filter ink flowing through the open wall into the nozzle chamber. The linear actuator construction includes a guide at the end opposite to the nozzle chamber for guiding the linear actuator. In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber, a shutter for opening and closing a fluid passage between the reservoir and chamber so as to cause the ejection of ink from the ink ejection port and the shutter includes a ratcheted edge for moving the shutter to an open or closed position via the utilization of an actuator driven driving means. Further, the driving means can include a gearing means interconnected to a driving means wherein the gearing means results in a reduced driving frequency of the ratcheted edge relative to the frequency of operation of the driving means. Preferably, the driving means includes utilizing a conductive element in a magnetic field to exert a force on the ratcheted edge and utilizing a conductive element in a magnetic field to exert a force on a cog of a gearing mechanism with the gearing mechanism utilized to transfer the force on the ratcheted edge. Advantageously, the conductive element includes a concertinaed structure designed to expand or contract upon movement of the conductive element.
The shutter mechanism can include a series of slots having corresponding retainers utilized in guiding the shutter between the reservoir and the nozzle chamber and the shutter is formed through the fabrication of an array of nozzles on a silicon wafer structure. Preferably, the ink within the ink supply reservoir is driven with an oscillating ink pressure. In accordance with a further aspect of the present invention, there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber, and a tapered magnetic plunger located between the nozzle chamber and the ink supply reservoir, which is surrounded by an electromagnetic device such that upon activation of the device the magnetic plunger is forced towards the ink ejection port to thereby cause the ejection of ink from the ink ejection port. Preferably the plunger is substantially circular and has a tapered rim at adjacent portions of the electromagnetic device. The electromagnetic device is of a cylindrical shape and the plunger is located in the centre of the cylinder. Advantageously, the plunger is further connected to a resilient means which allows for the return of the plunger to its original position upon deactivation of the electromagnetic device. The magnetic plunger is connected to a side wall of the nozzle chamber by means of a series of springs which radially spiral out to the side walls. Preferably the springs are foimed from tensional release of a deposited material. Further the deposited material can include nitride.
In accordance with a further aspect of the present invention there is provided a shuttered grill ink jet printer, wherein the shutter is electromagnetically actuated from a closed to an open position so as to allow the ejection from a chamber onto print media. In accordance with a further aspect of the present invention there is provided a shuttered ink jet nozzle comprising an ink chamber having an ink ejection nozzle for the ejection of ink from the ink chamber, an ink reservoir for the supply of ink to the ink chamber under pressure, and a shutter device located between the ink reservoir and the ink chamber so as to allow or restrict the flow of ink between the ink chamber and ink reservoir to thereby cause the ejection of ink from the chamber, wherein the shutter device is being actuated on demand. Further the actuator can comprise an electromagnetic coil mechanism attracting a magnetic bar. Preferably the coil is anchored to a wafer and the magnetic bar is connected to a shutter plate adapted to open and close over a series of shutter holes allowing fluid communication between the ink reservoir and the ink chamber. Advantageously the shuttered ink jet nozzle can comprise an actuator that includes at least one linear spring so as to amplify the travel of a shutter plate covering shutter holes upon activation of the actuator. The linear spring is anchored on one side of the ink chamber and the electromagnetic coil is anchored to an opposite side of the ink chamber with a shutter plate operable between the linear spring anchor and the electromagnetic anchor. Preferably the ink reservoir includes ink under oscillating ink pressure. The shutter device can comprise a plurality of shutter plates covering a corresponding plurality of shutter holes allowing the flow of ink between the ink chamber and the ink reservoir. Further the ink chamber can be formed by a crystallographic etch of a silicon wafer. The ejection frequency of drops from the nozzle chamber can be substantially half the frequency of an oscillating pressure of the ink within the ink reservoir. Advantageously, arrays of ink jet nozzles are grouped into separate groups and each group is activated in turn so as to reduce pressure requirements in the ink jet reservoir.
In accordance with a further aspect of the present invention there is provided a method of operation of a shuttered ink jet print nozzle having a nozzle chamber and ink reservoir, the ink reservoir having an oscillating ink pressure, comprising opening the shutter to cause ink to be ejected from the nozzle chamber resulting in a reduction of ink in the nozzle chamber, followed by leaving the shutter open during a subsequent high pressure of the ink pressure so as to allow the nozzle chamber to refill, followed by closing the shutter at the end of a high pressure cycle so as to restrict back flow of ink from the nozzle chamber to the ink reservoir.
In accordance with a further aspect of the present invention, an ink jet print nozzle arrangement is presented comprising an ink ejection chamber having an ejection port for the ejection of ink, which is in fluid communication with an ink reservoir for the supply of ink to be ejected, where at least one wall of the chamber comprises a moveable diaphragm actuated by means of a Lorenz force so as to cause the consequential ejection of ink from the ejection chamber. The moveable diaphragm can be of a corrugated or concertinaed form and includes an embedded conductive coil. Upon actuation of the diaphragm by the Lorenz interaction between a current in the conducted coils and a static magnetic field, the diaphragm is expandable by a concertina action. Preferably, the diaphragm is formed through utilization of an appropriately half-toned mask. The ink chamber in the ink jet print nozzle can be formed by means of an isotropic etch of a silicon wafer.
In accordance with a further aspect of the present invention there is provided an ink jet nozzle utilizing the phase transformation of a magnetostrictive material in a magnetic field as an actuator to cause the ejection of ink from the chamber. Further, the method can include a magnetostrictive petal in a quiescent state which transforms to an ink ejection state upon the application of a magnetic field thereby causing ink ejection from the chamber. Preferably the magnetic field is applied by means of passing a current through a conductive coil adjacent that magnetostrictive material. The ink chamber is formed from a crystallographic etch of the silicon wafer so as to have one surface of the chamber substantially formed by the actuator, which is attached to one wall of the chamber opposite the nozzle port from which ink is ejected. Advantageously, the nozzle port is formed by the back etching of a silicon wafer to a buried epitaxial layer and etching a nozzle port hole in the epitaxial layer. Further the crystallographic etch includes providing side-wall slots of non-etched layers of a processed silicon wafer so as to extend the dimensions of the chamber as a result of the crystallographic etch process. Preferably the magnetostrictive shape memory alloy comprises substantially Terfanol-D. In accordance with a further aspect of the present invention there is provided an ink jet nozzle arrangement comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator to eject ink from the nozzle chamber via the ink ejection port, and a magnetic field actuation means for producing a magnetic field around the magnetostrictive actuator so as to cause magnetostrictive operation of the actuator, thereby causing the actuator to eject ink from the ink ejection port. Preferably the magnetic field actuation means comprises a conductive coil surrounding the magnetostrictive actuator. Further the ink jet nozzle arrangement can be formed on a silicon wafer utilizing semiconductor processing techniques and the conductive coil is interconnected to a lower metal layer which provides control circuitry for the ink jet printer.
In accordance with a further aspect of the present invention there is provided a method of ejecting ink from a chamber comprising utilization of the transformation of a shape memory alloy from its martensitic phase to its austenitic phase (or visa versa) as an actuator to cause the ejection of ink from the chamber. Further, the actuator can comprise a conductive shape memory alloy panel in a quiescent state which transforms to an ink injection state upon heating thereby causing ink ejection from the chamber. Preferably, the heating occurs by means of passing a current through the shape memory alloy. The chamber can be formed from a crystallographic etch of a silicon wafer so as to have one surface of the chamber substantially formed by the actuator. Advantageously, the actuator is formed from a conductive shape memory alloy arranged in a serpentine form and is attached to one wall of the chamber opposite a nozzle port from which ink is ejected. Further, the nozzle port can be formed by the back etching of a silicon wafer to the epitaxial layer and etching a nozzle port hole in the epitaxial layer. The crystallographic etch can include providing side wall slots of non-etched layers of a processed silicon wafer so as to the extend the dimensions of the chamber as a result of the crystallographic etch process. Preferably, the shape memory alloy comprises nickel titanium alloy.
In accordance with a further aspect of the present invention, there is provided an ink jet nozzle arrangement for the ejection of ink from an ink ejection nozzle comprising: a substrate; a conductive coil formed on the substrate and operable in a controlled manner; a moveable magnetic actuator surrounding the conductive coil and forming an ink nozzle chamber between the substrate and the actuator, the moveable magnetic actuator further including an ink ejection nozzle defined therein; wherein variations in the energization level of the conductive coil cause the magnetic actuator to move from a first position to a second position, thereby causing a consequential ejection of ink from the nozzle chamber as a result of fluctuations in the ink pressure within the nozzle chamber.
The arrangement can further include an ink supply channel interconnecting the nozzle chamber for the resupply of ink to the nozzle chamber. The interconnection can comprise a series of elongated slots etched in the substrate. The substrate can comprise a silicon wafer and the ink supply channel can be etched through the wafer.
The moveable magnetic actuator can be moveable from a first position having an expanded nozzle chamber volume to a second position having a contracted nozzle chamber volume by the operation of the conductive coil. The arrangement can further include at least one resilient member attached to the moveable magnetic actuator, so as to bias the moveable magnetic actuator, in its quiescent position, at the first position. The at least one resilient member can comprise a leaf spring.
A slot can be defined between the magnetic actuator and the substrate and the actuator portions adjacent the slot can be hydaphobically treated so as to minimize wicking through the slot.
A magnetic base plate located between the conductive coil and the substrate such that the magnetic actuator and the nozzle plate substantially encompasses the conductive coil. The magnetic actuator can be formed from a cobalt nickel iron alloy.
IJM Consistory Clauses
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a radiant plunger ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (f) depositing and etching a sacrificial material layer in the region of the first magnetic plate and the coil, the etching including defining apertures for a series of spring posts; (g) depositing and etching a second layer having a high saturation flux density so as form an interconnected second magnetic plate, series of attached springs and spring posts; (h) etching the back of the wafer to the epitaxial layer; (i) etching an ink ejection nozzle through the epitaxial layer interconnected with the nozzle chamber cavity; and (j) etching away any remaining sacrificial layers.
The step (f) further can comprise etching cavities defining a series of spring posts and the step (g) preferably can include forming a series of leaf springs interconnected with the first magnetic plate for resiliently biasing the magnetic plate in a first direction. The conductive layer can comprise substantially copper.
The etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
The magnetic flux material can comprise substantially a cobalt nickel iron alloy and the wafer can comprise a double side polished CMOS wafer.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with a further aspect of the present invention, there is provided a method of manufacturing an electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) forming a bottom electrode layer of conductive material on or in the electrical circuitry layer; (c) depositing and etching a first hydrophobic layer on the electrode layer; (d) depositing and etching a first sacrificial layer of sacrificial material on the first hydrophobic layer; (e) depositing and etching a top electrode layer of conductive material on the first sacrificial layer the top electrode layer including predetermined portions interconnecting with the electrical circuitry layer; (f) depositing and etching a membrane layer on the top electrode layer; (g) depositing and etching a second sacrificial layer on the membrane layer, the second sacrificial layer forming a blank for the nozzle chamber walls; (h) depositing and etching an inert material layer on the second sacrificial layer so as to form the nozzle chamber walls surrounding the nozzle chamber in addition to a nozzle fluid ejection hole interconnecting with the nozzle chamber; (i) etching an ink supply channel interconnecting with the nozzle chamber; (j) etching away the sacrificial layers so as to leave an operational device.
The top electrode layer and the membrane layer can include a concertina edge so as to allow for movement of the membrane layer. The bottom electrode layer can be formed from a metal plane layer of the circuitry layer.
The ink supply channel can be formed by etching a channel from the back surface of the wafer. The step (h) preferably can include etching a nozzle rim around the nozzle fluid ejection hole and a series of small holes in at least one wall of the nozzle chamber. The hydrophobic layer can comprise substantially polytetrafluroethylene. The steps are preferably also utilized to simultaneously separate the wafer into separate printheads In accordance with a further aspect of the present invention, there is provided a method of manufacturing a stacked electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate. In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer thereon on including etched vias for interconnection of the circuitry with subsequent layers; (b) repeatedly depositing a series of planar layers on the electrical circuitry layer the planer layers including a first conductive layer, a second conductive layer and an intermediate compressible non conductive layer; (c) etching the planar layer so as to form a series of stacked alternating structures; (d) isolating at least one first edge of a stacked alternating structure; (e) etching the second conductive layer and the intermediate compressible layer along the edge so as to expose the first conductive layer; (f) isolating a second edge of the stacked alternating structure; (g) etching the first conductive layer and the intermediate compressible layer along the second edge so as to expose the second conductive layer; (h) depositing and etching a third conductive layer having first portions interconnected along the first edge to the first conductive layer and a second portions interconnected along the second edge to the second conductive layer, the first and second portions being interconnected to corresponding portions in the electrical circuitry layer; (i) depositing and etching a sacrificial material layer on the wafer, the etching forming a mould for a subsequent nozzle chamber layer; (j) depositing and etching an inert material layer over the sacrificial layer so as to form a nozzle chamber surrounding the conductive layers in addition to an ink ejection hole; (k) etching an ink supply channel through portions of the wafer to interconnect with the nozzle chamber; and (1) etching away the sacrificial material layer.
The step (j) preferably can include etching a series of small holes in a wall of the nozzle chamber interconnecting the chamber with the ambient atmosphere. The first conductive layer and the second conductive layer are preferably formed from different conductive material. The compressible layer can comprise substantially elastomer. The method further preferably can include swelling the elastomer along the edges. The ink supply channel can be etched through the wafer from a back surface of the wafer.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads. In accordance with a further aspect of the present invention, there is provided a method of manufacturing a reverse spring level ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (f) depositing and etching a sacrificial material layer in the region of the first magnetic plate and the coil, the etching including defining apertures for a series of spring posts, a lever arm and interconnected nozzle paddle; (g) depositing and etching a second layer having a high saturation flux density so as form an interconnected second magnetic plate, a lever arm attached to a nozzle paddle and a series of spring posts around which the lever arm pivots; (h) etching the back of the wafer to the epitaxial layer; (i) etching an ink ejection nozzle through the epitaxial layer interconnected with the nozzle chamber cavity; and (j) etching away any remaining sacrificial layers.
The step (f) further can comprise etching cavities defining a series of spring posts and the step (g) preferably can include forming a series of torsional pivot springs interconnected with the lever arm for resiliently biasing the second magnetic plate substantially against the first magnetic plate.
The conductive layer can comprise substantially copper and the magnetic flux material can comprise substantially a cobalt nickel iron alloy.
The etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a paddle type ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on, in addition to a top protecting layer having a series of vias interconnected to predetermined portions of the circuitry layer; (b) forming on the semiconductor wafer layer a first conductive layer including a first conductive coil interconnected to predetermined portions of the circuitry layer; (c) depositing and etching, on the first conductive layer, a non-conductive layer including predetermined vias for the interconnection of subsequent layers with lower layers; (d) forming a second conductive layer on the nonconducting layer, including a second conductive coil and the interconnection of predetermined portions of the coil with the first conductive coil and the circuitry layer; (e) depositing and etching a second non-conductive layer over the second conductive layer the etching including etching a series of slots in the second non-conductive layer; (f) etching a series of slots through the first and second non- conductive layers, the first and second conductive layers so as to define a nozzle paddle; (g) etching the semiconductor wafer under the nozzle paddle so as to define a nozzle chamber; (h) back etching the semiconductor wafer to the epitaxial layer; and (i) etching the epitaxial layer to define a nozzle ejection hole therein interconnecting with the nozzle chamber;
The step (g) can comprise a crystallographic etch and can utilize the epitaxial layer as an etch stop. The step (i) preferably can include etching a series of small holes in a wall of the nozzle chamber interconnecting the chamber with the ambient atmosphere.
The first conductive layer and the second conductive layer are preferably foimed from substantially copper. The steps are preferably also utilized to simultaneously separate the wafer into separate printheads. In accordance with a further aspect of the present invention, there is provided a method of manufacturing a permanent magnet electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the wafer.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer foimed thereon on; (b) depositing and etching a first inert layer, the etching including etching predetermined vias and a nozzle chamber aperture; (c) forming a first conductive coil layer on the first inert layer around the nozzle aperture, the conductive coil layer including predetermined portions interconnecting with the electrical circuitry layer; (d) utilizing the nozzle aperture to etch a nozzle chamber in the wafer; (e) depositing and etching a sacrificial material layer over the wafer including the nozzle chamber, the etching including etching a series a mould for a series of magnet suspension posts and a permanent magnet above the nozzle aperture; (f) deposit and etch a magnetic material layer, the magnetic material layer forming a permanent magnet above the nozzle aperture; (g) deposit and etching an inert material layer interconnecting the permanent magnet to a series of spring posts in a resilient manner; (h) back etching the wafer substantially to the buried epitaxial layer; (i) etching a nozzle fluid ejection aperture through the buried epitaxial layer; (j) etching away the sacrificial layer. The conductive coil layer can be formed by first depositing and etching a sacrificial layer forming a mould for the conductive coil layer. The conductive coil layer can be foimed utilizing chemical mechanical planarization and can comprise substantially copper.
The first inert layer can comprise substantially silicon nitride.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads. In accordance with a further aspect of the present invention, there is provided a method of manufacturing a planar swing grill electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching an inert material layer including a grill structure over the nozzle chamber aperture and vias for electrical interconnection of subsequent layers with the electrical circuitry layer; (e) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g) depositing and etching a second sacrificial layer including etching a mould for a fixed magnetic pole, a pivot, a series of springs and spring posts; (h) depositing and etching a high saturation flux material layer to form the fixed magnetic pole, the pivot, an interconnected shutter grill lever arm and the springs and spring posts; (i) depositing and etching a second inert material layer over the high saturation flux material layer including predetermined vias for interconnection of lower layers with subsequent layers; (j) depositing and etching a second conductive material layer including a side electrical coil portion interconnected with the first conductive material layer; (k) depositing and etching a third conductive material layer including a top electrical coil portion interconnected with the side conductive material layer; (1) depositing and etching a top inert material layer as a corrosion barrier; (m) back etching the wafer to the epitaxial layer; (n) etching a nozzle aperture in the epitaxial layer; and (o) etching away the sacrificial layers.
The steps further can include the simultaneous formation of a shutter grill guard around the shutter.
The epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
The conductive layers can comprise substantially copper and the inert layers can comprise substantially silicon nitride.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a pulse magnetic field ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a two plate reverse firing electromagnetic ink jet print head wherein an array of nozzles are foimed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first lower fixed coil layer of conductive material having predetermined interconnections with the electrical circuitry layer; (c) depositing and etching a first protective layer over the fixed coil layer; (d) depositing and etching a second moveable coil layer of conductive material having predetermined interconnections with the electrical circuitry layer; (e) depositing and etching a second protective layer over the second moveable coil layer; (f) depositing and etching a sacrificial material layer over the second moveable coil layer; (g) depositing and etching an inert material over the sacrificial material layer to from a nozzle chamber around the first and second coil layer; (h) etching an ink supply channel interconnected with the nozzle chamber; (i) etching away the sacrificial material.
The method further preferably includes the step of forming a hydrophobic layer between the first and second coil layer.
The first and second coil layers are preferably foimed in an inert material layer and are foimed utilizing a dual damascene process. The ink supply channel can be formed by etching a channel from the back surface of the wafer with the step
(h) preferably including etching a series of small holes in at least one wall of the nozzle chamber.
The hydrophobic layer can comprise substantially polytetrafiuroethylene. Further, the method can include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects. The wafer can comprise a double side polished CMOS wafer. The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a linear stepper actuator ink jet print head wherein an array of nozzles are foimed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer. The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry formed thereon on; (b) depositing and etching a first sacrificial layer forming a lower electrical coil mould; (c) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g) depositing and etching a second sacrificial layer including etching a mould for a fixed magnetic pole, a series of moving poles, horizontal guides and a core pusher rod; (h) depositing and etching a high saturation flux material layer to form the fixed magnetic pole, the series of moving poles, the horizontal guides and the core pusher rod; (i) depositing and etching a second inert material layer over the high saturation flux material layer including predetermined vias for interconnection of lower layers with subsequent layers; (j) depositing and etching a second conductive material layer including a side electrical coil portion interconnected with the first conductive material layer; (k) depositing and etching a third conductive material layer including a top electrical coil portion interconnected with the side conductive material layer; (1) depositing and etching a hydrophobic material layer to form a plunger element surrounding the core pusher rod; (m) depositing and etching a third sacrificial material layer to form a nozzle chamber mould; (n) depositing and etching a third layer of inert material around the plunger element forming a nozzle chamber; (o) etching an ink supply channel to the nozzle chamber; (p) etching away the sacrificial layers. The conductive layers can comprise substantially copper and the inert layers can comprise substantially silicon nitride. The hydrophobic layer can comprise substantially polytetrafluroethylene. The wafer can comprise a double side polished CMOS wafer.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads. In accordance with a further aspect of the present invention, there is provided a method of manufacturing a print head wherein an array of nozzles are foimed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a tapered magnetic pole electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer foimed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) filling the nozzle chamber cavity with a first sacrificial material layer; (d) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (e) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (f) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (g) depositing and etching a sacrificial material layer in the region of the first magnetic plate and the coil; (h) depositing and etching a second layer having a high saturation flux density so as form a second magnetic plate over the nozzle chamber surrounded by an annulus; (i) depositing and etching an inert material layer interconnecting the magnetic plate and the annulus in a resilient manner; (j) etching the back of the wafer to the epitaxial layer; (k) etching an ink ejection nozzle through the epitaxial layer interconnected with the nozzle chamber cavity; and (k) etching away any remaining sacrificial layers.
The conductive layer can comprise substantially copper, the magnetic flux material can comprise substantially a cobalt nickel iron alloy and the inert material can comprise silicon nitride. The method can also include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects.
The etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
The second magnetic plate preferably can include a tapered portion adjacent the nozzle chamber. The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a linear spring electromagnetic grill ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching an inert material layer including a grill structure over the nozzle chamber aperture and vias for electrical interconnection of subsequent layers with the electrical circuitry layer; (e) depositing and etching a first conductive material layer including a series of lower electrical coil portions interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g) depositing and etching a second sacrificial layer including etching a mould for a solenoid, a fixed magnetic pole, and a linear spring anchor; (h) depositing and etching a high saturation flux material layer to form the series of fixed magnetic poles, a linear spring, the linear spring anchor and an interconnected shutter grill; (i) depositing and etching a second inert material layer over the high saturation flux material layer including predetermined vias for interconnection of lower layers with subsequent layers; (j) depositing and etching a second conductive material layer including side electrical coil portions surrounding the series of fixed magnetic poles interconnected with the first conductive material layer; (k) depositing and etching a third conductive material layer including a top electrical coil portion interconnected with the side conductive material layer; (1) depositing and etching a top inert material layer as a corrosion barrier; (m) back etching the wafer to the epitaxial layer; (n) etching a nozzle aperture in the epitaxial layer; and (o) etching away the sacrificial layers.
The epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
The high saturation flux material can comprise substantially a cobalt nickel iron alloy and the conductive layers can comprise substantially copper with the inert layers comprising substantially silicon nitride.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads. In accordance with a further aspect of the present invention, there is provided a method of manufacturing a Lorenz diaphragm electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate. In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer of sacrificial material, filling the nozzle chamber cavity, the etching including etching a series of concertinaed ridges in the sacrificial layer above the nozzle chamber cavity; (d) depositing and etching a first inert material layer on the concertinaed ridges, the first inert material layer retaining a series of concertined ridges on the surface thereof; (e) depositing and etching a first conductive material layer over the concertinead ridges of the first inert material layer to form a coil layer having a series of concertianed wire portions over the nozzle cavity; (d) depositing and etching a second inert material layer on the first conductive material layer, the second first inert material layer retaining a series of concertined ridges on the surface thereof; (e) etching the back of the wafer to the epitaxial layer; (f) etching an ink ejection nozzle through the epitaxial layer interconnected with the nozzle chamber cavity; and (g) etching away any remaining sacrificial layers.
The inert material layers can comprise substantially silicon nitride and the conductive layer can comprise substantially copper.
The etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with a further aspect of the present invention, there is provided a method of manufacturing a PTFE surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are foimed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer foimed thereon on; (b) etching a nozzle inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including forming a moveable paddle element from the combination of the first and second expansion material layers and the first conductive layer; (g) depositing and etching a second sacrificial material layer, the etching forming a nozzle chamber mould; (h) depositing and etching an inert material layer over the sacrificial material layer so as to form a nozzle chamber around the moveable paddle, the etching including etching a nozzle ejection aperture in the inert material layer; (i) etching an ink supply channel through the wafer; and (j) etching away the sacrificial layers. The step (h) preferably can include etching a series of small holes in the inert material layer.
The first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
The ink supply channel can be formed by etching a channel from the back surface of the wafer which can comprise a double side polished CMOS wafer.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with an aspect of the present invention, there is provided a method of manufacturing a magnetostrictive ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
In accordance with an aspect of the present invention, there is provided a method of manufacturing a shape memory alloy print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
The print heads can be foimed utilizing standard vlsi/ulsi processing and can include integrated drive electronics foimed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to said substrate.
In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber in the wafer and the electrical circuitry layer; (c) depositing and etching a layer of sacrificial material, filling the nozzle chamber; (d) depositing and etching a layer of shape memory alloy forming a conductive paddle structure over the nozzle chamber attached to the electrical circuitry layer; (e) back etching the semiconductor wafer to the epitaxial layer; (f) etching the epitaxial layer to define a nozzle ejection hole therein interconnecting with the nozzle chamber; (g) etching away the sacrificial layers.
The step (b) utilizes the epitaxial layer as an etch stop and can comprise a crystallographic etch. The shape memory alloy can comprise substantially nitinol.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
In accordance with an aspect of the present invention, there is provided a method of manufacture of a Coil Actuated Magnetic Plate Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. Multiple ink jet heads are preferably formed simultaneously on a single planar substrate. The substrate can be a silicon wafer.
The print heads are preferably foimed utilizing standard vlsi/ulsi processing. Integrated drive electronics are preferably foimed on the same substrate. The integrated drive electronics can comprise a CMOS process.
Ink can be ejected from the substrate substantially normal to the substrate. In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a series of slots in at least the circuitry layer to define a nozzle cavity inlet; (c) depositing and etching a first layer of magnetic flux material on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching a insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer in for form of a conductive coil conductively interconnected to the electrical circuitry layer; (f) depositing and etching a hydrophobic material layer in the region of the conductive coil;
(g) depositing and etching a sacrificial material layer in the region of the first magnetic plate and the coil, the etching including defining a cavity for the walls of a nozzle chamber; (h) depositing and etching a second layer of magnetic flux material over the sacrificial material so as to substantially enclose the conductive coil; (i) etching away the sacrificial material; (j) etching an ink supply channel through the wafer to form a fluid communication with the nozzle chamber.
The step (g) further can comprise etching cavities defining a series of spring posts and the step (h) preferably can includes forming a series of leaf springs interconnected with the first magnetic plate for resiliently biasing the magnetic plate in a first direction. The conductive layer can comprise substantially copper. The step (j) can comprise a through wafer etch from a back surface of the wafer.
The method can further include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects and the etching of layers preferably can includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
The magnetic flux material can comprise substantially a cobalt nickel iron alloy and the wafer can comprise a double side polished CMOS wafer.
The steps are preferably also utilized to simultaneously separate the wafer into separate printheads. Brief Description of the Drawings Notwithstanding any other forms which may fall within the scope of the present invention, preferred forms of the invention will now be described, by way of example only, with reference to the accompanying drawings in which:
Fig. 1 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment of the present invention; Fig. 2 is a timing diagram illustrating the operation of an embodiment;
Fig. 3 is a cross-sectional top view of a single ink nozzle constructed in accordance with an embodiment of the present invention;
Fig.4 provides a legend of the materials indicated in Fig. 5 to Fig.21;
Fig. 5 to Fig. 21 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;
Fig. 22 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment;
Fig. 23 is a close-up perspective cross-sectional view (portion A of Fig. 22), of a single ink jet nozzle constructed in accordance with an embodiment; Fig. 24 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 25 provides a legend of the materials indicated in Fig. 26 to Fig. 36; and
Fig. 26 to Fig. 36 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 37 is a perspective view through a single ink jet nozzle constructed in accordance with an embodiment of the present invention;
Fig. 38 is a schematic cross-sectional view of the ink nozzle constructed in accordance with an embodiment of the present invention, with the actuator in its quiescent state; Fig. 39 is a schematic cross-sectional view of the ink nozzle immediately after activation of the actuator.
Fig. 40 is a schematic cross-sectional view illustrating the ink jet nozzle ready for firing; Fig.41 is a schematic cross-sectional view of the ink nozzle immediately after deactivation of the actuator; Fig. 42 is a perspective view, in part exploded, of the actuator of a single ink jet nozzle constructed in accordance with an embodiment of the present invention; Fig. 43 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment of the present invention;
Fig. 44 provides a legend of the materials indicated in Fig. 45 to Fig. 58; and
Fig. 45 to Fig. 58 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle. Fig. 59 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 60 is a perspective view, in part in section, of a single ink jet nozzle constructed in accordance with an embodiment;
Fig. 61 provides a legend of the materials indicated in Fig. 62 to Fig. 78; and Fig. 62 to Fig. 78 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 79 is a cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment in its quiescent state;
Fig. 80 is a cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, illustrating the state upon activation of the actuator;
Fig. 81 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 82 provides a legend of the materials indicated in Fig. 83 to Fig.93; and
Fig. 83 to Fig. 93 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 94 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment;
Fig. 95 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment; Fig. 96 provides a legend of the materials indicated in Fig. 97 to Fig. I l l; and
Fig. 97 to Fig. 111 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 112 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, with the shutter means in its closed position;
Fig. 113 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, with the shutter means in its open position;
Fig. 114 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment; Fig. 115 provides a legend ofthe materials indicated in Fig. 116 to Fig. 137; and
Fig. 116 to Fig. 137 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 138 is a perspective, partly sectional view of a single ink jet nozzle in its quiescent position constructed in accordance with an embodiment; Fig. 139 is a perspective, partly sectional view of a single ink jet nozzle in its firing position constructed in accordance with an embodiment;
Fig. 140 is an exploded perspective illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 141 provides a legend ofthe materials indicated in Fig. 142 to Fig. 156; and Fig. 142 to Fig. 156 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 157 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment in its quiescent state;
Fig. 158 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment after reaching its stop position;
Fig. 159 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment in the keeper face position;
Fig. 160 is a cross sectional view of a single ink jet nozzle as constructed in accordance with an embodiment after de-energizing from the keeper level. Fig. 161 is an exploded perspective view illustrating the construction of an embodiment;
Fig. 162 is the cut out topside view of a single ink jet nozzle constructed in accordance with an embodiment in the keeper level;
Fig. 163 provides a legend ofthe materials indicated in Fig. 164 to Fig. 183; and
Fig. 164 to Fig. 183 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink j et printhead nozzle .
Fig. 184 is a cut-out top view of an ink jet nozzle in accordance with an embodiment;
Fig. 185 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 186 provides a legend ofthe materials indicated in Fig. 187 to Fig. 207; and Fig. 187 to Fig. 207 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 208 is a cut-out top perspective view ofthe ink nozzle in accordance with an embodiment ofthe present invention; Fig. 209 is an exploded perspective view illustrating the shutter mechanism in accordance with an embodiment ofthe present invention;
Fig. 210 is a top cross-sectional perspective view of the ink nozzle constructed in accordance with an embodiment ofthe present invention;
Fig. 211 provides a legend ofthe materials indicated in Fig. 212 to Fig. 225; and Fig. 212 to Fig. 226 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 227 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment;
Fig. 228 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 229 provides a legend ofthe materials indicated in Fig. 230 to Fig. 248; and
Fig. 230 to Fig. 248 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 249 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, in its closed position;
Fig. 250 is a perspective view of a single ink jet nozzle constructed in accordance with an embodiment, in its open position;
Fig. 251 is a perspective, cross-sectional view taking along the line II of Fig. 250, of a single ink jet nozzle in accordance with an embodiment; Fig. 252 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 253 provides a legend ofthe materials indicated in Fig. 254 to Fig. 275; and
Fig. 254 to Fig. 275 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle. Fig. 276 is a schematic top view of a single ink jet nozzle chamber apparatus constructed in accordance with an embodiment;
Fig. 277 is a top cross-sectional view of a single ink jet nozzle chamber apparatus with the diaphragm in its activated stage;
Fig. 278 is a schematic cross-sectional view illustrating the exposure of a resist layer through a halftone mask;
Fig. 279 is a schematic cross-sectional view illustrating the resist layer after development exhibiting a corrugated pattern;
Fig. 280 is a schematic cross-sectional view illustrating the transfer of the corrugated pattern onto the substrate by etching; Fig. 281 is a schematic cross-sectional view illustrating the construction of an embedded, corrugated, conduction layer; and
Fig. 282 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment. Fig. 283 is a perspective view ofthe heater traces used in a single ink jet nozzle constructed in accordance with an embodiment.
Fig. 284 provides a legend ofthe materials indicated in Fig. 285 to Fig. 296; and
Fig. 285 to Fig. 296 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle. Fig. 297 is a perspective cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment;
Fig. 298 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
Fig. 299 provides a legend ofthe materials indicated in Fig. 300 to Fig. 311; and Fig. 300 to Fig. 311 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 312 is an exploded perspective view of a single ink jet nozzle as constructed in accordance with an embodiment;
Fig. 313 is a top cross sectional view of a single ink jet nozzle in its quiescent state taken along line A-A in Fig. 312;
Fig. 314 is a top cross sectional view of a single ink jet nozzle in its actuated state taken along line A-A in Fig. 312;
Fig. 315 provides a legend ofthe materials indicated in Fig. 316 to Fig. 326; and
Fig. 316 to Fig. 326 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle.
Fig. 327 to Fig. 329 are schematic illustrations of the operation of an ink jet nozzle arrangement of an embodiment.
Fig. 330 illustrates a side perspective view, partly in section, of a single ink jet nozzle arrangement of an embodiment; Fig. 331 provides a legend ofthe materials indicated in Fig. 332 to Fig. 347;
Fig. 332 to Fig. 347 illustrate sectional views ofthe manufacturing steps in one form of construction of an ink jet printhead nozzle; Description ofthe Preferred and Other Embodiments
The preferred embodiments and other embodiments will be discussed under separate headings with the heading including an IJ number for ease of reference. The headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.
Description of IJOl F
In Fig. 1, there is illustrated an exploded perspective view illustrating the construction of a single ink jet nozzle 4 in accordance with the principles ofthe present invention. The nozzle 4 operates on the principle of electro-mechanical energy conversion and comprises a solenoid 11 which is connected electrically at a first end 12 to a magnetic plate 13 which is in turn connected to a current source e.g. 14 utilized to activate the ink nozzle 4. The magnetic plate 13 can be constructed from electrically conductive iron. A second magnetic plunger 15 is also provided, again being constructed from soft magnetic iron. Upon energizing the solenoid 11, the plunger 15 is attracted to the fixed magnetic plate 13. The plunger thereby pushes against the ink within the nozzle 4 creating a high pressure zone in the nozzle chamber 17. This causes a movement ofthe ink in the nozzle chamber 17 and in a first design, subsequent ejection of an ink drop. A series of apertures e.g. 20 is provided so that ink in the region of solenoid 11 is squirted out ofthe holes 20 in the top ofthe plunger 15 as it moves towards lower plate 13. This prevents ink trapped in the area of solenoid 11 from increasing the pressure on the plunger 15 and thereby increasing the magnetic forces needed to move the plunger 15.
Referring now to Fig. 2, there is illustrated 30 a timing diagram of the plunger current control signal. Initially, the solenoid current is activated 31 for the movement of the plunger and ejection of a drop from the ink nozzle. After approximately 2 micro-seconds, the current to the solenoid is turned off. At the same time or at a slightly later time 32, a reverse current is applied having approximately half the magnitude ofthe forward current. As the plunger has a residual magnetism, the reverse current 32 causes the plunger to move backwards towards its original position. A series of torsional springs 22, 23 (Fig. 1) also assists in the return ofthe plunger to its original position. The reverse current is turned off before the magnetism ofthe plunger 15 is reversed which would otherwise result in the plunger being attracted to the fixed plate again. Returning to Fig. 1, the forced return ofthe plunger 15 to its quiescent position results in a low pressure in the chamber 17. This can cause ink to begin flowing from the outlet nozzle 24 inwards and also ingests air to the chamber 17. The forward velocity ofthe drop and the backward velocity of the ink in the chamber 17 are resolved by the ink drop breaking off around the nozzle 24. The ink drop then continues to travel toward the recording medium under its own momentum. The nozzle refills due to the surface tension ofthe ink at the nozzle tip 24. Shortly after the time of drop break off, a meniscus at the nozzle tip is foimed with an approximately a concave hemispherical surface. The surface tension will exert a net forward force on the ink which will result in nozzle refilling. The repetition rate of the nozzle 4 is therefore principally determined by the nozzle refill time which will be lOOmicro- seconds, depending on the device geometry, ink surface tension and the volume ofthe ejected drop.
Turning now to Fig. 3, an important aspect ofthe operation ofthe electro-magnetically driven print nozzle will now be described. Upon a current flowing through the coil 11, the plate 15 becomes strongly attracted to the plate 13. The plate 15 experiences a downward force and begins movement towards the plate 13. This movement imparts a momentum to the ink within the nozzle chamber 17. The ink is subsequently ejected as hereinbefore described. Unfortunately, the movement ofthe plate 15 causes a build-up of pressure in the area 64 between the plate 15 and the coil 11. This build-up would normally result in a reduced effectiveness ofthe plate 15 in ejecting ink. However, in a first design the plate 15 preferably includes a series of apertures e.g. 20 which allow for the flow of ink from the area 64 back into the ink chamber and thereby allow a reduction in the pressure in area 64. This results in an increased effectiveness in the operation ofthe plate 15.
Preferably, the apertures 20 are of a teardrop shape increasing in diameter with increasing radial distance of the plunger. The aperture profile thereby providing minimal disturbance of the magnetic flux through the plunger while maintaining structural integrity of plunger 15. After the plunger 15 has reached its end position, the current through coil 11 is reversed resulting in a repulsion ofthe two plates 13, 15. Additionally, the torsional spring e.g. 23 acts to return the plate 15 to its initial position.
The use of a torsional spring e.g. 23 has a number of substantial benefits including a compact layout, and the construction ofthe torsional spring from the same material and same processing steps as that ofthe plate 15.
In an alternative design, the top surface of plate 15 does not include a series of apertures. Rather, the inner radial surface 25 of plate 15 comprises slots of substantially constant cross-sectional profile in fluid communication between the nozzle chamber 17 and the area 64 between plate 15 and the solenoid 11. Upon activation ofthe coil 11, the plate 15 is attracted to the armature plate 13 and experiences a force directed towards plate 13. As a result ofthe movement, fluid in the area 64 is compressed and experiences a higher pressure than its surrounds. As a result, the flow of fluid takes place out ofthe slots in the inner radial surface 25 plate 15 into the nozzle chamber 17. The flow of fluid into chamber 17, in addition to the movement ofthe plate 15, causes the ejection of ink out ofthe ink nozzle port 24. Again, the movement ofthe plate 15 causes the torsional springs, for example 23, to be resiliently deformed. Upon completion ofthe movement ofthe plate 15, the coil 11 is deactivated and a slight reverse current is applied. The reverse current acts to repel the plate 15 from the armature plate 13. The torsional springs, for example 23, act as additional means to return the plate 15 to its initial or quiescent position. Fabrication
Returning now to Fig. 1, the nozzle apparatus is constructed from the following main parts including a nozzle tip 40 having an aperture 24 which can be constructed from boron doped silicon. The radius ofthe aperture 24 ofthe nozzle tip is an important determinant of drop velocity and drop size.
Next, a CMOS silicon layer 42 is provided upon which is fabricated all the data storage and driving circuitry 41 necessary for the operation of the nozzle 4. In this layer a nozzle chamber 17 is also constructed. The nozzle chamber 17 should be wide enough so that viscous drag from the chamber walls does not significantly increase the force required ofthe plunger. It should also be deep enough so that any air ingested through the nozzle port 24 when the plunger returns to its quiescent state does not extend to the plunger device. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface resulting in the nozzle not refilling properly. A CMOS dielectric and insulating layer containing various current paths parts for the current connection to the plunger device is also provided 44.
Next, a fixed plate of ferroelectric material is provided having two parts 13, 46. The two parts 13, 46 are electrically insulated from one another.
Next, a solenoid 11 is provided. This can comprise a spiral coil of deposited copper. Preferably a single spiral layer is utilized to avoid fabrication difficulty and copper is used for a low resistivity and high electro-migration resistance.
Next, a plunger 15 of ferromagnetic material is provided to maximize the magnetic force generated. The plunger 15 and fixed magnetic plate 13, 46 surround the solenoid 11 as a torus. Thus, little magnetic flux is lost and the flux is concentrated around the gap between the plunger 15 and the fix plate 13, 46.
The gap between the fixed plate 13, 46 and the plunger 15 is one ofthe most important "parts" ofthe print nozzle 4. The size of the gap will strongly affect the magnetic force generated, and also limits the travel of the plunger 15. A small gap is desirable to achieve a strong magnetic force, but a large gap is desirable to allow longer plunger 15 to travel, and therefore allow smaller plunger radius to be utilized. Next, the springs, e.g. 22, 23 for returning to the plunger 15 to its quiescent position after a drop has been ejected are provided. The springs, e.g. 22, 23 can be fabricated from the same material, and in the same processing steps, as the plunger 15. Preferably the springs, e.g. 22, 23 act as torsional springs in their interaction with the plunger 15. Finally, all surfaces are coated with passivation layers, which may be silicon nitride (Si3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer. The passivation layers are especially important for device lifetime, as the active device will be immersed in the ink.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 5. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 4 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the edges of the print heads chips, and the vias for the contacts from the aluminum electrodes to the two halves ofthe split fixed magnetic plate. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This etch does not substantially etch the aluminum. This step is shown in Fig. 6.
6. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of
2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)]. 7. Spin on 4 microns of resist, expose with Mask 2, and develop. This mask defines the split fixed magnetic plate, for which the resist acts as an electroplating mold. This step is shown in Fig. 7.
8. Electroplate 3 microns of CoNiFe. This step is shown in Fig. 8.
9. Strip the resist and etch the exposed seed layer. This step is shown in Fig.9.
10. Deposit 0.1 microns of silicon nitride (SJ3N4). 11. Etch the nitride layer using Mask 3. This mask defines the contact vias from each end of the solenoid coil to the two halves ofthe split fixed magnetic plate.
12. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
13. Spin on 5 microns of resist, expose with Mask 4, and develop. This mask defines the solenoid spiral coil and the spring posts, for which the resist acts as an electroplating mold. This step is shown in Fig. 10.
14. Electroplate 4 microns of copper.
15. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 11.
16. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated. 17. Deposit 0.1 microns of silicon nitride.
18. Deposit 1 micron of sacrificial material. This layer determines the magnetic gap.
19. Etch the sacrificial material using Mask 5. This mask defines the spring posts. This step is shown in Fig. 12. 20. Deposit a seed layer of CoNiFe.
21. Spin on 4.5 microns of resist, expose with Mask 6, and develop. This mask defines the walls of the magnetic plunger, plus the spring posts. The resist forms an electroplating mold for these parts. This step is shown in Fig. 13.
22. Electroplate 4 microns of CoNiFe. This step is shown in Fig. 14. 23. Deposit a seed layer of CoNiFe.
24. Spin on 4 microns of resist, expose with Mask 7, and develop. This mask defines the roof of the magnetic plunger, the springs, and the spring posts. The resist forms an electroplating mold for these parts. This step is shown in Fig. 15.
25. Electroplate 3 microns of CoNiFe. This step is shown in Fig. 16. 26. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 17.
27. Plasma back-etch the boron doped silicon layer to a depth of (approx.) 1 micron using Mask 8. This mask defines the nozzle rim. This step is shown in Fig. 18.
28. Plasma back-etch through the boron doped layer using Mask 9. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in
Fig. 19.
29. Detach the chips from the glass blank. Strip all adhesive, resist, sacrificial, and exposed seed layers. This step is shown in Fig. 20.
30. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
31. Connect the print heads to their interconnect systems.
32. Hydrophobize the front surface ofthe print heads.
33. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 21.
Description of I J02 F In an embodiment, an ink jet print head is made up of a plurality of nozzle chambers each having an ink ejection port. Ink is ejected from the ink ejection port through the utilisation of attraction between two parallel plates.
Turning to Fig. 22, there is illustrated a cross-sectional view of a single nozzle arrangement 110 as constructed in accordance with an embodiment. The nozzle arrangement 110 includes a nozzle chamber 111 in which is stored ink to be ejected out of an ink ejection port 112. The nozzle arrangement 110 can be constructed on the top of a silicon wafer utilising micro electro-mechanical systems construction techniques as will become more apparent hereinafter. The top ofthe nozzle plate also includes a series of regular spaced etchant holes, e.g. 113 which are provided for efficient sacrificial etching of lower layers of the nozzle arrangement 110 during construction. The size of the etchant holes 113 is small enough that surface tension characteristics prohibit ejection from the holes 113 during operation. Ink is supplied to the nozzle chamber 111 via an ink supply channel, e.g. 115.
Turning now to Fig. 23, there is illustrated a cross-sectional view of one side ofthe nozzle arrangement 110. A nozzle arrangement 110 is constructed on a silicon wafer base 117 on top of which is first constructed a standard CMOS two level metal layer 118 which includes the required drive and control circuitry for each nozzle arrangement. The layer 118, which includes two levels of aluminium, includes one level of aluminium 119 being utilised as a bottom electrode plate. Other portions of this layer 120 can comprise nitride passivation. On top of the layer 119 there is provided a thin polytetrafluoroethylene (PTFE) layer 121.
Next, an air gap 127 is provided between the top and bottom layers. This is followed by a further PTFE layer 128 which forms part of the top plate 122. The two PTFE layers 121, 128 are provided so as to reduce possible stiction effects between the upper and lower plates. Next, a top aluminium electrode layer 130 is provided followed by a nitride layer (not shown) which provides structural integrity to the top electro plate. The layers 128 - 130 are fabricated so as to include a corrugated portion 123 which concertinas upon movement ofthe top plate 122.
By placing a potential difference across the two aluminum layers 119 and 130, the top plate 122 is attracted to bottom aluminum layer 119 thereby resulting in a movement of the top plate 122 towards the bottom plate 119.
This results in energy being stored in the concertinaed spring arrangement 123 in addition to air passing out of the side air holes, e.g. 133 and the ink being sucked into the nozzle chamber as a result ofthe distortion ofthe meniscus over the ink ejection port 112 (Fig. 22). Subsequently, the potential across the plates is eliminated thereby causing the concertinaed spring portion 123 to rapidly return the plate 122 to its rest position. The rapid movement ofthe plate 122 causes the consequential ejection of ink from the nozzle chamber via the ink ejection port 112 (Fig. 22). Additionally, air flows in via air gap 133 underneath the plate 122.
The ink jet nozzles of an embodiment can be formed from utilisation of semi-conductor fabrication and MEMS techniques. Turning to Fig. 24, there is illustrated an exploded perspective view ofthe various layers in the final construction of a nozzle arrangement 110. At the lowest layer is the silicon wafer 117 upon which all other processing steps take place. On top of the silicon layer 117 is the CMOS circuitry layers 118 which primarily comprises glass. On top of this layer is a nitride passivation layer 120 which is primarily utilized to passivate and protect the lower glass layer from any sacrificial process that may be utilized in the building up of subsequent layers. Next there is provided the aluminum layer 119 which, in the alternative, can form part ofthe lower CMOS glass layer 118. This layer 119 forms the bottom plate. Next, two PTFE layers 126, 128 are provided between which is laid down a sacrificial layer, such as glass, which is subsequently etched away so as to release the plate 122 (Fig. 23). On top of the PTFE layer 128 is laid down the aluminum layer 130 and a subsequent thicker nitride layer (not shown) which provides structural support to the top electrode stopping it from sagging or deforming. After this comes the top nitride nozzle chamber layer 135 which forms the rest ofthe nozzle chamber and ink supply channel. The layer 135 can be formed from the depositing and etching of a sacrificial layer and then depositing the nitride layer, etching the nozzle and etchant holes utilizing an appropriate mask before etching away the sacrificial material.
Obviously, print heads can be foimed from large arrays of nozzle arrangements 110 on a single wafer which is subsequently diced into separate print heads. Ink supply can be either from the side of the wafer or through the wafer utilizing deep anisotropic etching systems such as high density low pressure plasma etching systems available from surface technology systems. Further, the corrugated portion 123 can be formed through the utilisation of a half tone mask process. One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 26. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 25 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
2. Etch the passivation layers to expose the bottom electrode, formed of second level metal. This etch is performed using Mask 1. This step is shown in Fig. 27. 3. Deposit 50 nm of PTFE or other highly hydrophobic material.
4. Deposit 0.5 microns of sacrificial material, e.g. polyimide.
5. Deposit 0.5 microns of (sacrificial) photosensitive polyimide.
6. Expose and develop the photosensitive polyimide using Mask 2. This mask is a gray-scale mask which defines the concertina edge of the upper electrode. The result of the etch is a series of triangular ridges at the circumference of the electrode. This concertina edge is used to convert tensile stress into bend strain, and thereby allow the upper electrode to move when a voltage is applied across the electrodes. This step is shown in Fig. 28.
7. Etch the polyimide and passivation layers using Mask 3, which exposes the contacts for the upper electrode which are formed in second level metal. 8. Deposit 0.1 microns of tantalum, forming the upper electrode.
9. Deposit 0.5 microns of silicon nitride (SJ3N4), which forms the movable membrane of the upper electrode.
10. Etch the nitride and tantalum using Mask 4. This mask defines the upper electrode, as well as the contacts to the upper electrode. This step is shown in Fig. 29. 11. Deposit 12 microns of (sacrificial) photosensitive polyimide.
12. Expose and develop the photosensitive polyimide using Mask 5. A proximity aligner can be used to obtain a large depth of focus, as the line-width for this step is greater than 2 microns, and can be 5 microns or more. This mask defines the nozzle chamber walls. This step is shown in Fig. 30.
13. Deposit 3 microns of PECVD glass. This step is shown in Fig. 31. 14. Etch to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig.
32.
15. Etch down to the sacrificial layer using Mask 7. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 33.
16. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch.
17. Back-etch through the CMOS oxide layer through the holes in the wafer. This step is shown in Fig. 34.
18. Etch the sacrificial polyimide. The nozzle chambers are cleared, a gap is foimed between the electrodes and the chips are separated by this etch. To avoid stiction, a final rinse using supercooled carbon dioxide can be used. This step is shown in Fig. 35. 19. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer.
20. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
21. Hydrophobize the front surface ofthe print heads.
22. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig.36.
Description of IJ04 F
In an embodiment, a stacked capacitive actuator is provided which has alternative electrode layers sandwiched between a compressible polymer. Hence, on activation of the stacked capacitor the plates are drawn together compressing the polymer thereby storing energy in the compressed polymer. The capacitor is then deactivated or drained with the result that the compressed polymer acts to return the actuator to its original position and thereby causes the ejection of ink from and ink ejection port.
Turning now to Fig. 37, there is illustrated a single nozzle arrangement 310 as constructed in accordance with an embodiment. The nozzle arrangement 310 includes an ink ejection portal 311 for the ejection of ink on demand. The ink is ejected from a nozzle chamber 312 by means of a stacked capacitor-type device 313. In a first design, the stacked capacitor device 313 consists of capacitive plates sandwiched between a compressible polymer. Upon charging of the capacitive plates, the polymer is compressed thereby resulting in a general "accordion" or "concertinaing" of the actuator 313 so that it's top surface moves away from the ink ejection portal 311. The compression of the polymer sandwich stores energy in the compressed polymer. The capacitors are subsequently rapidly discharged resulting in the energy in the compressed polymer being released upon the polymer's return to quiescent position. The return ofthe actuator to it's quiescent position results in the ejection of ink from the nozzle chamber 312. The process is illustrated schematically in Fig. 38 to Fig. 41, with Fig. 38 illustrating the nozzle chamber 310 in it's quiescent or idle state, having an ink meniscus 314 around the nozzle ejection portal 311. Subsequently, the electrostatic actuator 313 is activated resulting in it's contraction as indicated in Fig. 39. The contraction results in the meniscus 314 changing shape as indicated with the resulting surface tension effects resulting in the drawing in of ink around the meniscus and consequently ink 316 flows into nozzle chamber 312.
After sufficient time, the meniscus 314 returns to its quiescent position with the capacitor 313 being loaded ready for firing (Fig. 40). The capacitor plates 313 are then rapidly discharged resulting, as illustrated in Fig. 41, in the rapid return ofthe actuator 313 to it's original position. The rapid return imparts a momentum to the ink within the nozzle chamber 312 so as to cause the expansion ofthe ink meniscus 314 and the subsequent ejection of ink from the nozzle chamber 312.
Turning now to Fig. 42, there is illustrated a perspective view of a portion ofthe actuator 313 exploded in part. The actuator 313 consists of a series of interleaved plates 320, 321 between which is sandwiched a compressive material 322, for example styrene-ethylene-butylene-styrene block co-polymer. One group of electrodes, e.g. 320, 323, 325 jut out at one side of the stacked capacitor layout. A second series of electrodes, e.g. 321, 324 jut out a second side ofthe capacitive actuator. The electrodes are connected at one side to a first conductive material 327 and the other series of electrodes, e.g. 321, 324 are connected to second conductive material 328 (Fig. 37). The two conductive materials 327, 328 are electrically isolated from one another and are in turn interconnected to lower signal and drive layers as will become more readily apparent here and after.
In alternative designs, the stacked capacitor device 313 consists of other thin film materials in place ofthe example styrene-ethylene-butylene-styrene block co-polymer. Such materials may include: 1) Piezo electric materials such as PZT 2) Electrostrictive materials such as PLZT
3) Materials, that can be electrically switched between a ferro-electric and an anti-ferro-electric phase such as PLZSnT.
Importantly, the electrode actuator 313 can be rapidly constructed utilizing chemical vapor deposition (CVD) techniques. The various layers, 320, 321, 322 can be layed down on a planer wafer one after another covering the whole surface ofthe wafer. A stack can be built up rapidly utilizing CVD techniques. The two sets of electrodes are preferably deposited utilizing separate metals. For example, aluminum and tantalum could be utilized as materials for the metal layers. The utilisation of different metal layers allows for selective etching utilizing a mask layer so as to form the structure as indicated in Fig. 42. For example, the CVD sandwich can be first layed down and then a series of selective etchings utilizing appropriate masks can be utilized to produced the overall stacked capacitor structure. The utilisation of the CVD process substantially enhances the efficiency of production of the stacked capacitor devices. Construction ofthe Ink Nozzle Arrangement
Turning now to Fig. 43 there is shown an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment. The ink jet nozzle arrangement 310 is constructed on a standard silicon wafer 330 on top of which is constructed data drive circuitry which can be constructed in the usual manner such as a two-level metal CMOS layer 331. On top ofthe CMOS layer 331 is constructed a nitride passivation layer
332 which provides passivation protection for the lower layers during operation an also should an etchant be utilized which would normally dissolve the lower layers. The various layers ofthe stacked device 313, for example 320, 321,
322, can be layed down utilizing CVD techniques. The stacked device 313 is constructed utilizing the aforementioned production steps including utilizing appropriate masks for selective etchings to produce the overall stacked capacitor structure. Further, interconnection can be provided between the electrodes 327, 328 and the circuitry in the CMOS layer 331. Finally, a nitride layer 333 is provided so as to form the walls of the nozzle chamber, e.g. 334, and posts, e.g. 335, in one open wall 336 of the nozzle chamber. The surface layer 337 of the layer 333 can be deposited onto a sacrificial material. The sacrificial material is subsequently etched so as to form the nozzle chamber 312 (Fig. 37). To this end, the top layer 337 includes etchant holes, e.g. 338, so as to speed up the etching process in addition to the ink ejection portal 311. The diameter ofthe etchant holes, e.g. 338, is significantly smaller than that of the ink ejection portal 311. If required an additional nitride layer may be provided on top of the layer 320 to protect the stacked device 313 during the etching ofthe sacrificial material to form the nozzle chamber
312 (Fig. 37) and during operation ofthe ink jet nozzle. One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 45. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 44 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
2. Etch the CMOS oxide layers to second level metal using Mask 1. This mask defines the contact vias from the electrostatic stack to the drive circuitry.
3. Deposit 0.1 microns of aluminum. 4. Deposit 0.1 microns of elastomer.
5. Deposit 0.1 microns of tantalum.
6. Deposit 0.1 microns of elastomer.
7. Repeat steps 2 to 5 twenty times to create a stack of alternating metal and elastomer which is 8 microns high, with 40 metal layers and 40 elastomer layers. This step is shown in Fig. 46. 8. Etch the stack using Mask 2. This leaves a separate rectangular multi-layer stack for each nozzle. This step is shown in Fig. 47.
9. Spin on resist, expose with Mask 3, and develop. This mask defines one side of the stack. This step is shown in Fig. 48.
10. Etch the exposed elastomer layers to a horizontal depth of 1 micron. 11. Wet etch the exposed aluminum layers to a horizontal depth of 3 microns.
12. Foam the exposed elastomer layers by 50 nm to close the 0.1 micron gap left by the etched aluminum.
13. Strip the resist. This step is shown in Fig.49.
14. Spin on resist, expose with Mask 4, and develop. This mask defines the opposite side ofthe stack. This step is shown in Fig. 50. 15. Etch the exposed elastomer layers to a horizontal depth of 1 micron.
16. Wet etch the exposed tantalum layers to a horizontal depth of 3 microns.
17. Foam the exposed elastomer layers by 50 nm to close the 0.1 micron gap left by the etched aluminum.
18. Strip the resist. This step is shown in Fig. 51.
19. Deposit 1.5 microns of tantalum. This metal contacts all ofthe aluminum layers on one side ofthe stack, and all ofthe tantalum layers on the other side ofthe stack.
20. Etch the tantalum using Mask 5. This mask defines the electrodes at both edges ofthe stack. This step is shown in Fig. 52.
21. Deposit 18 microns of sacrificial material (e.g. photosensitive polyimide).
22. Expose and develop the sacrificial layer using Mask 6 using a proximity aligner. This mask defines the nozzle chamber walls and inlet filter. This step is shown in Fig. 53.
23. Deposit 3 microns of PECVD glass.
24. Etch to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 54.
25. Etch down to the sacrificial layer using Mask 8. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 55.
26. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 56.
27. Back-etch through the CMOS oxide layer through the holes in the wafer. 28. Etch the sacrificial material. The nozzle chambers are cleared, and the chips are separated by this etch. This step is shown in Fig. 57.
29. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer. 30. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
31. Hydrophobize the front surface ofthe print heads.
32. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 58.
Description of IJ05 F
An embodiment ofthe present invention relies upon the utilisation of a magnetic actuator to "load" a spring, such that, upon deactivation ofthe magnetic actuator the resultant movement ofthe spring causes ejection of a drop of ink as the spring returns to its original position.
Turning to Fig. 59, there is illustrated an exploded perspective view of an ink nozzle arrangement 401 constructed in accordance with an embodiment. It would be understood that an embodiment can be constructed as an array of nozzle arrangements 401 so as to together form a line for printing.
The operation ofthe ink nozzle arrangement 401 of Fig. 59 proceeds by a solenoid 402 being energized by way of a driving circuit 403 when it is desired to print out a ink drop. The energized solenoid 402 induces a magnetic field in a fixed soft magnetic pole 404 and a moveable soft magnetic pole 405. The solenoid power is turned on to a maximum current for long enough to move the moveable pole 405 from its rest position to a stopped position close to the fixed magnetic pole 404. The ink nozzle arrangement 401 of Fig. 59 sits within an ink chamber filled with ink. Therefore, holes 406 are provided in the moveable soft magnetic pole 405 for "squirting" out of ink from around the coil 402 when the plate 405 undergoes movement.
The moveable soft magnetic pole is balanced by a fulcrum 408 with a piston head 409. Movement of the magnetic pole 405 closer to the stationary pole 404 causes the piston head 409 to move away from a nozzle chamber
411 drawing air into the chamber 411 via an ink ejection port 413. The piston 409 is then held open above the nozzle chamber 411 by means of maintaining a low "keeper" current through solenoid 402. The keeper level current through solenoid 402 being sufficient to maintain the moveable pole 405 against the fixed soft magnetic pole 404. The level of current will be substantially less than the maximum current level because the gap between the two poles 404 and 405 is at a minimum. For example, a keeper level current of 10% of the maximum current level may be suitable. During this phase of operation, the meniscus of ink at the nozzle tip or ink ejection port 413 is a concave hemisphere due to the in flow of air. The surface tension on the meniscus exerts a net force on the ink which results in ink flow from the ink chamber into the nozzle chamber 411. This results in the nozzle chamber refilling, replacing the volume taken up by the piston head 409 which has been withdrawn. This process takes approximately 100 μs. The current within solenoid 402 is then reversed to half that of the maximum current. The reversal demagnetizes the magnetic poles and initiates a return ofthe piston 409 to its rest position. The piston 409 is moved to its normal rest position by both the magnetic repulsion and by the energy stored in a stressed torsional spring 416,419 which was put in a state of torsion upon the movement of moveable pole 405. The forces applied to the piston 409 as a result ofthe reverse current and spring 416,419 will be greatest at the beginning of the movement of the piston 409 and will decrease as the spring elastic stress falls to zero. As a result, the acceleration of piston 409 is high at the beginning of a reverse stroke and the resultant ink velocity within the chamber 411 becomes uniform during the stroke. This results in an increased operating tolerance before ink flow over the print head surface will occur.
At a predetermined time during the return stroke, the solenoid reverse current is turned off. The current is turned off when the residual magnetism of the movable pole is at a minimum. The piston 409 continues to move towards its original rest position.
The piston 409 will overshoot the quiescent or rest position due to its inertia. Overshoot in the piston movement achieves two things: greater ejected drop volume and velocity, and improved drop break off as the piston returns from overshoot to its quiescent position.
The piston 409 will eventually return from overshoot to the quiescent position. This return is caused by the springs 416, 419 which are now stressed in the opposite direction. The piston return "sucks" some of the ink back into the nozzle chamber 411, causing the ink ligament connecting the ink drop to the ink in the nozzle chamber 411 to thin. The forward velocity ofthe drop and the backward velocity ofthe ink in the nozzle chamber 411 are resolved by the ink drop breaking off from the ink in the nozzle chamber 411.
The piston 409 stays in the quiescent position until the next drop ejection cycle.
A liquid ink print head has one ink nozzle arrangement 401 associated with each ofthe multitude of nozzles. The arrangement 401 has the following major parts: ( 1 ) Drive circuitry 403 for driving the solenoid 402.
(2) A nozzle tip 413. The radius ofthe nozzle tip 413 is an important determinant of drop velocity and drop size.
(3) A piston 404. This is a cylinder which moves through the nozzle chamber 411 to expel the ink. The piston 409 is connected to one end ofthe lever arm 417. The piston radius is approximately 1.5 to 2 times the radius of the hole 413. The ink drop volume output is mostly determined by the volume of ink displaced by the piston 409 during the piston return stroke.
(4) A nozzle chamber 411. The nozzle chamber 411 is slightly wider than the piston 409. The gap between the piston 409 and the nozzle chamber walls is as small as is required to ensure that the piston does not contact the nozzle chamber during actuation or return. If the print heads are fabricated using 0.5 μm semiconductor lithography, then a 1 μm gap will usually be sufficient. The nozzle chamber is also deep enough so that air ingested through the nozzle tip 413 when the plunger 409 returns to its quiescent state does not extend to the piston 409. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface. If this happens, the nozzle will not refill properly.
(5) A solenoid 402. This is a spiral coil of copper. Copper is used for its low resistivity, and high electro-migration resistance.
(6) A fixed magnetic pole of ferromagnetic material 404.
(7) A moveable magnetic pole of ferromagnetic material 405. To maximize the magnetic force generated, the moveable magnetic pole 405 and fixed magnetic pole 404 surround the solenoid 402 as a torus. Thus little magnetic flux is lost, and the flux is concentrated across the gap between the moveable magnetic pole 405 and the fixed pole 404. The moveable magnetic pole 405 has holes in the surface 406 (Fig. 59) above the solenoid to allow trapped ink to escape. These holes are arranged and shaped so as to minimize their effect on the magnetic force generated between the moveable magnetic pole 405 and the fixed magnetic pole 404.
(8) A magnetic gap. The gap between the fixed plate 404 and the moveable magnetic pole 405 is one ofthe most important "parts" ofthe print actuator. The size ofthe gap strongly affects the magnetic force generated, and also limits the travel ofthe moveable magnetic pole 405. A small gap is desirable to achieve a strong magnetic force. The travel ofthe piston 409 is related to the travel ofthe moveable magnetic pole 405 (and therefore the gap) by the lever arm 417.
(9) Length of the lever arm 417. The lever arm 417 allows the travel of the piston 409 and the moveable magnetic pole 405 to be independently optimized. At the short end ofthe lever arm 417 is the moveable magnetic pole 405. At the long end ofthe lever arm 417 is the piston 409. The spring 416 is at the fulcrum 408. The optimum travel for the moveable magnetic pole 405 is less than 1 micron, so as to minimize the magnetic gap. The optimum travel for the piston 409 is approximately 405 μm for a 1200 dpi printer. The difference in optimum travel is resolved by a lever 417 with a 5:1 or greater ratio in arm length. (10) Springs 416, 419 (Fig. 59). The springs e.g. 416 return the piston to its quiescent position after a deactivation ofthe actuator. The springs 416 are at the fulcrum 408 ofthe lever arm.
(11) Passivation layers (not shown). Al surfaces are preferably coated with passivation layers, which may be silicon nitride (Si3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer. The passivation layers are especially important for device lifetime, as the active device is immersed in the ink. As will be evident from the foregoing description there is an advantage in ejecting the drop on deactivation ofthe solenoid 402.
This advantage comes from the rate of acceleration of the moving magnetic pole 405 which is used as a piston or plunger.
The force produced by a moveable magnetic pole by an electromagnetic induced field is approximately proportional to the inverse square of the gap between the moveable 405 and static magnetic poles 404. When the solenoid 402 is off, this gap is at a maximum. When the solenoid 402 is turned on, the moving pole 405 is attracted to the static pole 404. As the gap decreases, the force increases, accelerating the movable pole 405 faster. The velocity increases in a highly non-linear fashion, approximately with the square of time. During the reverse movement of the moving pole 405 upon deactivation the acceleration of the moving pole 405 is greatest at the beginning and then slows as the spring elastic stress falls to zero. As a result, the velocity ofthe moving pole 405 is more uniform during the reverse stroke movement.
(1) The velocity of piston or plunger 409 is much more constant over the duration ofthe drop ejection stroke.
(2) The piston or plunger 409 can readily be entirely removed from the ink chamber during the ink fill stage, and thereby the nozzle filling time can be reduced, allowing faster print head operation. However, this approach does have some disadvantages over a direct firing type of actuator:
(1) The stresses on the spring 416 are relatively large. Careful design is required to ensure that the springs operate at below the yield strength ofthe materials used.
(2) The solenoid 402 must be provided with a "keeper" current for the nozzle fill duration. The keeper current will typically be less than 10% ofthe solenoid actuation current. However, the nozzle fill duration is typically around 50 times the drop firing duration, so the keeper energy will typically exceed the solenoid actuation energy. (3) The operation ofthe actuator is more complex due to the requirement for a "keeper" phase.
The print head is fabricated from two silicon wafers. A first wafer is used to fabricate the print nozzles (the print head wafer) and a second wafer (the Ink Channel Wafer) is utilized to fabricate the various ink channels in addition to providing a support means for the first channel. The fabrication process then proceeds as follows: ( 1 ) Start with a single crystal silicon wafer 420, which has a buried epitaxial layer 422 of silicon which is heavily doped with boron. The boron should be doped to preferably 10^0 atoms per cm3 of boron or more, and be approximately 3 μm thick, and be doped in a manner suitable for the active semiconductor device technology chosen. The wafer diameter ofthe print head wafer should be the same as the ink channel wafer.
(2) Fabricate the drive transistors and data distribution circuitry 403 according to the process chosen (e.g. CMOS).
(3) Planarise the wafer 420 using chemical Mechanical Planarisation (CMP).
(4) Deposit 5 micron of glass (Siθ2) over the second level metal.
(5) Using a dual damascene process, etch two levels into the top oxide layer. Level 1 is 4 μm deep, and level 2 is 5 μm deep. Level 2 contacts the second level metal. The masks for the static magnetic pole are used. (6) Deposit 5 μm of nickel iron alloy (NiFe).
(7) Planarise the wafer using CMP, until the level of the Siθ2 is reached forming the magnetic pole 404.
(8) Deposit 0.1 μm of silicon nitride (Si3N4).
(9) Etch the Si3N4 for via holes for the connections to the solenoids, and for the nozzle chamber region 411.
( 10) Deposit 4 μm of Siθ2-
(11) Plasma etch the Siθ2 in using the solenoid and support post mask.
(12) Deposit a thin diffusion barrier, such as Ti, TiN, or TiW, and an adhesion layer if the diffusion layer chosen has insufficient adhesion. (13) Deposit 4 μm of copper for forming the solenoid 402 and spring posts 424. The deposition may be by sputtering, CVD, or electroless plating. As well as lower resistivity than aluminum, copper has significantly higher resistance to electro-migration. The electro-migration resistance is significant, as current densities in the order of 3 x lθ6 Amps/cm2 may be required. Copper films deposited by low energy kinetic ion bias sputtering have been found to have 1,000 to 100,000 times larger electro-migration lifetimes larger than aluminum silicon alloy. The deposited copper should be alloyed and layered for maximum electro-migration lifetimes than aluminum silicon alloy. The deposited copper should be alloyed and layered for maximum electro-migration resistance, while maintaining high electrical conductivity.
(14) Planarise the wafer using CMP, until the level of the Siθ2 is reached. A damascene process is used for the copper layer due to the difficulty involved in etching copper. However, since the damascene dielectric layer is subsequently removed, processing is actually simpler if a standard deposit/etch cycle is used instead of damascene. However, it should be noted that the aspect ratio of the copper etch would be 8:1 for this design, compared to only 4:1 for a damascene oxide etch. This difference occurs because the copper is 1 μm wide and 4 μm thick, but has only 0.5 μm spacing. Damascene processing also reduces the lithographic difficulty, as the resist is on oxide, not metal. (15) Plasma etch the nozzle chamber 411, stopping at the boron doped epitaxial silicon layer 421. This etch will be through around 13 μm of Siθ2, and 8 μm of silicon. The etch should be highly anisotropic, with near vertical sidewalls. The etch stop detection can be on boron in the exhaust gasses. If this etch is selective against NiFe, the masks for this step and the following step can be combined, and the following step can be eliminated. This step also etches the edge ofthe print head wafer down to the boron layer, for later separation.
(16) Etch the Siθ2 layer. This need only be removed in the regions above the NiFe fixed magnetic poles, so it can be removed in the previous step if an Si and Siθ2 etch selective against NiFe is used.
(17) Conformably deposit 0.5 μm of high density SJ3N4. This forms a corrosion barrier, so should be free of pin-holes, and be impermeable to OH ions. (18) Deposit a thick sacrificial layer 440. This layer should entirely fill the nozzle chambers, and coat the entire wafer to an added thickness of 8 μm. The sacrificial layer may be Siθ2-
(19) Etch two depths in the sacrificial layer for a dual damascene process. The deep etch is 8 μm, and the shallow etch is 3 μm. The masks defines the piston 409, the lever arm 417, the springs 416 and the moveable magnetic pole 405. (20) Conformably deposit 0.1 μm of high density SJ3N4- This forms a corrosion barrier, so should be free of pin-holes, and be impermeable to OH ions.
(21) Deposit 8 μm of nickel iron alloy (NiFe).
(22) Planarise the wafer using CMP, until the level ofthe Siθ2 is reached.
(23) Deposit 0.1 μm of silicon nitride (Si3N4). (24) Etch the SJ3N4 everywhere except the top ofthe plungers.
(25) Open the bond pads.
(26) Permanently bond the wafer onto a pre-fabricated ink channel wafer. The active side ofthe print head wafer faces the ink channel wafer. The ink channel wafer is attached to a backing plate, as it has already been etched into separate ink channel chips. (27) Etch the print head wafer to entirely remove the backside silicon to the level of the boron doped epitaxial layer 422. This etch can be a batch wet etch in ethylenediamine pyrocatechol (EDP).
(28) Mask the nozzle rim 414 from the underside ofthe print head wafer. This mask also includes the chip edges.
(31) Etch through the boron doped silicon layer 422, thereby creating the nozzle holes. This etch should also etch fairly deeply into the sacrificial material in the nozzle chambers to reduce time required to remove the sacrificial layer.
(32) Completely etch the sacrificial material. If this material is Siθ2 then a HF etch can be used. The nitride coating on the various layers protects the other glass dielectric layers and other materials in the device from HF etching. Access ofthe HF to the sacrificial layer material is through the nozzle, and simultaneously through the ink channel chip. The effective depth ofthe etch is 21 μm.
(33) Separate the chips from the backing plate. Each chip is now a full print head including ink channels. The two wafers have already been etched through, so the print heads do not need to be diced.
(34) Test the print heads and TAB bond the good print heads.
(35) Hydrophobise the front surface ofthe print heads. (36) Perform final testing on the TAB bonded print heads.
Fig. 60 shows a perspective view, in part in section, of a single ink jet nozzle arrangement 401 constructed in accordance with an embodiment.
One alternative form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 62. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 61 is a key to representations of various materials in these manufacturing diagrams.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the edges of the print heads chips, and the vias for the contacts from the aluminum electrodes to the two halves ofthe split fixed magnetic plate. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This etch does not substantially etch the aluminum. This step is shown in Fig. 63.
6. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of
2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)]. 7. Spin on 4 microns of resist, expose with Mask 2, and develop. This mask defines the split fixed magnetic plate and the nozzle chamber wall, for which the resist acts as an electroplating mold. This step is shown in Fig. 64.
8. Electroplate 3 microns of CoNiFe. This step is shown in Fig. 65.
9. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 66. 10. Deposit 0.1 microns of silicon nitride (Si3N4).
11. Etch the nitride layer using Mask 3. This mask defines the contact vias from each end of the solenoid coil to the two halves ofthe split fixed magnetic plate.
12. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities. 13. Spin on 5 microns of resist, expose with Mask 4, and develop. This mask defines the solenoid spiral coil, the nozzle chamber wall and the spring posts, for which the resist acts as an electroplating mold. This step is shown in Fig. 67.
14. Electroplate 4 microns of copper.
15. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 68. 16. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
17. Deposit 0.1 microns of silicon nitride.
18. Deposit 1 micron of sacrificial material. This layer determines the magnetic gap.
19. Etch the sacrificial material using Mask 5. This mask defines the spring posts and the nozzle chamber wall. This step is shown in Fig. 69. 20. Deposit a seed layer of CoNiFe.
21. Spin on 4.5 microns of resist, expose with Mask 6, and develop. This mask defines the walls of the magnetic plunger, the lever arm, the nozzle chamber wall and the spring posts. The resist forms an electroplating mold for these parts. This step is shown in Fig. 70. 22. Electroplate 4 microns of CoNiFe. This step is shown in Fig. 71.
23. Deposit a seed layer of CoNiFe.
24. Spin on 4 microns of resist, expose with Mask 7, and develop. This mask defines the roof of the magnetic plunger, the nozzle chamber wall, the lever arm, the springs, and the spring posts. The resist forms an electroplating mold for these parts. This step is shown in Fig.72. 25. Electroplate 3 microns of CoNiFe. This step is shown in Fig. 73.
26. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig.74.
27. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 8. This mask defines the nozzle rim. This step is shown in Fig. 75. 28. Plasma back-etch through the boron doped layer using Mask 9. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in
Fig. 76.
29. Detach the chips from the glass blank. Strip all adhesive, resist, sacrificial, and exposed seed layers. This step is shown in Fig. 77. 30. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
31. Connect the print heads to their interconnect systems.
32. Hydrophobize the front surface ofthe print heads.
33. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 78.
Description of I J06 F
Referring now to Fig. 79, there is illustrated a cross-sectional view of a single ink nozzle unit 510 constructed in accordance with an embodiment. The ink nozzle unit 510 includes an ink ejection nozzle 511 for the ejection of ink which resides in a nozzle chamber 513. The ink is ejected from the nozzle chamber 513 by means of movement of paddle 515. The paddle 515 operates in a magnetic field 516 which runs along the plane ofthe paddle 515. The paddle 515 includes at least one solenoid coil 517 which operates under the control of nozzle activation signal. The paddle 515 operates in accordance with the well known principal ofthe force experienced by a moving electric charge in a magnetic field. Hence, when it is desired to activate the paddle 515 to eject an ink drop out of ink ejection nozzle 511, the solenoid coil 517 is activated. As a result of the activation, one end of the paddle will experience a downward force 519 while the other end of the paddle will experience an upward force 520. The downward force 519 results in a corresponding movement ofthe paddle and the resultant ejection of ink.
As can be seen from the cross section of Fig. 79, the paddle 515 can comprise multiple layers of solenoid wires with the solenoid wires, e.g. 521, forming a complete circuit having the current flow in a counter clockwise direction around a center ofthe paddle 515. This results in paddle 515 experiences a rotation about an axis through (as illustrated in Fig. 80) the center point the rotation being assisted by means of a torsional spring, e.g. 522, which acts to return the paddle 515 to its quiescent state after deactivation of the current paddle 515. Whilst a torsional spring 522 is to be preferred it is envisaged that other forms of springs may be possible such as a leaf spring or the like.
The nozzle chamber 513 refills due to the surface tension of the ink at the ejection nozzle 511 after the ejection of ink.
Manufacturing Construction Process
The construction to the inkjet nozzles can proceed by way of utilisation of microelectronic fabrication techniques commonly known to those skilled in the field of semi-conductor fabrication. For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings ofthe SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
In accordance with one form of construction, two wafers are utilized. Upon which the active circuitry and inkjet print nozzles are fabricated and a further wafer in which the ink channels are fabricated.
Turning now to Fig. 81, there is illustrated an exploded perspective view of a single ink jet nozzle constructed in accordance with an embodiment. Construction begins which a silicon wafer 540 upon which has been fabricated and epitaxial boron doped layer 541 and an epitaxial silicon layer 542. The boron layer is doped to a concentration of preferably lθ /cm3 of boron or more and is approximately 2 microns thick. The silicon epitaxial layer is constructed to be approximately 8 microns thick and is doped in a manner suitable for the active semi conductor device technology. Next, the drive transistors and distribution circuitry are constructed in accordance with the fabrication process chosen resulting in a CMOS logic and drive transistor level 543. A silicon nitride layer 544 is then deposited.
The paddle metal layers are constructed utilizing a damascene process which is a well known process utilizing chemical mechanical polishing techniques (CMP) well known for utilization as a multi-level metal application. The solenoid coils in paddle 515 (Fig. 79) can be constructed from a double layer which for a first layer 545, is produced utilizing a single damascene process.
Next, a second layer 546 is deposited utilizing this time a dual damascene process. The copper layers 545, 546 include contact posts 547, 548, for interconnection ofthe electromagnetic coil to the CMOS 543 through vias in the silicon nitride layer 544 (not shown). However, the metal post portion also includes a via interconnecting it with the lower copper level. The damascene process is finished with a planarised glass layer. The glass layers produced during utilisation of the damascene processes utilized for the deposition of layers 545, 546, are shown as one layer
575 in Fig. 81.
Subsequently, the paddle is formed and separated from the adjacent glass layer by means of a plasma edge as the edge being down to the position of stop player 580. Further, the nozzle chamber 513 underneath the panel is removed by means of a silicon anisotropic wet edge which will edge down to the boron layer 541. A passivation layer is then applied. The passivation layer can comprise a conformable diamond like carbon layer or a high density
Si3N4 coating, this coating provides a protective layer for the paddle and its surrounds as the paddle must exist in the highly corrosive environment water and ink.
Next, the silicon wafer can be back-edged through the boron doped layer and the ejection port 511 and an ejection port rim 550 (Fig. 79) can also be foimed utilizing etching procedures. One form of alternative detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 83. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 82 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. 4. Deposit 0.1 microns of silicon nitride (Si3N4).
5. Etch the nitride layer using Mask 1. This mask defines the contact vias from the solenoid coil to the second-level metal contacts.
6. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities. 7. Spin on 3 microns of resist, expose with Mask 2, and develop. This mask defines the first level coil ofthe solenoid. The resist acts as an electroplating mold. This step is shown in Fig. 84.
8. Electroplate 2 microns of copper.
9. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 85.
10. Deposit 0.1 microns of silicon nitride (Si3N4). 11. Etch the nitride layer using Mask 3. This mask defines the contact vias between the first level and the second level ofthe solenoid.
12. Deposit a seed layer of copper.
13. Spin on 3 microns of resist, expose with Mask 4, and develop. This mask defines the second level coil of the solenoid. The resist acts as an electroplating mold. This step is shown in Fig. 86. 14. Electroplate 2 microns of copper.
15. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 87.
16. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
17. Deposit 0.1 microns of silicon nitride. 18. Etch the nitride and CMOS oxide layers down to silicon using Mask 5. This mask defines the nozzle chamber mask and the edges ofthe print heads chips for crystallographic wet etching. This step is shown in Fig. 88. 19. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. Due to the design of Mask 5, this etch undercuts the silicon, providing clearance for the paddle to rotate downwards. 20. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 89.
21. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 90.
22. Plasma back-etch through the boron doped layer using Mask 7. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 91.
23. Strip the adhesive layer to detach the chips from the glass blank. This step is shown in Fig. 92.
24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
25. Connect the print heads to their interconnect systems.
26. Hydrophobize the front surface ofthe print heads.
27. Fill with ink, apply a strong magnetic field in the plane ofthe chip surface, and test the completed print heads. A filled nozzle is shown in Fig. 93.
Description of IJ07 F Turning to Fig. 94, there is illustrated 601 a perspective view in section of a single nozzle constructed in accordance with the techniques of an embodiment.
Each nozzle 601 includes a nozzle outlet port 602 for the ejection of ink from a nozzle chamber 604 as a result of activation of an electromagnetic piston 605. The electromagnetic piston 605 is activated via solenoid coil 606 which circles the piston 605. Upon a current passing through the solenoid coil 606, the piston 605 experiences a force in the direction as indicated 613 hence. As a result the piston 605 begins movement towards outlet port 602 and thereby imparts momentum to ink within the nozzle chamber 604. Torsional springs, e.g. 608, act against the movement of piston 605, however they do not fully stop the movement ofthe piston.
Upon the completion ofthe ejection cycle, the current to the coil 606 is turned off. As a result, the torsional springs, e.g. 608, act to return the piston 605 to its rest position as initially shown in Fig. 94. Subsequently, surface tension forces cause the chamber 604 to refill with ink and to return ready for "re-firing".
Current to the coil 606 is provided via aluminum connectors (not shown) which interconnect the coil 606 with a semi-conductor drive transistor and logic layer 618. Construction
A liquid inkjet print head 601 has one actuator device associated with each of a multitude of nozzles. It will be evident that the actuator 601 has the following major parts, which are constructed using standard semi-conductor and micromechanical construction techniques:
1. Drive circuitry 618.
2. The nozzle outlet port 602. The radius of the nozzle outlet port 602 is an important determinant of drop velocity and drop size. 3. The magnetic piston 605. This can be a cylinder of a rare earth magnetic material such as neodymium iron boron (NdFeB) or samarium cobalt (SaCo). The pistons 605 are magnetized after a last high temperature step in the fabrication of the print heads, to thereby ensure that the Curie temperature is not exceeded after magnetization. A typical print head may include many thousands of pistons all of which can be magnetized simultaneously and in the same direction. 4. The nozzle chamber 604. The nozzle chamber 604 is slightly wider than the piston 605. The gap between the piston 605 and the nozzle chamber 604 can be as small as is required to ensure that the piston does not contact the nozzle chamber during actuation or return. If the print heads are fabricated using a standard 0.5 micron lithography process, then a 1 micron gap will usually be sufficient. The nozzle chamber 604 should also be deep enough to that air ingested through the nozzle tip 602 when the plunger returns to its quiescent state does not extend to the piston. If it does, the ingested air bubble may form a cylindrical surface instead of a hemispherical surface. If this happens, the nozzle chamber 604 may not refill properly.
5. The solenoid coil 606. This is a spiral coil of copper. A double layer spiral is used to obtain a high field strength with a small device radius. Copper is used for its low resistivity, and high electro-migration resistance. 6. Springs 608-611. The springs 608-611 return the piston 605 to its quiescent position after a drop 603 has been ejected. The springs can be fabricated from silicon nitride.
7. Passivation layers. All surfaces are coated with passivation layers, which may be silicon nitride (SJ3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer. The passivation layers are especially important for device lifetime, as the active device is immersed in the ink. Example method of Fabrication
The print head is fabricated from two silicon wafers. A first wafer is used to fabricate the print nozzles (the print head wafer) and a second wafer is utilized to fabricate the various ink channels in addition to providing a support means for the first channel (the Ink Channel Wafer). Fig. 95 is an exploded perspective view illustrating the construction of a single inkjet nozzle 601 on a print head wafer. The fabrication process proceeds as follows: Start with a single silicon wafer, which has a buried epitaxial layer 621 of silicon which is heavily doped with boron. The boron should be doped to preferably 1020 atoms per cm 3 of boron or more, and be approximately 3 micron thick. A lightly doped silicon epitaxial layer 622 on top of the boron doped layer 621 should be approximately 8 micron thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is the starting point for the print head wafer. The wafer diameter should be the same as that ofthe ink channel wafer.
Next, fabricate the drive transistors and data distribution circuitry required for each nozzle according to the process chosen, in a standard CMOS layer 618 up until oxide over the first level metal. On top ofthe CMOS layer 618 is deposited a silicon nitride passivation layer 625. Next, a silicon oxide layer 627 is deposited. The silicon oxide layer 627 is etched utilizing a mask for the copper coil layer. Subsequently, the copper layer 630 is deposited through the mask for the copper coil. The layers 627, 625 also include vias for the interconnection ofthe copper coil layer 630 to the underlying CMOS 618 (not shown). Next, the nozzle chamber 604 (Fig. 94) is etched. Subsequently, a sacrificial material is deposited to entirely fill the etched volume (not shown). On top of the sacrificial material a silicon nitride layer 631 is deposited, including site portions 632. Next, the magnetic material layer 633 is deposited utilizing the magnetic piston mask. This layer also includes the posts, e.g. 634. A final silicon nitride layer 635 is then deposited onto an additional sacrificial layer (not shown) deposited to cover the bare portions of nitride layer 631 to the height ofthe magnetic material layer 633, utilizing a mask for the magnetic piston and the torsional springs, e.g. 608. The torsional springs, e.g. 608, and the magnetic piston 605 (see Fig. 94) are liberated by etching the aforementioned sacrificial material.
For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps: 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete a 0.5 micron, one poly, 2 metal CMOS process. The metal layers are copper instead of aluminum, due to high current densities and subsequent high temperature processing. This step is shown in Fig. 97. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 96 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
4. Deposit 0.5 microns of low stress PECVD silicon nitride (SJ3N4). The nitride acts as a dielectric, and etch stop, a copper diffusion barrier, and an ion diffusion barrier. As the speed of operation ofthe print head is low, the high dielectric constant of silicon nitride is not important, so the nitride layer can be thick compared to sub-micron
CMOS back-end processes.
5. Etch the nitride layer using Mask 1. This mask defines the contact vias from the solenoid coil to the second-level metal contacts, as well as the nozzle chamber. This step is shown in Fig. 98.
6. Deposit 4 microns of PECVD glass. 7. Etch the glass down to nitride or second level metal using Mask 2. This mask defines the solenoid. This step is shown in Fig. 99.
8. Deposit a thin barrier layer of Ta or TaN.
9. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities. 10. Electroplate 4 microns of copper.
11. Planarize using CMP. Steps 4 to 11 represent a copper dual damascene process, with a 4:1 copper aspect ratio (4 microns high, 1 micron wide). This step is shown in Fig. 100.
12. Etch down to silicon using Mask 3. This mask defines the nozzle cavity. This step is shown in Fig. 101.
13. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 102.
14. Deposit 0.5 microns of low stress PECVD silicon nitride.
15. Open the bond pads using Mask 4.
16. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated. 17. Deposit a thick sacrificial layer (e.g. low stress glass), filling the nozzle cavity. Planarize the sacrificial layer to a depth of 5 microns over the nitride surface. This step is shown in Fig. 103.
18. Etch the sacrificial layer to a depth of 6 microns using Mask 5. This mask defines the permanent magnet plus the magnet support posts. This step is shown in Fig. 104.
19. Deposit 6 microns of permanent magnet material such as neodymium iron boron (NdFeB). Planarize. This step is shown in Fig. 105.
20. Deposit 0.5 microns of low stress PECVD silicon nitride.
21. Etch the nitride using Mask 6, which defines the spring. This step is shown in Fig. 106.
22. Anneal the permanent magnet material at a temperature which is dependant upon the material.
23. Place the wafer is a uniform magnetic field of 2 Tesla (20,000 Gauss) with the field normal to the chip surface. This magnetizes the permanent magnet. 24. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 107.
25. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 108. 26. Plasma back-etch through the boron doped layer using Mask 8. This mask defines the nozzle, and the edge ofthe chips.
27. Plasma back-etch nitride up to the glass sacrificial layer through the holes in the boron doped silicon layer. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 109.
28. Strip the adhesive layer to detach the chips from the glass blank. 29. Etch the sacrificial glass layer in buffered HF. This step is shown in Fig. 110.
30. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
31. Connect the print heads to their interconnect systems.
32. Hydrophobize the front surface ofthe print heads. 33. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 111.
Description of IJ08 SF
In an embodiment, a shutter is actuated by means of a magnetic coil, the coil being utilized to move an attached shutter to thereby cause the shutter to open or close. The shutter is disposed between an ink reservoir having an oscillating ink pressure and a nozzle chamber having an ink ejection port defined therein for the ejection of ink. When the shutter is open, ink is allowed to flow from the ink reservoir through to the nozzle chamber and thereby cause an ejection of ink from the ink ejection port. When the shutter is closed, the nozzle chamber remains in a stable state such that no ink is ejected from the chamber.
Turning now to Fig. 112, there is illustrated a single inkjet nozzle arrangement 710 in a closed position. The arrangement 710 includes a series of shutters 711 which are located above corresponding apertures to a nozzle chamber. In Fig. 113, the ink jet nozzle 710 is illustrated in an open position which also illustrates the apertures 712 providing a fluid interconnection to a nozzle chamber 713 and an ink ejection port 714. The shutters e.g. 711 are interconnected together and further connected to an arm 716 which is pivotally mounted about a pivot point 717 about which the shutters e.g. 711 rotate. The shutter 711 and arm 716 are constructed from nickel iron (NiFe) so as to be magnetically attracted to an electromagnetic device 719. The electromagnetic device 719 comprises a NiFe core 720 around which is constructed a copper coil 721. The copper coil 721 is connected to a lower drive layer via vias 723,724. The coil 719 is activated by sending a current through the coil 721 which results in its magnification and corresponding attraction in the areas 726,727. The high levels of attraction are due to its close proximity to the ends ofthe electromagnet 719. This results in a general rotation of the surfaces 726,727 around the pivot point 717 which in turn results in a corresponding rotation of the shutter from a closed to an open position.
A number of coiled springs 730-732 are also provided. The coiled springs store energy as a consequence of the rotation of the shutter 711. Hence, upon deactivation of the electromagnet 719 the coil springs 730-732 act to return the shutter to its closed position. As mentioned previously, the opening and closing ofthe shutter 711 allows for the flow of ink to the ink nozzle chamber for a subsequent ejection. The coil 719 is activated rotating the arm 716 bringing the surfaces 726,727 into close contact with the electromagnet 719. The surfaces 726,727 are kept in contact with the electromagnet 719 by means of utilisation of a keeper current which, due the close proximity between the surfaces is substantially less than that required to initially move the arm 716.
The shutter 711 is maintained in the plane by means of a guide 734 which overlaps slightly with an end portion of the shutter 711.
Turning now to Fig. 114, there is illustrated an exploded perspective of one form of construction of a nozzle arrangement 710 in accordance with an embodiment. The bottom level consists of a boron doped silicon layer 740 which can be formed from constructing a buried epitaxial layer within a selected wafer and then back etching utilising the boron doped layer as an etch stop. Subsequently, there is provided a silicon layer 741 which includes a crystallographically etched pit forming the nozzle chamber 713. On top ofthe silicon layer 741 there is constructed a 2 micron silicon dioxide layer 742 which includes the nozzle chamber pit opening whose side walls are passivated by a subsequent nitride layer. On top ofthe silicon dioxide layer 742 is constructed a nitride layer 744 which provides passivation ofthe lower silicon dioxide layer and also provides a base on which to construct the electromagnetic portions and the shutter. The nitride layer 744 and lower silicon dioxide layer having suitable vias for the interconnection to the ends of the electromagnetic circuit for the purposes of supplying power on demand to the electromagnetic circuit.
Next, a copper layer 745 is provided. The copper layer providing a base wiring layer for the electromagnetic array in addition to a lower portion ofthe pivot 717 and a lower portion ofthe copper layer being utilised to form a part ofthe construction ofthe guide 734. Next, a NiFe layer 747 is provided which is utilized for the formation of the internal portions 720 of the electromagnet, in addition to the pivot, aperture arm and shutter 711 in addition to a portion of the guide 734, in addition to the various spiral springs. On top ofthe NiFe layer 747 is provided a copper layer 749 for providing the top and side windings ofthe coil 721 in addition to providing the formation ofthe top portion of guide 734. Each of the layers 745,747 can be conductively insulated from its surroundings where required through the utilisation of a nitride passivation layer (not shown). Further, a top passivation layer can be provided to cover the various top layers which will be exposed to the ink within the ink reservoir and nozzle chamber. The various levels 745,749 can be foimed through the utilisation of supporting sacrificial structures which are subsequently sacrificially etched away to leave the operable device.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 116. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig.
115 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges ofthe print heads chips. This step is shown in Fig. 117. 5. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 118.
6. Deposit 10 microns of sacrificial material. Planarize down to oxide using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 119. 7. Deposit 0.5 microns of silicon nitride (Si3N4).
8. Etch nitride and oxide down to aluminum or sacrificial material using Mask 3. This mask defines the contact vias from the aluminum electrodes to the solenoid, as well as the fixed grill over the nozzle cavity. This step is shown in Fig. 120.
9. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
10. Spin on 2 microns of resist, expose with Mask 4, and develop. This mask defines the lower side ofthe solenoid square helix, as well as the lowest layer ofthe shutter grill vertical stop. The resist acts as an electroplating mold. This step is shown in Fig. 121.
11. Electroplate 1 micron of copper. This step is shown in Fig. 122. 12. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 123.
13. Deposit 0.1 microns of silicon nitride.
14. Deposit 0.5 microns of sacrificial material.
15. Etch the sacrificial material down to nitride using Mask 5. This mask defines the solenoid, the fixed magnetic pole, the pivot, the spring posts, and the middle layer ofthe shutter grill vertical stop. This step is shown in Fig. 124.
16. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
17. Spin on 3 microns of resist, expose with Mask 6, and develop. This mask defines all ofthe soft magnetic parts, being the fixed magnetic pole, the pivot, the shutter grill, the lever arm, the spring posts, and the middle layer of the shutter grill vertical stop. The resist acts as an electroplating mold. This step is shown in Fig. 125.
18. Electroplate 2 microns of CoNiFe. This step is shown in Fig. 126.
19. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 127.
20. Deposit 0.1 microns of silicon nitride (SJ3N4). 21. Spin on 2 microns of resist, expose with Mask 7, and develop. This mask defines the solenoid vertical wire segments, for which the resist acts as an electroplating mold. This step is shown in Fig. 128.
22. Etch the nitride down to copper using the Mask 7 resist.
23. Electroplate 2 microns of copper. This step is shown in Fig. 129.
24. Deposit a seed layer of copper. 25. Spin on 2 microns of resist, expose with Mask 8, and develop. This mask defines the upper side ofthe solenoid square helix, as well as the upper layer of the shutter grill vertical stop. The resist acts as an electroplating mold. This step is shown in Fig. 130.
26. Electroplate 1 micron of copper. This step is shown in Fig. 131.
27. Strip the resist and etch the exposed copper seed layer, and strip the newly exposed resist. This step is shown in Fig. 132. 28. Deposit 0.1 microns of conformal silicon nitride as a corrosion barrier.
29. Open the bond pads using Mask 9.
30. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated. 31. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 133.
32. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 9. This mask defines the nozzle rim. This step is shown in Fig. 134.
33. Plasma back-etch through the boron doped layer using Mask 10. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in
Fig. 135.
34. Detach the chips from the glass blank. Strip all adhesive, resist, sacrificial, and exposed seed layers. This step is shown in Fig. 136.
35. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer. The package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the inkjet operation.
36. Connect the print heads to their interconnect systems.
37. Hydrophobize the front surface ofthe print heads. 38. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 137.
Description of IJ10 TF
In an embodiment, an array of ink jet nozzles is provided with each ofthe nozzles being under the influence of a outside pulsed magnetic field. The outside pulsed magnetic field causes selected nozzles to eject ink from their ink nozzle chambers. Turning to Fig. 138 and Fig. 139, there is illustrated a side perspective view, partly in section, of a single ink jet nozzle 910. Fig. 138 illustrates a nozzle in a quiescent position and Fig. 139 illustrates a nozzle in an ink ejection position. The ink jet nozzle 910 has an ink ejection port 911 for the ejection of ink on demand. The ink jet ejection port 911 is connected to an ink nozzle chamber 912 which is usually filled with ink and supplied from an ink reservoir 913 via holes eg.915. A magnetic actuation device 925 is included and comprises a magnetic soft core 917 which is surrounded by a nitride coating eg. 918. The nitride coating includes an end protuberance 927.
The magnetic core 917, operates under the influence of an external pulsed magnetic field. Hence, when the external magnetic field is very high, the actuator 925 is caused to move rapidly downwards and to thereby cause the ejection of ink from the ink ejection port 911. Adjacent the actuator 920 is provided a locking mechanism 920 which comprises a thermal actuator which includes a copper resistive circuit having two arms 922, 924. A current is passed through the connected arms 922, 924 thereby causing them to be heated. The arm 922, being of a thinner construction undergoes more resistive heating than the arm 924 which has a much thicker structure. The arm 922 is also of a serpentine nature and is encased in polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion, thereby increasing the degree of expansion upon heating. The copper portions expand with the PTFE portions by means of concertinaing. The arm 924 has a thinned portion 929 (Fig. 140) which becomes the concentrated bending region in the resolution ofthe various forces activated upon heating. Hence, any bending of arm 924 is accentuated in the region 929 and upon heating, the region 929 bends so that end portion 926 (Fig. 138) moves out to block any downward movement ofthe edge 927 ofthe actuator 925. Hence, when it is desired to eject an ink drop from a current nozzle chamber, the locking mechanism 920 is not activated and as a result ink is ejected from the ink ejection port during the next external magnetic pulse phase. When a current nozzle is not to eject ink, the locking mechanism 920 is activated to block any movement ofthe actuator 925 and therefore stop the ejection of ink from the chamber.
Importantly, the actuator 920 is located within a cavity 928 such that the volume of ink flowing past arm 922 is extremely low whereas the arm 924 receives a much larger volume of ink flow during operation.
Turning now to Fig. 140, there is illustrated an exploded perspective view of a single ink jet nozzle 910 illustrating the various layers which make up the nozzle. The nozzle 910 can be constructed on a semiconductor wafer utilizing standard semiconductor processing techniques in addition to those techniques commonly used for the construction of micro-electromechanical systems (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE
(International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. At the bottom level 930 is constructed the nozzle plate including the ink ejection port 911. The nozzle plate 930 can be constructed from a buried boron doped epitaxial layer of a silicon wafer which has been back etched to the point ofthe epitaxial layer. The epitaxial layer itself is then etched utilizing a mask so as to form the nozzle rim (not shown) and the nozzle hole 911.
Next, is the silicon wafer layer 932 which is etched so as to include the nozzle chamber 912. The silicon layer 932 can be etched to contain substantially vertical side walls through the utilisation of high density, low pressure plasma etching such as that available from Surface Technology Systems and subsequently filled with sacrificial material which will be later etched away. On top ofthe silicon layer is deposited a two level CMOS circuitry layer 933 which comprises substantially glass in addition to the usual metal and poly layers. The layer 933 includes the formation of the heater element contacts which can be constructed from copper. The PTFE layer 935 can be provided as a departure from normal construction with a bottom PTFE layer being first deposited followed by the copper layer 934 and a second PTFE layer to cover the copper layer 934. Next, a nitride passivation layer 936 is provided which acts to provide a passivation surface for the lower layers in addition to providing a base for a soft magnetic Nickel Ferrous layer 917 which forms the magnetic actuator portion of the actuator 925. The nitride layer 936 includes bending portions 940 utilized in the bending of the actuator.
Next a nitride passivation layer 939 is provided so as to passivate the top and side surfaces ofthe nickel iron (NiFe) layer 917.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features ofthe wafer at this step are shown in Fig. 142. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 141 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges ofthe print head chips. This step is shown in Fig. 143.
5. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 144.
6. Deposit 0.5 microns of silicon nitride (Si3N4).
7. Deposit 10 microns of sacrificial material. Planarize down to one micron over nitride using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 145. 8. Deposit 0.5 microns of polytetrafluoroethylene (PTFE).
9. Etch contact vias in the PTFE, the sacrificial material, nitride, and CMOS oxide layers down to second level metal using Mask 2. This step is shown in Fig. 146.
10. Deposit 1 micron of titanium nitride (TiN).
11. Etch the TiN using Mask 3. This mask defines the heater pattern for the hot arm ofthe catch actuator, the cold arm ofthe catch actuator, and the catch. This step is shown in Fig. 147.
12. Deposit 1 micron of PTFE.
13. Etch both layers of PTFE using Mask 4. This mask defines the sleeve of the hot arm of the catch actuator. This step is shown in Fig. 148.
14. Deposit a seed layer for electroplating. 15. Spin on 11 microns of resist, and expose and develop the resist using Mask 5. This mask defines the magnetic paddle. This step is shown in Fig. 149.
16. Electroplate 10 microns of ferromagnetic material such as nickel iron (NiFe). This step is shown in Fig. 150.
17. Strip the resist and etch the seed layer. 18. Deposit 0.5 microns of low stress PECVD silicon nitride.
19. Etch the nitride using Mask 6, which defines the spring. This step is shown in Fig. 151.
20. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 152.
21. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 153.
22. Plasma back-etch through the boron doped layer using Mask 8. This mask defines the nozzle, and the edge ofthe chips.
23. Plasma back-etch nitride up to the glass sacrificial layer through the holes in the boron doped silicon layer. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 154. 24. Strip the adhesive layer to detach the chips from the glass blank.
25. Etch the sacrificial layer. This step is shown in Fig. 155.
26. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer. 27. Connect the print heads to their interconnect systems.
28. Hydrophobize the front surface ofthe print heads.
29. Fill the completed print heads with ink, apply an oscillating magnetic field, and test the print heads. This step is shown in Fig. 156.
Description of Ull F In an embodiment, there is provided an ink jet nozzle and chamber filled with ink. Within said jet nozzle chamber is located a static coil and a moveable coil. When energized, the static and movable coils are attracted towards one another, loading a spring. The ink drop is ejected from the nozzle when the coils are de-energized. Turn now to Fig. 157 to Fig. 160, there is illustrated schematically the operation of an embodiment. In Fig. 157, there is shown a single inkjet nozzle chamber 1010 having an ink ejection port 1011 and ink meniscus in this position 1012. Inside the nozzle chamber 1010 are located a fixed or static coil 1014 and a moveable coil 1015. The arrangement of Fig. 157 illustrates the quiescent state in the inkjet nozzle chamber.
The two coils are then energised resulting in an attraction to one another. This results in the movable plate 1015 moving towards the static or fixed plate 1014 as illustrated in Fig. 158. As a result of the movement, springs 1018,1019 are loaded. Additionally, the movement of coil 1015 may cause ink to flow out ofthe chamber 1010 in addition to a change in the shape ofthe meniscus 1012. The coils are energised for long enough for the moving coil 1015 to reach its position (approximate two microseconds). The coil currents are then turned to a lower "level" while the nozzle fills. The keeper power can be substantially less than the maximum current level utilised to move the plate 1015 because the magnetic gap between the plates 1014 and 1015 is at a minimum when the moving coil 1015 is at its stop position. The surface tension on the meniscus 1012 inserts a net force on the ink which results in nozzle refilling as illustrated in Fig. 159. The nozzle refilling replaces the volume of the piston withdrawal with ink in a process which should take approximately 100 microseconds.
Turning to Fig. 160, the coil current is then turned off and the moveable coil 1015 acts as a plunger which is accelerated to its normal position by the springs 1018, 1019 as illustrated in Fig. 160. The spring force on the plunger coil 1015 will be greatest at the beginning of its stroke and slows as the spring elastic stress falls to zero. As a result, the acceleration of plunger plate 1015 is high at the beginning ofthe stroke but decreases during the stroke resulting in a more uniform ink velocity during the stroke. The movement plate 1015 causes the meniscus to bulge and break off performing ink drop 1020. The plunger coil 1015 in turn settles in its quiescent position until the next drop ejection cycle.
Turning now to Fig. 161, there is illustrated a perspective view of one form of construction of an ink jet nozzle 1010. The ink jet nozzle 1010 can be constructed on a silicon wafer base 1022 as part of a large array of nozzles 1010 which can be formed for the purposes of providing a print head having a certain dpi, for example, a 1600 dpi print head. The print head 1010 can be constructed utilizing advanced silicon semi-conductor fabrication and micro machining and micro fabrication process technology. The wafer is first processed to include lower level drive circuitry (not shown) before being finished off with a two microns thick dioxide layer 1022 with appropriate vias for interconnection. Preferably, the CMOS layer can include one level of metal for providing basic interconnects. On top ofthe glass layer 1022 is constructed a nitride layer 1023 in which is embedded two coil layers 1025 and 1026. The coil layers 1025, 1026 can be embedded within the nitride layer 1023 through the utilisation of the well-known dual damascene process and chemical mechanical planarization techniques ("Chemical Mechanical Planarisation of Micro Electronic Materials" by Sterger Wald et al published 1997 by John Wiley and Sons Inc., New York, New York). The two coils 1025,1026 are interconnected utilizing a fire at their central point and are further connected, by appropriate vias at ends 1028,1029 to the end points 1028,1029. Similarly, the moveable coil can be foimed from two copper coils 1031,1032 which are encased within a further nitride layer 1033. The copper coil 1031,1032 and nitride layer 1033 also include torsional springs 1036-1039 which are foimed so that the top moveable coil has a stable state away from the bottom fixed coil. Upon passing a current through the various copper coils, the top copper coils 1031,1032 are attracted to the bottom copper coils 1025,1026 thereby resulting in a loading being placed on the torsional springs 1036-1039 such that, when the current is turned off, the springs 1036-1039 act to move the top moveable coil to its original position. The nozzle chamber can be formed via nitride wall portions e.g. 1040,1041 having slots between adjacent wall portions. The slots allow for the flow of ink into the chamber as required. A top nitride plate 1044 is provided to cap the top ofthe internals of 1010 and to provide in flow channel support. The nozzle plate 1044 includes a series of holes 1045 provided to assist in sacrificial etching of lower level layers. Also provided is the ink injection nozzle 1011 having a ridge around its side so as to assist in resisting any in flow on to the outside surface ofthe nozzle 1010. The etched through holes 1045 are of much smaller diameter than the nozzle hole 1011 and, as such, surface tension will act to retain the ink within the through holes of 1045 whilst simultaneously the injection of ink from nozzle 1011.
As mentioned previously, the various layers of the nozzle 1010 can be constructed in accordance with standard semi-conductor and micro mechanical techniques. These techniques utilize the dual damascene process as mentioned earlier in addition to the utilisation of sacrificial etch layers to provide support for structures which are later released by means of etching the sacrificial layer. The ink can be supplied within the nozzle 1010 by standard techniques such as providing ink channels along the side ofthe wafer so as to allow the flow of ink into the area under the surface of nozzle plate 1044. Alternatively, ink channel portals can be provided through the wafer via means of utilisation of a high density low pressure plasma etch processing system such as that available from surface technology system and known as their Advanced Silicon Edge (ASE) process. The etched portals 1045 being so small that surface tension affects not allow the ink to leak out ofthe small portal holes. In Fig. 162, there is shown a final assembled inkjet nozzle ready for the ejection of ink.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 164. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 163 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
2. Deposit 0.5 microns of low stress PECVD silicon nitride (Si3N4). The nitride acts as a dielectric, and etch stop, a copper diffusion barrier, and an ion diffusion barrier. As the speed of operation ofthe print head is low, the high dielectric constant of silicon nitride is not important, so the nitride layer can be thick compared to sub-micron CMOS back-end processes.
3. Etch the nitride layer using Mask 1. This mask defines the contact vias from the solenoid coil to the second-level metal contacts. This step is shown in Fig. 165. 4. Deposit 1 micron of PECVD glass.
5. Etch the glass down to nitride or second level metal using Mask 2. This mask defines first layer of the fixed solenoid. This step is shown in Fig. 166.
6. Deposit a thin barrier layer of Ta or TaN.
7. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
8. Electroplate 1 micron of copper.
9. Planarize using CMP. Steps 2 to 9 represent a copper dual damascene process. This step is shown in Fig. 167.
10. Deposit 0.5 microns of low stress PECVD silicon nitride. 11. Etch the nitride layer using Mask 3. This mask defines the defines the vias from the second layer to the first layer ofthe fixed solenoid. This step is shown in Fig. 168.
12. Deposit 1 micron of PECVD glass.
13. Etch the glass down to nitride or copper using Mask 4. This mask defines second layer of the fixed solenoid. This step is shown in Fig. 169. 14. Deposit a thin barrier layer and seed layer.
15. Electroplate 1 micron of copper.
16. Planarize using CMP. Steps 10 to 16 represent a second copper dual damascene process. This step is shown in Fig. 170.
17. Deposit 0.5 microns of low stress PECVD silicon nitride. 18. Deposit 0.1 microns of PTFE. This is to hydrophobize the space between the two solenoids, so that when the nozzle fills with ink, this space forms an air bubble. The allows the upper solenoid to move more freely.
19. Deposit 4 microns of sacrificial material. This forms the space between the two solenoids.
20. Deposit 0.1 microns of low stress PECVD silicon nitride.
21. Etch the nitride layer, the sacrificial layer, the PTFE layer, and the nitride layer of step 17 using Mask 5. This mask defines the vias from the first layer ofthe moving solenoid to the second layer the fixed solenoid. This step is shown in Fig. 171.
22. Deposit 1 micron of PECVD glass.
23. Etch the glass down to nitride or copper using Mask 6. This mask defines first layer of the moving solenoid. This step is shown in Fig. 172. 24. Deposit a thin barrier layer and seed layer.
25. Electroplate 1 micron of copper.
26. Planarize using CMP. Steps 20 to 26 represent a third copper dual damascene process. This step is shown in Fig. 173.
27. Deposit 0.1 microns of low stress PECVD silicon nitride. 28. Etch the nitride layer using Mask 7. This mask defines the vias from the second layer the moving solenoid to the first layer ofthe moving solenoid. This step is shown in Fig. 174.
29. Deposit 1 micron of PECVD glass.
30. Etch the glass down to nitride or copper using Mask 8. This mask defines second layer ofthe moving solenoid. This step is shown in Fig. 175.
31. Deposit a thin barrier layer and seed layer.
32. Electroplate 1 micron of copper.
33. Planarize using CMP. Steps 27 to 33 represent a fourth copper dual damascene process. This step is shown in Fig. 176. 34. Deposit 0.1 microns of low stress PECVD silicon nitride.
35. Etch the nitride using Mask 9. This mask defines the moving solenoid, including its springs, and allows the sacrificial material in the space between the solenoids to be etched. It also defines the bond pads. This step is shown in Fig. 177.
36. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
37. Deposit 10 microns of sacrificial material.
38. Etch the sacrificial material using Mask 10. This mask defines the nozzle chamber wall. This step is shown in Fig. 178.
39. Deposit 3 microns of PECVD glass. 40. Etch to a depth of 1 micron using Mask 11. This mask defines the nozzle rim. This step is shown in Fig.
179.
41. Etch down to the sacrificial layer using Mask 12. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 180.
42. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 181.
43. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 182.
44. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer.
45. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
46. Hydrophobize the front surface ofthe print heads. 47. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 183.
Description of IJ12
In an embodiment, a linear stepper motor is utilized to control a plunger device. The plunger device compressing ink within a nozzle chamber so as to thereby cause the ejection of ink from the chamber on demand. Turning to Fig. 184, there is illustrated a single nozzle arrangement 1110 as constructed in accordance with an embodiment. The nozzle arrangement 1110 includes a nozzle chamber 1111 into which ink flows via a nozzle chamber filter portion 1114 which includes a series of posts which filter out foreign bodies in the ink in flow. The nozzle chamber 1111 includes an ink ejection port 1115 for the ejection of ink on demand. Normally, the nozzle chamber 1111 is filled with ink.
A linear actuator 1116 is provided for rapidly compressing a nickel ferrous plunger 1118 into the nozzle chamber 1111 so as to compress the volume of ink within chamber 1111 to thereby cause ejection of drops from the ink ejection port 1115. The plunger 1118 is connected to the stepper moving pole device 1116 which is actuated by means of a three phase arrangement of electromagnets 1120 to 1131. The electromagnets are driven in three phases with electro magnets 1120, 1126, 1123 and 1129 being driven in a first phase, electromagnets 1121, 1127, 1124,
1130 being driven in a second phase and electromagnets 1122, 1128, 1125, 1131 being driven in a third phase. The electromagnets are driven in a reversible manner so as to de-actuate plunger 1118 via actuator 1116. The actuator 1116 is guided at one end by a means of guide 1133, 1134. At the other end, the plunger 1118 is coated with a hydrophobic material such as polytetrafluoroethylene (PTFE) which can form a major part ofthe plunger 1118. The PTFE acts to repel the ink from the nozzle chamber 1111 resulting in the creation of a membrane eg. 1138, 1139 between the plunger 1118 and side walls eg. 1136, 1137. The surface tension characteristics ofthe membranes 1138, 1139 act to balanced one another thereby guiding the plunger 1118 within the nozzle chamber. The meniscus eg. 1138, 1139 further stops ink from flowing out ofthe chamber 1111 and hence the electromagnets 1120 to 1131 can be operated in normal air. The nozzle arrangement 1110 is therefore operated to eject drops on demand by means of activating the actuator 1116 by appropriately synchronized driving of electromagnets 1120 to 1131. The actuation ofthe actuator 1116 results in the plunger 1118 moving towards the nozzle ink ejection port 1115 thereby causing ink to be ejected from the port 1115.
Subsequently, the electromagnets are driven in reverse thereby moving the plunger in an opposite direction resulting in the in flow of ink from an ink supply connected to the ink inlet port 1114.
Preferably, multiple ink nozzle arrangements 1110 can be constructed adjacent to one another to form a multiple nozzle ink ejection mechanism. The nozzle arrangements 1110 are preferably constructed in an array print head constructed on a single silicon wafer which is subsequently diced in accordance with requirements. The diced print heads can then be interconnected to an ink supply which can comprise a through chip ink flow or ink flow from the side of a chip.
Turning now to Fig. 185, there is shown an exploded perspective of the various layers of the nozzle arrangement 1110. The nozzle arrangement can be constructed on top of a silicon wafer 1140 which has a standard electronic circuitry layer such as a two level metal CMOS layer 1141. The two metal CMOS provides the drive and control circuitry for the ejection of ink from the nozzles by interconnection ofthe electromagnets to the CMOS layer. On top ofthe CMOS layer 1141 is a nitride passivation layer 1142 which passivates the lower layers against any ink erosion in addition to any etching ofthe lower CMOS glass layer should a sacrificial etching process be utilized in the construction ofthe nozzle arrangement 1110.
On top ofthe nitride layer 1142 is constructed various other layers. The wafer layer 1140, the CMOS layer
1141 and the nitride passivation layer 1142 are constructed with the appropriate fires for interconnecting to the above layers. On top of the nitride layer 1142 is constructed a bottom copper layer 1143 which interconnects with the CMOS layer 1141 as appropriate. Next, a nickel ferrous layer 1145 is constructed which includes portions for the core of the electromagnets and the actuator 1116 and guides 1131, 1132. On top of the NiFe layer 1145 is constructed a second copper layer 1146 which forms the rest ofthe electromagnetic device. The copper layer 1146 can be constructed utilizing a dual damascene process. Next a PTFE layer 1147 is laid down followed by a nitride layer 1148 which includes the side filter portions and side wall portions of the nozzle chamber. In the top of the nitride layer 1148, the ejection port 1115 and the rim 1151 are constructed by means of etching. In the top of the nitride layer 1148 is also provided a number of apertures 1150 which are provided for the sacrificial etching of any sacrificial material utilized in the construction ofthe various lower layers including the nitride layer 1148.
It will be understood by those skilled in the art of construction of micro-electro-mechanical systems (MEMS) that the various layers 1143, 1145 to 1148 can be constructed by means of utilizing a sacrificial material to deposit the structure of various layers and subsequent etching away of the sacrificial material as to release the structure ofthe nozzle arrangement 1110.
For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 187. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 186 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
2. Deposit 1 micron of sacrificial material. 3. Etch the sacrificial material and the CMOS oxide layers down to second level metal using Mask 1.
This mask defines the contact vias from the second level metal electrodes to the solenoids. This step is shown in Fig. 188.
4. Deposit a barrier layer of titanium nitride (TiN) and a seed layer of copper.
5. Spin on 2 microns of resist, expose with Mask 2, and develop. This mask defines the lower side of the solenoid square helix. The resist acts as an electroplating mold. This step is shown in Fig. 189.
6. Electroplate 1 micron of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities. This step is shown in Fig. 193.
7. Strip the resist and etch the exposed barrier and seed layers. This step is shown in Fig. 190. 8. Deposit 0.1 microns of silicon nitride.
9. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
10. Spin on 3 microns of resist, expose with Mask 3, and develop. This mask defines all ofthe soft magnetic parts, being the fixed magnetic pole of the solenoids, the moving poles of the linear actuator, the horizontal guides, and the core ofthe ink pusher. The resist acts as an electroplating mold. This step is shown in Fig. 191.
11. Electroplate 2 microns of CoNiFe. This step is shown in Fig. 192.
12. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 193.
13. Deposit 0.1 microns of silicon nitride (Si3N4). 14. Spin on 2 microns of resist, expose with Mask 4, and develop. This mask defines the solenoid vertical wire segments, for which the resist acts as an electroplating mold. This step is shown in Fig. 194.
15. Etch the nitride down to copper using the Mask 4 resist.
16. Electroplate 2 microns of copper. This step is shown in Fig. 195.
17. Deposit a seed layer of copper. 18. Spin on 2 microns of resist, expose with Mask 5, and develop. This mask defines the upper side ofthe solenoid square helix. The resist acts as an electroplating mold. This step is shown in Fig. 196.
19. Electroplate 1 micron of copper. This step is shown in Fig. 197.
20. Strip the resist and etch the exposed copper seed layer, and strip the newly exposed resist. This step is shown in Fig. 198. 21. Open the bond pads using Mask 6.
22. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
23. Deposit 5 microns of PTFE.
24. Etch the PTFE down to the sacrificial layer using Mask 7. This mask defines the ink pusher. This step is shown in Fig. 199.
25. Deposit 8 microns of sacrificial material. Planarize using CMP to the top ofthe PTFE ink pusher. This step is shown in Fig.200.
26. Deposit 0.5 microns of sacrificial material. This step is shown in Fig.201.
27. Etch all layers of sacrificial material using Mask 8. This mask defines the nozzle chamber wall. This step is shown in Fig. 202.
28. Deposit 3 microns of PECVD glass.
29. Etch to a depth of (approx.) 1 micron using Mask 9. This mask defines the nozzle rim. This step is shown in Fig. 203.
30. Etch down to the sacrificial layer using Mask 10. This mask defines the roof of the nozzle chamber, the nozzle, and the sacrificial etch access holes. This step is shown in Fig. 204.
31. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 11. Continue the back-etch through the CMOS glass layers until the sacrificial layer is reached. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig.205. 32. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig.206.
33. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer. The package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation. 34. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
35. Hydrophobize the front surface ofthe print heads. 36. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 207.
Further, it would be readily understood that various other forms of construction, including substitution of various materials for other suitable materials and variations in the utilisation of nitride passivation layers will be readily evident to those skilled in the arti with an embodiment providing a merely illustrative example ofthe present invention.
Description of IJ13 S
In an embodiment, an ink jet nozzle chamber is provided having a shutter mechanism which open and closes over a nozzle chamber. The shutter mechanism includes a ratcheted drive which slides open and closed. The ratcheted drive is driven by a gearing mechanism which in turn is driven by a drive actuator which is activated by passing an electric current through the drive actuator in a magnetic field. The actuator force is "geared down" so as to drive a ratchet and pawl mechanism to thereby open and shut the shutter over a nozzle chamber.
Turning to Fig. 208, there is illustrated a single nozzle arrangement 1210 as shown in an open position. The nozzle arrangement 1210 includes a nozzle chamber 1212 having an anisotropic <111> crystallographic etched pit which is etched down to what is originally a boron doped buried epitaxial layer 1213 which includes a nozzle rim 1214 and a nozzle ejection port 1215 which ejects ink. The ink flows in through a fluid passage 1216 when the aperture 1216 is open. The ink flowing through passage 1216 flows from an ink reservoir which operates under an oscillating ink pressure. When the shutter is open, ink is ejected from the ink ejection port 1215. The shutter mechanism includes a plate 1217 which is driven via means of guide slots 1218, 1219 to a closed position. The driving of the nozzle plate is via a latch mechanism 1220 with the plate structure being kept in a correct path by means of retainers 1222 to 1225. The nozzle arrangement 1210 can be constructed utilising a two level poly process which can be a standard micro-electro mechanical system production technique (MEMS). For a general introduction to a micro- electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. The plate 1217 can be constructed from a first level polysilicon and the retainers 1222 to 1225 can be constructed from a lower first level poly portion and a second level poly portion, as it is more apparent from the exploded perspective view illustrated in Fig. 209.
The bottom circuit of plate 1217 includes a number of pits 1227 which are provided on the bottom surface of plate 1217 so as to reduce stiction effects.
The ratchet mechanism 1220 is driven by a gearing arrangement which includes first gear wheel 1230, second gear wheel 1231 and third gear wheel 1232. These gear wheels 1230 to 1232 are constructed utilising two level poly which each gear wheel being constructed around a corresponding central pivot 1235 to 1237. The gears 1230 to 1232 operate to gear down the ratchet speed with the gears being driven by a gear actuator mechanism 1240. Turning to Fig. 209 there is illustrated an exploded perspective view of a single nozzle chamber 1210. The actuator 1240 comprises mainly a copper circuit having a drive end 1242 which engages and drives the cogs 1243 ofthe gear wheel 1232. The copper portion includes serpentine sections 1245, 1246 which concertina upon movement ofthe end 1242. The end 1242 is actuated by means of passing an electric current through the copper portions in the presence of a magnetic field peφendicular to the surface of the wafer such that the interaction of the magnetic field and circuit result in a Lorenz force acting on the actuator 1240 so as to move the end 1242 to drive the cogs 1243. The copper portions are mounted on aluminium disks 1248, 1249 which are connected to lower levels of circuitry on the wafer upon which actuator 1240 is mounted.
Returning to Fig. 208, the actuator 1240 can be driven at a high speed with the gear wheels 1230 to 1232 acting to gear down the high speed driving of actuator 1240 so as to drive ratchet mechanism 1220 open and closed on demand. Hence, when it is desired to eject a drop of ink from nozzle 1215, the shutter is opened by means of driving actuator 1240. Upon the next high pressure part ofthe oscillating pressure cycle, ink will be ejected from the nozzle 1215. If no ink is to be ejected from a subsequent cycle, a second actuator 1250 is utilized to drive the gear wheel in the opposite direction thereby resulting in the closing ofthe shutter plate 1217 over the nozzle chamber 1212 resulting in no ink being ejected in subsequent pressure cycles. The pits 1227 act to reduce the forces required for driving the shutter plate 1217 to an open and closed position.
Turning to Fig. 210, there is illustrated a top cross-sectional view illustrating the various layers making up a single nozzle chamber 1210. The nozzle chambers can be foimed as part of an array of nozzle chambers making up a single print head which in turn forms part of an array of print head fabricated on a semiconductor wafer in accordance with in accordance with the semiconductor wafer fabrication techniques well known to those skilled in the art of
MEMS fabrication and construction.
The bottom boron layer 1213 can be formed from the processing step of back etching a silicon wafer utilizing a buried epitaxial boron doped layer as the etch stop. Further processing of the boron layer can be undertaken so as to define the nozzle hole 1215 which can include a nozzle rim 1214. The next layer is a silicon glass layer 1252 which normally sits on top ofthe boron doped layer 1213. The silicon glass layer 1252 includes an anisotropically etched pit 1212 so as to define the structure of the nozzle chamber. On top of the silicon layer 1252 is provided a glass layer 1254 which includes the various electrical circuitry (not shown) for driving the actuators. The layer 1254 is passivated by means of a nitride layer 1256 which includes trenches 1257 for passivating the side walls of glass layer 1254. On top ofthe passivation layer 1256 is provided a first level polysilicon layer 1258 which defines the shutter and various cog wheels. The second poly layer 1259 includes the various retainer mechanisms and gear wheel 1231.
Next, a copper layer 1260 is provided for defining the copper circuit actuator. The copper 1260 is interconnected with lower portions of glass layer 1254 for forming the circuit for driving the copper actuator.
The nozzle chamber 1210 can be constructed utilizing the standard MEMS processes including forming the various layers utilizing the sacrificial material such as silicon dioxide and subsequently sacrificially etching the lower layers away.
Subsequently, wafers that contain a series of print heads can be diced into separate print heads and a print head mounted on a wall of an ink supply chamber having a piezo electric oscillator actuator for the control of pressure in the ink supply chamber. Ink is then ejected on demand by opening the shutter plate 1217 during periods of high oscillation pressure so as to eject ink. The nozzles being actuated by means of placing the print head in a strong magnetic field utilizing permanent magnets or electro- magnetic devices and driving current through the actuators e.g. 1240, 1250 as required to open and close the shutter and thereby eject drops of ink on demand.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of n/n+ epitaxial silicon. Note that the epitaxial layer is substantially thicker than required for CMOS. This is because the nozzle chambers are crystallographically etched from this layer. This step is shown in Fig. 212. Fig. 211 is a key to representations of various materials in these manufacturing diagrams. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
3. Crystallographically etch the epitaxial silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol) using MEMS Mask 1. This mask defines the nozzle cavity. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 213. 4. Deposit 12 microns of low stress sacrificial oxide. Planarize down to silicon using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 214.
5. Begin fabrication ofthe drive transistors, data distribution, and timing circuits using a CMOS process. The MEMS processes which form the mechanical components of the inkjet are interleaved with the CMOS device fabrication steps. The example given here is of a 1 micron, 2 poly, 2 metal retrograde P-well process. The mechanical components are formed from the CMOS polysilicon layers. For clarity, the CMOS active components are omitted.
6. Grow the field oxide using standard LOCOS techniques to a thickness of 0.5 microns. As well as the isolation between transistors, the field oxide is used as a MEMS sacrificial layer, so inkjet mechanical details are incoφorated in the active area mask. The MEMS features of this step are shown in Fig. 215.
7. Perform the PMOS field threshold implant. The MEMS fabrication has no effect on this step except in calculation ofthe total thermal budget.
8. Perform the retrograde P-well and NMOS threshold adjust implants using the P-well mask. The MEMS fabrication has no effect on this step except in calculation ofthe total thermal budget.
9. Perform the PMOS N-tub deep phosphorus punch through control implant and shallow boron implant. The MEMS fabrication has no effect on this step except in calculation ofthe total thermal budget. 10. Deposit and etch the first polysilicon layer. As well as gates and local connections, this layer includes the lower layer of MEMS components. This includes the lower layer of gears, the shutter, and the shutter guide. It is preferable that this layer be thicker than the normal CMOS thickness. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 215.
11. Perform the NMOS lightly doped drain (LDD) implant. This process is unaltered by the inclusion of MEMS in the process flow.
12. Perform the oxide deposition and RIE etch for polysilicon gate sidewall spacers. This process is unaltered by the inclusion of MEMS in the process flow.
13. Perform the NMOS source/drain implant. The extended high temperature anneal time to reduce stress in the two polysilicon layers must be taken into account in the thermal budget for diffusion of this implant. Otherwise, there is no effect from the MEMS portion ofthe chip. 14. Perform the PMOS source/drain implant. As with the NMOS source/drain implant, the only effect from the MEMS portion ofthe chip is on thermal budget for diffusion of this implant.
15. Deposit 1 micron of glass as the first inter level dielectric and etch using the CMOS contacts mask. The CMOS mask for this level also contains the pattern for the MEMS inter-poly sacrificial oxide. The MEMS features of this step are shown in Fig. 216.
16. Deposit and etch the second polysilicon layer. As well as CMOS local connections, this layer includes the upper layer of MEMS components. This includes the upper layer of gears and the shutter guides. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 217.
17. Deposit 1 micron of glass as the second interlevel dielectric and etch using the CMOS via 1 mask. The CMOS mask for this level also contains the pattern for the MEMS actuator contacts.
18. Metal 1 deposition and etch. Metal 1 should be non-corrosive in water, such as gold or platinum, if it is to be used as the Lorenz actuator. The MEMS features of this step are shown in Fig. 218.
19. Third interlevel dielectric deposition and etch as shown in Fig. 219. This is the standard CMOS third interlevel dielectric. The mask pattern includes complete coverage ofthe MEMS area. 20. Metal 2 deposition and etch. This is the standard CMOS metal 2. The mask pattern includes no metal 2 in the MEMS area.
21. Deposit 0.5 microns of silicon nitride (Si3N4) and etch using MEMS Mask 2. This mask defines the region of sacrificial oxide etch performed in step 26. The silicon nitride aperture is substantially undersized, as the sacrificial oxide etch is isotropic. The CMOS devices must be located sufficiently far from the MEMS devices that they are not affected by the sacrificial oxide etch. The MEMS features of this step are shown in Fig. 220.
22. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. The MEMS features of this step are shown in Fig. 221.
23. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using MEMS Mask 3. This mask defines the nozzle rim. The MEMS features of this step are shown in Fig. 222. 24. Plasma back-etch through the boron doped layer using MEMS Mask 4. This mask defines the nozzle, and the edge of the chips. At this stage, the chips are separate, but are still mounted on the glass blank. The MEMS features of this step are shown in Fig. 223.
25. Detach the chips from the glass blank. Strip the adhesive. This step is shown in Fig. 224.
26. Etch the sacrificial oxide using vapor phase etching (VPE) using an anhydrous HF/methanol vapor mixture. The use of a dry etch avoids problems with stiction. This step is shown in Fig. 225.
27. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer. The package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation. The package also contains the permanent magnets which provide the 1 Tesla magnetic field for the Lorenz actuators foimed of metal 1.
28. Connect the print heads to their interconnect systems.
29. Hydrophobize the front surface ofthe print heads.
30. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 226. Description of IJ14 F
In an embodiment, there is provided an inkjet nozzle which incoφorates a plunger that is surrounded by an electromagnetic device. The plunger is made from a magnetic material such that upon activation of the magnetic device, the plunger is forced towards a nozzle outlet port thereby resulting in the ejection of ink from the outlet port. Upon deactivation ofthe electromagnet, the plunger returns to its rest position via the utilisation of a series of springs constructed to return the electromagnet to its rest position.
Fig.227 illustrates a sectional view through a single inkjet nozzle 1310 as constructed with an embodiment.
The ink jet nozzle 1310 includes a nozzle chamber 1311 which is connected to a nozzle output port 1312 for the ejection of ink. The ink is ejected by means of a tapered plunger device 1314 which is made of a soft magnetic material such as nickel-ferrous material (NIFE). The plunger 1314 includes tapered end portions, e.g. 1316, in addition to interconnecting nitride springs, e.g. 1317.
An electromagnetic device is constructed around the plunger 1314 and includes outer soft magnetic material
1319 which surrounds a copper current carrying wire core 1320 with a first end ofthe copper coil 1320 connected to a first portion of a nickel- ferrous material and a second end ofthe copper coil is connected to a second portion ofthe nickel-ferrous material. The circuit being further formed by means of vias (not shown) connecting the current carrying wire to lower layers which can take the structure of standard CMOS fabrication layers.
Upon activation ofthe electromagnet, the tapered plunger portions 1316 attracted to the electromagnet. The tapering allows for the forces to be resolved by means of downward movement of the overall plunger 1314, the downward movement thereby causing the ejection of ink from ink ejection port 1312. In due course, the plunger will move to a stable state having a top surface substantially flush with the electromagnet. Upon turning the power off, the plunger 1314 will return to its original position as a result of energy stored within that nitride springs 1317. The nozzle chamber 1311 is refilled by inlet holes 1322 from the ink reservoir 1323.
Turning now to Fig. 228, there is illustrated an exploded perspective of the various layers utilized in construction of a single nozzle 1310. The bottom layer 1330 can be formed by back etching a silicon wafer which has a boron dope epitaxial layer as the etch stop. The boron dope layer 1330 can be further individually masked and etched so as to form nozzle rim 1331 and the nozzle ejection port 1312. Next, a silicon layer 1332 is formed. The silicon layer 1332 can be formed as part ofthe original wafer having the buried boron doped layer 1330. The nozzle chamber proper can be formed substantially from high density low pressure plasma etching ofthe silicon layer 1332 so as to produce substantially vertical side walls thereby forming the nozzle chamber. On top of the silicon layer 1332 is formed a glass layered 1333 which can include the drive and control circuitry required for driving an array of nozzles 1310. The drive and control circuitry can comprise standard two level metal CMOS circuitry intra-connected to form the copper coil circuit by means of vias though upper layers (not shown). Next, a nitride passivation layer 1334 is provided so as to passivate any lower glass layers, e.g. 1333, from sacrificial etches should a sacrificial etching be utilized in the formation of portions ofthe nozzle. On top ofthe nitride layer 1334 is foimed a first nickel- ferrous layer 1336 followed by a copper layer 1337 and a further nickel-ferrous layer 1338 which can be foimed via a dual damascene process. On top ofthe layer 1338 is formed the final nitride spring layer 1340 with the springs being formed by means of semiconductor treatment ofthe nitride layer 1340 so as to release the springs in tension so as to thereby cause a slight rating ofthe plunger 1314. A number of techniques not disclosed in Fig. 228 can be utilized in the construction of various portions of the arrangement 1310. For example, the nozzle chamber can be formed by utilizing the aforementioned plasma etch and then subsequently filling the nozzle chamber with sacrificial material such as glass so as to provide a support for the plunger 1314 with the plunger 1314 being subsequently released via sacrificial etching ofthe sacrificial layers.
Further, the tapered end portions ofthe nickel-ferrous material can be formed so that the utilisation of a half- tone mask having an intensity pattern corresponding to the desired bottom tapered profile of plunger 1314. The halftone mask can be utilized to half-tone a resist so that the shape is transferred to the resist and subsequently to a lower layer, such as sacrificial glass on top of which is laid the nickel-ferrous material which can be finally planarised utilizing chemical mechanical planarization techniques.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 230. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 229 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber and the edges ofthe print heads chips. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This etch does not substantially etch the aluminum. This step is shown in Fig. 231.
6. Deposit 0.5 microns of silicon nitride (SJ3N4).
7. Deposit 12 microns of sacrificial material.
8. Planarize down to nitride using CMP. This fills the nozzle chamber level to the chip surface. This step is shown in Fig. 232.
9. Etch nitride and CMOS oxide layers down to second level metal using Mask 2. This mask defines the vias for the contacts from the second level metal electrodes to the two halves of the split fixed magnetic pole. This step is shown in Fig.233.
10. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
11. Spin on 5 microns of resist, expose with Mask 3, and develop. This mask defines the lowest layer ofthe split fixed magnetic pole, and the thinnest rim ofthe magnetic plunger. The resist acts as an electroplating mold. This step is shown in Fig. 234. 12. Electroplate 4 microns of CoNiFe. This step is shown in Fig. 235.
13. Deposit 0.1 microns of silicon nitride (SJ3N4).
14. Etch the nitride layer using Mask 4. This mask defines the contact vias from each end of the solenoid coil to the two halves ofthe split fixed magnetic pole.
15. Deposit a seed layer of copper. 16. Spin on 5 microns of resist, expose with Mask 5, and develop. This mask defines the solenoid spiral coil and the spring posts, for which the resist acts as an electroplating mold. This step is shown in Fig. 236.
17. Electroplate 4 microns of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities. 18. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 237.
19. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
20. Deposit 0.1 microns of silicon nitride. This layer of nitride provides corrosion protection and electrical insulation to the copper coil. 21. Etch the nitride layer using Mask 6. This mask defines the regions of continuity between the lower and the middle layers of CoNiFe.
22. Spin on 4.5 microns of resist, expose with Mask 6, and develop. This mask defines the middle layer of the split fixed magnetic pole, and the middle rim ofthe magnetic plunger. The resist forms an electroplating mold for these parts. This step is shown in Fig. 238. 23. Electroplate 4 microns of CoNiFe. The lowest layer of CoNiFe acts as the seed layer. This step is shown in Fig. 239.
24. Deposit a seed layer of CoNiFe.
25. Spin on 4.5 microns of resist, expose with Mask 7, and develop. This mask defines the highest layer ofthe split fixed magnetic pole and the roof of the magnetic plunger. The resist forms an electroplating mold for these parts. This step is shown in Fig. 240.
26. Electroplate 4 microns of CoNiFe. This step is shown in Fig. 241.
27. Deposit 1 micron of sacrificial material.
28. Etch the sacrificial material using Mask 8. This mask defines the contact points ofthe nitride springs to the split fixed magnetic poles and the magnetic plunger. This step is shown in Fig. 242. 29. Deposit 0.1 microns of low stress silicon nitride.
30. Deposit 0.1 microns of high stress silicon nitride. These two layers of nitride form a pre-stressed spring which lifts the magnetic plunger out of core space ofthe fixed magnetic pole.
31. Etch the two layers of nitride using Mask 9. This mask defines the nitride spring. This step is shown in Fig. 243. 32. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 244.
33. Plasma back-etch the boron doped silicon layer to a depth of (approx.) 1 micron using Mask 10. This mask defines the nozzle rim. This step is shown in Fig. 245.
34. Plasma back-etch through the boron doped layer using Mask 11. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in
Fig. 246.
35. Detach the chips from the glass blank. Strip all adhesive, resist, sacrificial, and exposed seed layers. The nitride spring is released in this step, lifting the magnetic plunger out of the fixed magnetic pole by 3 microns. This step is shown in Fig. 247. 36. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
37. Connect the print heads to their interconnect systems.
38. Hydrophobize the front surface ofthe print heads. 39. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 248.
Description of IJ15 S
In the present invention, a magnetically actuated inkjet print nozzle is provided for the ejection of ink from an ink chamber. The magnetically actuated inkjet utilizes a linear spring to increase the travel of a shutter grill which blocks any ink pressure variations in a nozzle when in a closed position. However when the shutter is open, pressure variations are directly transmitted to the nozzle chamber and can result in the ejection of ink from the chamber. An oscillating ink pressure within an ink reservoir is used therefore to inject ink from nozzles having an open shutter grill.
In Fig. 249, there is illustrated a single nozzle mechanism 1410 of an embodiment when in a closed or rest position. The arrangement 1410 includes a shutter mechanism 1411 having shutters 1412, 1413 which are interconnected together 1415 at one end for providing structural stability. The two shutters 1412, 1413 are interconnected at another end to a moveable bar 1416 which is further connected to a stationary positioned bar 1418 via leaf springs 1420, 1421. The bar 1416 can be made of a soft magnetic (NiFe) material.
An electromagnetic actuator is utilized to attract the bar 1416 generally in the direction 1425. The electromagnetic actuator consists of a series of soft iron claws 1424 around which is formed a copper coil wire 1426. The electromagnetic actuators can comprise a series of actuators 1428-1430 interconnected via the copper coil windings. Hence, when it is desired to open the shutters 1412-1413 the coil 1426 is activated resulting in an attraction 1425 of bar 1416 towards the electromagnets 1428-1430. The attraction 1425 results in a corresponding interaction with linear springs 1420, 1421 and a movement of shutters 1412, 1413 to an open position as illustrated in Fig. 250, the result of the actuation being to open portals 1432, 1433 into an ink ejection chamber 1434 thereby allowing the ejection of ink through an ink ejection nozzle 1436.
The linear springs 1420, 1421 are designed to increase the movement ofthe shutter as a result of actuation by a factor of eight. A one micron motion of the bar towards the electromagnets will result in an eight micron sideways movement. This dramatically improves the efficiency ofthe system, as any magnetic field falls off strongly with distance, while the linear springs have a linear relationship between motion in one axis and the other. The use of the linear springs 1420, 1421 therefore allows the relatively large motion required to be easily achieved.
The surface of the wafer is directly immersed in an ink reservoir or in relatively large ink channels. An ultrasonic transducer (for example, a piezoelectric transducer), not shown, is positioned in the reservoir. The transducer oscillates the ink pressure at approximately 100 kHz. The ink pressure oscillation is sufficient that ink drops would be ejected from the nozzle were it not blocked by the shutters 1412, 1413. When data signals distributed on the print head indicate that a particular nozzle is to eject a drop of ink, the drive transistor for that nozzle is turned on. This energizes the actuators 1428-1430, which moves the shutter so that it is not blocking the ink chamber. The peak ofthe ink pressure variation causes the ink to be squirted out ofthe nozzle. As the ink pressure goes negative, ink is drawn back into the nozzle, causing drop break-off. The shutter 1412, 1413 is kept open until the nozzle is refilled on the next positive pressure cycle. It is then shut to prevent the ink from being withdrawn from the nozzle on
SUBSΉTUTE SHEET (Rule 26) (RO/AU) the next negative pressure cycle.
Each drop ejection takes two ink pressure cycles. Preferably half of the nozzles should eject drops in one phase, and the other half of the nozzle should eject drops in the other phase. This minimizes the pressure variations which occur due to a large number of nozzles being actuated. The amplitude of the ultrasonic transducer can be further altered in response to the viscosity of the ink
(which is typically affected by temperature), and the number of drops which are to be ejected in a current cycle. This amplitude adjustment can be used to maintain consistent drop size in varying environmental conditions.
In Fig. 251, there is illustrated a section taken through the line II of Fig. 250 so as to illustrate the nozzle chamber 1434 which can be formed utilizing an anisotropic crystallographic etch ofthe silicon substrate. The etch access through the substrate can be via the slots 1432,1422 (Fig. 250) in the shutter grill.
The device is manufactured on <100> silicon with a buried boron etch stop layer 1440, but rotated 45° in relation to the <010> and <001> planes. Therefore, the <111> planes which stop the crystallographic etch of the nozzle chamber form a 45° rectangle which superscribes the slots in the fixed grill. This etch will proceed quite slowly, due to limited access of etchant to the silicon. However, the etch can be performed at the same time as the bulk silicon etch which thins the bottom ofthe wafer.
In Fig. 252, there is illustrated an exploded perspective ofthe various layers foimed in the construction of an ink jet print head 1410. The layers include the boron doped layer 1440 which acts as an etched stop and can be derived from back etching a silicon wafer having a buried epitaxial layer as is well known in Micro Electro Mechanical Systems (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical
Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. The nozzle chambers side walls are foimed from a crystallographic graphic etch of the wafer 1441 with the boron doped layer 1440 being utilized as an etch stop.
A subsequent layer 1442 is constructed for the provision of drive transistors and printer logic and can comprise a two level metal CMOS processing layer 1442. The CMOS processing layer is covered by a nitride layer 1443 which includes portions 1444 which cover and protect the side walls of the CMOS layer 1442. The copper layer 1445 can be constructed utilizing a dual damascene process. Finally, a soft metal (NiFe) layer 1446 is provided for forming the rest ofthe actuator. Each ofthe layers 1444, 1445 are separately coated by a nitride insulating layer (not shown) which provides passivation and insulation and can be a standard 0.1 μm process. The arrangement of Fig. 249 therefore provides an ink jet nozzle having a high speed firing rate
(approximately 50 kHz) which is suitable for fabrication in arrays of ink jet nozzles, one along side another, for fabrication as a monolithic page width print head.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features ofthe wafer at this step are shown in Fig. 254. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 253 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges ofthe print head chips. This step is shown in Fig.255. 5. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 256.
6. Deposit 12 microns of sacrificial material. Planarize down to oxide using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 257. 7. Deposit 0.5 microns of silicon nitride (Si3N4).
8. Etch nitride and oxide down to aluminum or sacrificial material using Mask 3. This mask defines the contact vias from the aluminum electrodes to the solenoid, as well as the fixed grill over the nozzle cavity. This step is shown in Fig. 258.
9. Deposit a seed layer of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
10. Spin on 2 microns of resist, expose with Mask 4, and develop. This mask defines the lower side ofthe solenoid square helix. The resist acts as an electroplating mold. This step is shown in Fig.259.
11. Electroplate 1 micron of copper. This step is shown in Fig. 260.
12. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig.261. 13. Deposit 0.1 microns of silicon nitride.
14. Deposit 0.5 microns of sacrificial material.
15. Etch the sacrificial material down to nitride using Mask 5. This mask defines the solenoid, the fixed magnetic pole, and the linear spring anchor. This step is shown in Fig. 262.
16. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)].
17. Spin on 3 microns of resist, expose with Mask 6, and develop. This mask defines all ofthe soft magnetic parts, being the U shaped fixed magnetic poles, the linear spring, the linear spring anchor, and the shutter grill. The resist acts as an electroplating mold. This step is shown in Fig. 263. 18. Electroplate 2 microns of CoNiFe. This step is shown in Fig. 264.
19. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 265.
20. Deposit 0.1 microns of silicon nitride (Si3N4).
21. Spin on 2 microns of resist, expose with Mask 7, and develop. This mask defines the solenoid vertical wire segments, for which the resist acts as an electroplating mold. This step is shown in Fig. 266. 22. Etch the nitride down to copper using the Mask 7 resist.
23. Electroplate 2 microns of copper. This step is shown in Fig. 267.
24. Deposit a seed layer of copper.
25. Spin on 2 microns of resist, expose with Mask 8, and develop. This mask defines the upper side ofthe solenoid square helix. The resist acts as an electroplating mold. This step is shown in Fig.268. 26. Electroplate 1 micron of copper. This step is shown in Fig. 269.
27. Strip the resist and etch the exposed copper seed layer, and strip the newly exposed resist. This step is shown in Fig. 270.
28. Deposit 0.1 microns of conformal silicon nitride as a corrosion barrier. 29. Open the bond pads using Mask 9.
30. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
31. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig.271. 32. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 9. This mask defines the nozzle rim. This step is shown in Fig. 272.
33. Plasma back-etch through the boron doped layer using Mask 10. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in
Fig. 273. 34. Detach the chips from the glass blank. Strip all adhesive, resist, sacrificial, and exposed seed layers. This step is shown in Fig. 274.
35. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer. The package also includes a piezoelectric actuator attached to the rear ofthe ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
36. Connect the print heads to their interconnect systems.
37. Hydrophobize the front surface ofthe print heads.
38. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig.275.
Description of IJ16 F An embodiment utilizes a Lorenz force on a current carrying wire in a magnetic field to actuate a diaphragm for the injection of ink from a nozzle chamber via a nozzle hole. The magnetic field is static and is provided by a permanent magnetic yoke around the nozzles of an inkjet head.
Referring initially to Fig. 276, there is illustrated a single ink jet nozzle chamber apparatus 1510 as constructed in accordance with an embodiment. Each ink jet nozzle 1510 includes a diaphragm 1511 of a corrugated form which is suspended over a nozzle chamber having a ink port 1513 for the injection of ink. The diaphragm 1511 is constructed from a number of layers including a plane copper coil layer which consists of a large number of copper coils which form a circuit for the flow of electric current across the diaphragm 1511. The electric current in the wires of the diaphragm coil section 1511 all flowing in the same direction. Fig. 283 is a perspective view of the current circuit utilised in the construction of a single ink jet nozzle, illustrating the corrugated structure ofthe traces in the diaphragm 1511 of Fig. 276. A permanent magnetic yoke (not shown) is arranged so that the magnetic field , 1516, is in the plane of the chip's surface, peφendicular to the direction of current flow across the diaphragm coil 1511.
In Fig. 277, there is illustrated a sectional view ofthe ink jet nozzle 1510 taken along the line A-Al of Fig. 276 when the diaphragm 1511 has been activated by current flowing through coil wires 1514. The diaphragm 1511 is forced generally in the direction of nozzle 1513 thereby resulting in ink within chamber 1518 being ejected out of port 1513. The diaphragm 1511 and chamber 1518 are connected to an ink reservoir 1519 which, after the ejection of ink via port 1513, results in a refilling of chamber 1518 from ink reservoir 1519.
The movement ofthe diaphragm 1511 results from a Lorenz interaction between the coil current and the magnetic field.
The diaphragm 1511 is corrugated so that the diaphragm motion occurs as an elastic bending motion. This is important as a flat diaphragm may be prevented from flexing by tensile stress.
When data signals distributed on the print head indicate that a particular nozzle is to eject a drop of ink, the drive transistor for that nozzle is turned on. This energises the coil 1514, causing elastic deformation of the diaphragm 1511 downwards, ejecting ink. After approximately 3 μs, the coil current is turned off, and the diaphragm 1511 returns to its quiescent position. The diaphragm return 'sucks' some of the ink back into the nozzle, causing the ink ligament connecting the ink drop 1520 to the ink in the nozzle to thin. The forward velocity of the drop and backward velocity of the ink in the chamber 1518 are resolved by the ink drop 1520 breaking off from the ink in the nozzle. The ink drop 1520 then continues towards the recording medium. Ink refill of the nozzle chamber 1518 is via the two slots 1522, 1523 at either side of the diaphragm. The ink refill is caused by the surface tension ofthe ink meniscus at the nozzle.
Turning to Fig. 278, the corrugated diaphragm can be foimed by depositing a resist layer 1530 on top of a sacrificial glass layer 1531. The resist layer 1530 is exposed utilising a mask 1532 having a halftone pattern delineating the corrugations. After development, as is illustrated in Fig. 279, the resist 1530 contains the corrugation pattern. The resist layer 1530 and the sacrificial glass layer are then etched utilizing an etchant that erodes the resist 1530 at substantially the same rate as the sacrificial glass 1531. This transfers the corrugated pattern into the sacrificial glass layer 1531 as illustrated in Fig. 280. As illustrated in Fig. 281, subsequently, a nitride passivation layer 1534 is deposited followed a copper layer 1535 which is patterned utilizing a coil mask. A further nitride passivation layer 1536 follows on top ofthe copper layer 1535. Slots 1522, 1523 in the nitride layer at the side ofthe diaphragm can be etched (Fig. 276) and subsequently, the sacrificial glass layer can be etched away leaving the corrugated diaphragm.
In Fig. 282, there is illustrated an exploded perspective view ofthe various layers of an inkjet nozzle 1510 which is constructed on a silicon wafer having a buried boron doped epitaxial layer 1540 which is back etched in a final processing step, including the etching of ink port 1513. The silicon substrate 1541, as will be discussed below, is an anisotropically crystallographically etched so as to form the nozzle chamber structure. On top of the silicon substrate layer 1541 is a CMOS layer 1542 which can comprise standard CMOS processing to form two level metal drive and control circuitry. On top ofthe CMOS layer 1542 is a first passivation layer which can comprise silicon nitride which protects the lower layers from any subsequent etching processes. On top of this layer is formed the copper layer 1545 having through holes eg. 1546 to the CMOS layer 1542 for the supply of current. On top of the copper layer 1545 is a second nitrate passivation layer 1547 which provides for protection ofthe copper layer from ink and provides insulation.
The nozzle 1510 can be formed as part of an array of nozzles foimed on a single wafer. After construction, the wafer creating nozzles 1510 can be bonded to a second ink supply wafer having ink channels for the supply of ink such that the nozzle 1510 is effectively supplied with an ink reservoir on one side and ejects ink through the hole 1513 onto print media or the like on demand as required. The nozzle chamber 1518 is formed using an anisotropic crystallographic etch of the silicon substrate. Etchant access to the substrate is via the slots 1522, 1523 at the sides ofthe diaphragm. The device is manufactured on <100> silicon (with a buried boron etch stop layer), but rotated 45° in relation to the <010> and <001> planes. Therefore, the <111> planes which stop the crystallographic etch ofthe nozzle chamber form a 45° rectangle which superscribes the slot in the nitride layer. This etch will proceed quite slowly, due to limited access of etchant to the silicon. However, the etch can be performed at the same time as the bulk silicon etch which thins the wafer. The drop firing rate is around 7 kHz. The ink jet head is suitable for fabrication as a monolithic page wide print head. The illustration shows a single nozzle of a 1600 dpi print head in 'down shooter' configuration.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 285. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 284 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges ofthe print heads chips. This step is shown in Fig. 286. 5. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig.287.
6. Deposit 12 microns of sacrificial material (polyimide). Planarize down to oxide using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 288. 7. Deposit 1 micron of (sacrificial) photosensitive polyimide.
8. Expose and develop the photosensitive polyimide using Mask 2. This mask is a gray-scale mask which defines the concertina ridges of the flexible membrane containing the central part of the solenoid. The result of the etch is a series of triangular ridges across the whole length ofthe ink pushing membrane. This step is shown in Fig.
289. 9. Deposit 0.1 microns of PECVD silicon nitride (Si3N4).
10. Etch the nitride layer using Mask 3. This mask defines the contact vias from the solenoid coil to the second-level metal contacts.
11. Deposit a seed layer of copper.
12. Spin on 2 microns of resist, expose with Mask 4, and develop. This mask defines the coil of the solenoid. The resist acts as an electroplating mold. This step is shown in Fig. 290.
13. Electroplate 1 micron of copper. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities.
14. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 291.
15. Deposit 0.1 microns of silicon nitride (SJ3N4). 16. Etch the nitride layer using Mask 5. This mask defines the edges ofthe ink pushing membrane and the bond pads.
17. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated. 18. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 292.
19. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 293.
20. Plasma back-etch through the boron doped layer using Mask 7. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are still mounted on the glass blank. This step is shown in Fig.294.
21. Strip the adhesive layer to detach the chips from the glass blank. Etch the sacrificial layer. This process completely separates the chips. This step is shown in Fig. 295.
22. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer. 23. Connect the print heads to their interconnect systems.
24. Hydrophobize the front surface ofthe print heads.
25. Fill with ink, apply a strong magnetic field in the plane ofthe chip surface, and test the completed print heads. A filled nozzle is shown in Fig. 296.
Description of IJ25 F In an embodiment, there is provided a nozzle chamber having an ink ejection port and a magnetostrictive actuator surrounded by an electrical coil such that, upon activation of the coil, a magnetic field is produced which effects the actuator to the extent that it causes the ejection of ink from the nozzle chamber.
Turning now to Fig. 297, there is illustrated a perspective cross-sectional view, of a single ink jet nozzle arrangement 2410. The nozzle arrangement includes a nozzle chamber 2411 which opens to a nozzle ejection port 2412 for the ejection of ink.
The nozzle 2410 can be formed on a large silicon wafer with multiple print heads being foimed from nozzle groups at the same time. The nozzle port 2412 can be formed from back etching the silicon wafer to the level of a boron doped epitaxial layer 2413 which is subsequently etched utilizing an appropriate mask to form the nozzle portal 2412 including a rim 2415. The nozzle chamber 2411 is further foimed from a crystallographic etch ofthe remaining portions of the silicon wafer 2416, the crystallographic etching process being well known in the field of micro- electro-mechanical systems (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. Turning now to Fig. 298 there is illustrated an exploded perspective view illustrating the construction of a single inkjet nozzle arrangement 2410 in accordance with an embodiment.
On top ofthe silicon wafer 2416 there is previously constructed a two level metal CMOS layer 2417 which includes an aluminum layer (not shown). The CMOS layer 2417 is constructed to provide data and control circuitry for the ink jet nozzle 2410. On top of the CMOS layer 2417 is constructed a nitride passivation layer 2420 which includes nitride paddle portion 2421. The nitride layer 2421 can be constructed by a utilizing a sacrificial material such as glass to first fill the crystallographic etched nozzle chamber 2411 then depositing the nitride layer 2420, 2421 before etching the sacrificial layer away to release the nitride layer 2421. On top ofthe nitride layer 2421 is formed a Terfenol-D layer 2422. Terfenol-D is a material having high magnetostrictive properties (for further information on the properties of Terfenol-D, reference is made to "magnetostriction, theory and applications of magnetoelasticity" by
Etienne du Tremolett de Lachiesserie published 1993 by CRC Press). Upon it being subject to a magnetic field, the Terfenol-D substance expands. The Terfenol-D layer 2422 is attached to a lower nitride layer 2421 which does not undergo expansion. As a result the forces are resolved by a bending of the nitride layer 2421 towards the nozzle ejection hole 2412 thereby causing the ejection of ink from the ink ejection portal 2412. The Terfenol-D layer 2422 is passivated by a top nitride layer 2423 on top of which is a copper coil layer
2424 which is interconnected to the lower CMOS layer 2417 via a series of vias so that copper coil layer 2424 can be activated upon demand. The activation of the copper coil layer 2424 induces a magnetic field 2425 across the Terfenol-D layer 2422 thereby causing the Terfenol-D layer 2422 to undergo phase change on demand. Therefore, in order to eject ink from the nozzle chamber 2411, the Terfenol-D layer 2422 is activated to undergo phase change causing the bending ofthe actuator 2426 (Fig. 297) in the direction ofthe ink ejection port 2412 thereby causing the ejection of ink drops. Upon deactivation of the upper coil layer 2424 the actuator 2426 (Fig. 297) returns to its quiescent position causing some ofthe ink back into the nozzle chamber causing the ink ligament connecting the ink drop to the ink in the nozzle chamber to thin. The forward velocity ofthe drop and backward velocity of the ink in the nozzle chamber 2411 are resolved by the ink drop breaking off from the ink in the nozzle chamber 2411. Ink refill ofthe nozzle chamber 2411 is via the sides of actuator 2426 (Fig. 297) as a result ofthe surface tension ofthe ink meniscus at the ejection port 2412.
The copper layer 2424 is passivated by a nitride layer (not shown) and the nozzle arrangement 2410 abuts an ink supply reservoir 2428 (Fig. 297).
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
2. Deposit 20 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. The metal layers are copper instead of aluminum, due to high current densities and subsequent high temperature processing. Relevant features ofthe wafer at this step are shown in Fig. 300. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 299 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. 4. Etch the CMOS oxide layers down to silicon using Mask 1. This mask defines the nozzle chamber. This step is shown in Fig. 301.
5. Deposit 1 micron of low stress PECVD silicon nitride (Si3N4).
6. Deposit a seed layer of Terfenol-D.
7. Deposit 3 microns of resist and expose using Mask 2. This mask defines the actuator beams. The resist forms a mold for electroplating ofthe Terfenol-D. This step is shown in Fig. 302. 8. Electroplate 2 microns of Terfenol-D.
9. Strip the resist and etch the seed layer. This step is shown in Fig. 303.
10. Etch the nitride layer using Mask 3. This mask defines the actuator beams and the nozzle chamber, as well as the contact vias from the solenoid coil to the second-level metal contacts. This step is shown in Fig. 304. 11. Deposit a seed layer of copper.
12. Deposit 22 microns of resist and expose using Mask 4. This mask defines the solenoid, and should be exposed using an x-ray proximity mask, as the aspect ratio is very large. The resist forms a mold for electroplating of the copper. This step is shown in Fig. 305.
13. Electroplate 20 microns of copper. 14. Strip the resist and etch the copper seed layer. Steps 10 to 13 form a LIGA process. This step is shown in
Fig. 306.
15. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig.307. 16. Deposit 0.1 microns of ECR diamond like carbon (DLC) as a corrosion barrier (not shown).
17. Open the bond pads using Mask 5.
18. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
19. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 308.
20. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 309.
21. Plasma back-etch through the boron doped layer using Mask 6. This mask defines the nozzle, and the edge ofthe chips. Etch the thin ECR DLC layer through the nozzle hole. This step is shown in Fig. 310. 22. Strip the adhesive layer to detach the chips from the glass blank.
23. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
24. Connect the print heads to their interconnect systems.
25. Hydrophobize the front surface ofthe print heads. 26. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 311.
Description of IJ26 F
In an embodiment, shape memory materials are utilized to construct an actuator suitable for injecting ink from the nozzle of an ink chamber.
Turning to Fig. 312, there is illustrated an exploded perspective view 2510 of a single ink jet nozzle as constructed in accordance with an embodiment. The ink jet nozzle 2510 is constructed from a silicon wafer base utilizing back etching ofthe wafer to a boron doped epitaxial layer. Hence, the inkjet nozzle 2510 comprises a lower layer 2511 which is constructed from boron doped silicon. The boron doped silicon layer is also utilized a crystallographic etch stop layer. The next layer comprises the silicon layer 2512 that includes a crystallographic pit 2513 having side walls etch at the usual angle of 54.74. The layer 2512 also includes the various required circuitry and transistors for example, CMOS layer (not shown). After this, a 0.5 micron thick thermal silicon oxide layer 2515 is grown on top ofthe silicon wafer 2512.
After this, comes various layers which can comprise a two level metal CMOS process layers which provide the metal interconnect for the CMOS transistors foimed within the layer 2512. The various metal pathways etc. are not shown in Fig. 312 but for two metal interconnects 2518, 2519 which provide interconnection between a shape memory alloy layer 2520 and the CMOS metal layers 2516. The shape memory metal layer is next and is shaped in the form of a seφentine coil to be heated by end interconnect/via portions 2521,2523. A top nitride layer 2522 is provided for overall passivation and protection of lower layers in addition to providing a means of inducing tensile stress to curl upwards the shape memory alloy layer 2520 in its quiescent state. An embodiment relies upon the thermal transition of a shape memory alloy 2520 (SMA) from its martensitic phase to its austenitic phase. The basis of a shape memory effect is a martensitic transformation which creates a polydemane phase upon cooling. This polydemane phase accommodates finite reversible mechanical deformations without significant changes in the mechanical self energy of the system. Hence, upon re-transformation to the austenitic state the system returns to its former macroscopic state to displaying the well known mechanical memory. The thermal transition is achieved by passing an electrical current through the SMA. The actuator layer 2520 is suspended at the entrance to a nozzle chamber 2513 connected via leads 2518, 2519 to the lower layers.
In Fig. 313, there is shown a cross-section of a single nozzle 2510 when in its quiescent state, the section basically being taken through the line A-A of Fig. 312. The actuator 2530 is bent away from the nozzle when in its quiescent state. In Fig. 314, there is shown a corresponding cross-section for a single nozzle 2510 when in an actuated state. When energized, the actuator 2530 straightens, with the corresponding result that the ink is pushed out ofthe nozzle. The process of energizing the actuator 2530 requires supplying enough energy to raise the SMA above its transition temperature, and to provide the latent heat of transformation to the SMA 2520.
Obviously, the SMA martensitic phase must be pre-stressed to achieve a different shape from the austenitic phase. For print heads with many thousands of nozzles, it is important to achieve this pre-stressing in a bulk manner. This is achieved by depositing the layer of silicon nitride 2522 using Plasma Enhanced Chemical Vapor Deposition (PECVD) at around 300°C over the SMA layer. The deposition occurs while the SMA is in the austenitic shape. After the print head cools to room temperature the substrate under the SMA bend actuator is removed by chemical etching of a sacrificial substance. The silicon nitride layer 2522 is under tensile stress, and causes the actuator to curl upwards. The weak martensitic phase ofthe SMA provides little resistance to this curl. When the SMA is heated to its austenitic phase, it returns to the flat shape into which it was annealed during the nitride deposition. The transformation being rapid enough to result in the ejection of ink from the nozzle chamber.
There is one SMA bend actuator 2530 for each nozzle. One end 2531 of the SMA bend actuator is mechanically connected to the substrate. The other end is free to move under the stresses inherent in the layers. Returning to Fig. 312 the actuator layer is therefore composed of three layers: 1. An Siθ2 lower layer 2515. This layer acts as a stress 'reference' for the nitride tensile layer. It also protects the SMA from the crystallographic silicon etch that forms the nozzle chamber. This layer can be foimed as part ofthe standard CMOS process for the active electronics ofthe print head.
2. A SMA heater layer 2520. A SMA such as nickel titanium (NiTi) alloy is deposited and etched into a seφentine form to increase the electrical resistance. 3. A silicon nitride top layer 2522. This is a thin layer of high stiffness which is deposited using
PECVD. The nitride stoichiometry is adjusted to achieve a layer with significant tensile stress at room temperature relative to the Siθ2 lower layer. Its puφose is to bend the actuator at the low temperature martensitic phase.
As noted previously the inkjet nozzle of Fig. 312 can be constructed by utilizing a silicon wafer having a buried boron epitaxial layer. The 0.5 micron thick dioxide layer 2515 is then foimed having side slots 2545 which are utilized in a subsequent crystallographic etch. Next, the various CMOS layers 2516 are formed including drive and control circuitry (not shown). The SMA layer 2520 is then created on top of layers 2515/2516 and being interconnected with the drive circuitry. Subsequently, a silicon nitride layer 2522 is foimed on top. Each of the layers 2515, 2516, 2522 include the various slots eg. 2545 which are utilized in a subsequent crystallographic etch. The silicon wafer is subsequently thinned by means of back etching with the etch stop being the boron layer 2511. Subsequent boron etching forms the nozzle hole eg. 2547 and rim 2546 (Fig. 314). Subsequently, the chamber proper is formed by means of a crystallographic etch with the slots 2545 defining the extent of the etch within the silicon oxide layer 2512.
A large array of nozzles can be formed on the same wafer which in turn is attached to an ink chamber for filling the nozzle chambers.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 316. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane ofthe nozzle. Fig. 315 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations. 4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges ofthe print heads chips. This step is shown in Fig. 317.
5. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 318. 6. Deposit 12 microns of sacrificial material. Planarize down to oxide using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 319.
7. Deposit 0.1 microns of high stress silicon nitride (Si3N4).
8. Etch the nitride layer using Mask 2. This mask defines the contact vias from the shape memory heater to the second-level metal contacts. 9. Deposit a seed layer.
10. Spin on 2 microns of resist, expose with Mask 3, and develop. This mask defines the shape memory wire embedded in the paddle. The resist acts as an electroplating mold. This step is shown in Fig. 320.
11. Electroplate 1 micron of Nitinol. Nitinol is a 'shape memory' alloy of nickel and titanium, developed at the Naval Ordnance Laboratory in the US (hence Ni-Ti-NOL). A shape memory alloy can be thermally switched between its weak martensitic state and its high stiffness austenic state. 12. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 321.
13. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
14. Deposit 0.1 microns of high stress silicon nitride. High stress nitride is used so that once the sacrificial material is etched, and the paddle is released, the stress in the nitride layer will bend the relatively weak martensitic phase ofthe shape memory alloy. As the shape memory alloy - in its austenic phase - is flat when it is annealed by the relatively high temperature deposition of this silicon nitride layer, it will return to this flat state when electrothermally heated.
15. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 322.
16. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 4. This mask defines the nozzle rim. This step is shown in Fig. 323.
17. Plasma back-etch through the boron doped layer using Mask 5. This mask defines the nozzle, and the edge ofthe chips. At this stage, the chips are still mounted on the glass blank. This step is shown in Fig. 324. 18. Strip the adhesive layer to detach the chips from the glass blank. Etch the sacrificial layer. This process completely separates the chips. This step is shown in Fig. 325.
19. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply different colors of ink to the appropriate regions ofthe front surface ofthe wafer.
20. Connect the print heads to their interconnect systems. 21. Hydrophobize the front surface ofthe print heads.
22. Fill with ink and test the completed print heads. A filled nozzle is shown in Fig. 326.
Description of I J45 F
In an embodiment, an ink jet print head is constructed from a series of nozzle arrangements where each nozzle arrangement includes a magnetic plate actuator which is actuated by a coil which is pulsed so as to move the magnetic plate and thereby cause the ejection of ink. The movement of the magnetic plate results in a leaf spring device being extended resiliently such that when the coil is deactivated, the magnetic plate returns to a rest position resulting in the ejection of a drop of ink from an aperture created within the plate.
Turning now to Fig. 327 to Fig. 329, there will now be explained the operation of this embodiment. Turning to Fig. 327, there is illustrated an ink jet nozzle arrangement 4401 which includes a nozzle chamber 4402 which connects with an ink ejection nozzle 4403 such that, when in a quiescent position, an ink meniscus 4404 forms over the nozzle 4403. The nozzle 4403 is formed in a magnetic nozzle plate 4405 which can be constructed from a ferrous material. Attached to the nozzle plate 4405 is a series of leaf springs e.g. 4406, 4407 which bias the nozzle plate 4405 away from a base plate 4409. Between the nozzle plate 4405 and the base plate 4409, there is provided a conductive coil 4410 which is interconnected and controlled via a lower circuitry layer 4411 which can comprise a standard CMOS circuitry layer. The ink chamber 4402 is supplied with ink from a lower ink supply channel 4412 which is foimed by etching through a wafer substrate 4413. The wafer substrate 4413 can comprise a semiconductor wafer substrate. The ink chamber 4402 is interconnected to the ink supply channel 4412 by means of a series of slots 4414 which can be etched through the CMOS layer 4411. The area around the coil 4410 is hydrophobically treated so that, during operation, a small meniscus e.g. 4416, 4417 forms between the nozzle plate 4405 and base plate 4409.
When it is desired to eject a drop of ink, the coil 4410 is energised. This results in a movement of the plate 4405 as illustrated in Fig. 328. The general downward movement ofthe plate 4405 results in a substantial increase in pressure within nozzle chamber 4402. The increase in pressure results in a rapid growth in the meniscus 4404 as ink flows out ofthe nozzle chamber 4403. The movement ofthe plate 4405 also results in the springs 4406, 4407 undergoing a general resilient extension. The small width ofthe slot 4414 results in minimal outflows of ink into the nozzle chamber 4412.
Moments later, as illustrated in Fig. 329, the coil 4410 is deactivated resulting in a return ofthe plate 4405 towards its quiescent position as a result ofthe springs 4406, 4407 acting on the nozzle plate 4405. The return ofthe nozzle plate 4405 to its quiescent position results in a rapid decrease in pressure within the nozzle chamber 4402 which in turn results in a general back flow of ink around the ejection nozzle 4403. The forward momentum ofthe ink outside the nozzle plate 4403 and the back suction of the ink around the ejection nozzle 4403 results in a drop 4419 being formed and breaking off so as to continue to the print media. The surface tension characteristics across the nozzle 4403 result in a general inflow of ink from the ink supply channel 4412 until such time as the quiescent position of Fig. 327 is again reached. In this manner, a coil actuated magnetic inkjet print head is foimed for the adoption of ink drops on demand. Importantly, the area around the coil 4410 is hydrophobically treated so as to expel any ink from flowing into this area.
Turning now to Fig. 330, there is illustrated a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with the principles as previously outlined with respect to Fig. 327 to Fig. 329. The arrangement 4401 includes a nozzle plate 4405 which is formed around an ink supply chamber 4402 and includes an ink ejection nozzle 4403. A series of leaf spring elements 4406-4408 are also provided which can be formed from the same material as the nozzle plate 4405. A base plate 4409 also is provided for encompassing the coil 4410. The wafer 4413 includes a series of slots 4414 for the wicking and flowing of ink into nozzle chamber 4402 with the nozzle chamber 4402 being interconnected via the slots with an ink supply channel 4412. The slots
4414 are of a thin elongated form so as to provide for fluidic resistance to a rapid outflow of fluid from the chamber 4402.
The coil 4410 is conductive interconnected at a predetermined portion (not shown) with a lower CMOS layer for the control and driving ofthe coil 4410 and movement of base plate 4405. Alternatively, the plate 4409 can be broken into two separate semi- circular plates and the coil 4410 can have separate ends connected through one of the semi circular plates through to a lower CMOS layer.
Obviously, an array of ink jet nozzle devices can be formed at a time on a single silicon wafer so as to form multiple printheads.
One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. Due to high current densities, both metal layers should be copper for resistance to electromigration. This step is shown in Fig.
332. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 331 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
2. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber inlet cross, the edges of the print heads chips, and the vias for the contacts from the second level metal electrodes to the two halves ofthe split fixed magnetic plate. 3. Plasma etch the silicon to a depth of 15 microns, using oxide from step 2 as a mask. This etch does not substantially etch the second level metal. This step is shown in Fig. 333.
4. Deposit a seed layer of cobalt nickel iron alloy. CoNiFe is chosen due to a high saturation flux density of 2 Tesla, and a low coercivity. [Osaka, Tetsuya et al, A soft magnetic CoNiFe film with high saturation magnetic flux density, Nature 392, 796-798 (1998)]. 5. Spin on 4 microns of resist, expose with Mask 2, and develop. This mask defines the split fixed magnetic plate, for which the resist acts as an electroplating mold. This step is shown in Fig. 334.
6. Electroplate 3 microns of CoNiFe. This step is shown in Fig. 335.
7. Strip the resist and etch the exposed seed layer. This step is shown in Fig. 336.
8. Deposit 0.5 microns of silicon nitride, which insulates the solenoid from the fixed magnetic plate. 9. Etch the nitride layer using Mask 3. This mask defines the contact vias from each end ofthe solenoid coil to the two halves of the split fixed magnetic plate, as well as returning the nozzle chamber to a hydrophilic state. This step is shown in Fig. 337.
10. Deposit an adhesion layer plus a copper seed layer. Copper is used for its low resistivity (which results in higher efficiency) and its high electromigration resistance, which increases reliability at high current densities. 11. Spin on 13 microns of resist and expose using Mask 4, which defines the solenoid spiral coil, for which the resist acts as an electroplating mold. As the resist is thick and the aspect ratio is high, an X-ray proximity process, such as LIGA, can be used. This step is shown in Fig. 338.
12. Electroplate 12 microns of copper.
13. Strip the resist and etch the exposed copper seed layer. This step is shown in Fig. 339. 14. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
15. Deposit 0.1 microns of silicon nitride, which acts as a corrosion barrier (not shown).
16. Deposit 0.1 microns of PTFE (not shown), which makes the top surface ofthe fixed magnetic plate and the solenoid hydrophobic, thereby preventing the space between the solenoid and the magnetic piston from filling with ink (if a water based ink is used. In general, these surfaces should be made ink-phobic).
17. Etch the PTFE layer using Mask 5. This mask defines the hydrophilic region ofthe nozzle chamber. The etch returns the nozzle chamber to a hydrophilic state.
18. Deposit 1 micron of sacrificial material. This defines the magnetic gap, and the travel of the magnetic piston. 19. Etch the sacrificial layer using Mask 6. This mask defines the spring posts. This step is shown in Fig.
340.
20. Deposit a seed layer of CoNiFe.
21. Deposit 12 microns of resist. As the solenoids will prevent even flow during a spin-on application, the resist should be sprayed on. Expose the resist using Mask 7, which defines the walls ofthe magnetic plunger, plus the spring posts. As the resist is thick and the aspect ratio is high, an X-ray proximity process, such as LIGA, can be used. This step is shown in Fig. 341.
22. Electroplate 12 microns of CoNiFe. This step is shown in Fig.342.
23. Deposit a seed layer of CoNiFe.
24. Spin on 4 microns of resist, expose with Mask 8, and develop. This mask defines the roof of the magnetic plunger, the nozzle, the springs, and the spring posts. The resist forms an electroplating mold for these parts.
This step is shown in Fig.343.
25. Electroplate 3 microns of CoNiFe. This step is shown in Fig. 344.
26. Strip the resist, sacrificial, and exposed seed layers. This step is shown in Fig. 345.
27. Back-etch through the silicon wafer until the nozzle chamber inlet cross is reached using Mask 9. This etch may be performed using an ASE Advanced Silicon Etcher from Surface Technology Systems. The mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 346.
28. Mount the print heads in their packaging, which may be a molded plastic former incoφorating ink channels which supply the appropriate color ink to the ink inlets at the back ofthe wafer.
29. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
30. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 347.
IJ USES The presently disclosed inkjet printing technology is potentially suited to a wide range of printing system including: colour and monochrome office printers, short run digital printers, high speed digital printers, offset press supplemental printers, low cost scanning printers high speed pagewidth printers, notebook computers with inbuilt pagewidth printers, portable colour and monochrome printers, colour and monochrome copiers, colour and monochrome facsimile machines, combined printer, facsimile and copying machines, label printers, large format plotters, photograph copiers, printers for digital photographic "minilabs", video printers, PhotoCD printers, portable printers for PDAs, wallpaper printers, indoor sign printers, billboard printers, fabric printers, camera printers and fault tolerant commercial printer arrays.
Ink Jet Technologies
The embodiments of the invention use an ink jet printer type device. Of course many different devices could be used. However presently popular inkjet printing technologies are unlikely to be suitable.
The most significant problem with thermal inkjet is power consumption. This is approximately 100 times that required for high speed, and stems from the energy-inefficient means of drop ejection. This involves the rapid boiling of water to produce a vapor bubble which expels the ink. Water has a very high heat capacity, and must be superheated in thermal inkjet applications. This leads to an efficiency of around 0.02%, from electricity input to drop momentum (and increased surface area) out.
The most significant problem with piezoelectric inkjet is size and cost. Piezoelectric crystals have a very small deflection at reasonable drive voltages, and therefore require a large area for each nozzle. Also, each piezoelectric actuator must be connected to its drive circuit on a separate substrate. This is not a significant problem at the current limit of around 300 nozzles per print head, but is a major impediment to the fabrication of pagewide print heads with 19,200 nozzles.
Ideally, the inkjet technologies used meet the stringent requirements of in-camera digital color printing and other high quality, high speed, low cost printing applications. To meet the requirements of digital photography, new inkjet technologies have been created. The target features include: low power (less than 10 Watts) high resolution capability (1,600 dpi or more) photographic quality output low manufacturing cost small size (pagewidth times minimum cross section) high speed (< 2 seconds per page).
All of these features can be met or exceeded by the inkjet systems described below with differing levels of difficulty. 45 different inkjet technologies have been developed by the Assignee to give a wide range of choices for high volume manufacture. These technologies form part of separate applications assigned to the present Assignee as set out in the table below.
The inkjet designs shown here are suitable for a wide range of digital printing systems, from battery powered one-time use digital cameras, through to desktop and network printers, and through to commercial printing systems
For ease of manufacture using standard process equipment, the print head is designed to be a monolithic 0.5 micron CMOS chip with MEMS post processing. For color photographic applications, the print head is 100 mm long, with a width which depends upon the inkjet type. The smallest print head designed is IJ38, which is 0.35 mm wide, giving a chip area of 35 square mm. The print heads each contain 19,200 nozzles plus data and control circuitry. Ink is supplied to the back ofthe print head by injection molded plastic ink channels. The molding requires
50 micron features, which can be created using a lithographically micromachined insert in a standard injection molding tool. Ink flows through holes etched through the wafer to the nozzle chambers fabricated on the front surface ofthe wafer. The print head is connected to the camera circuitry by tape automated bonding. Cross-Referenced Applications The following table is a guide to cross-referenced patent applications filed concurrently herewith and discussed hereinafter with the reference being utilized in subsequent tables when referring to a particular case:
Tables of Drop-on-Demand Inkjets
Eleven important characteristics ofthe fundamental operation of individual inkjet nozzles have been identified. These characteristics are largely orthogonal, and so can be elucidated as an eleven dimensional matrix. Most ofthe eleven axes of this matrix include entries developed by the present assignee.
The following tables form the axes of an eleven dimensional table of inkjet types.
Actuator mechanism (18 types)
Basic operation mode (7 types)
Auxiliary mechanism (8 types)
Actuator amplification or modification method (17 types)
Actuator motion (19 types)
Nozzle refill method (4 types)
Method of restricting back-flow through inlet (10 types)
Nozzle clearing method (9 types)
Nozzle plate construction (9 types)
Drop ejection direction (5 types)
Ink type (7 types)
The complete eleven dimensional table represented by these axes contains 36.9 billion possible configurations of inkjet nozzle. While not all ofthe possible combinations result in a viable inkjet technology, many million configurations are viable. It is clearly impractical to elucidate all ofthe possible configurations. Instead, certain inkjet types have been investigated in detail. These are designated IJOl to IJ45 above.
Other inkjet configurations can readily be derived from these 45 examples by substituting alternative configurations along one or more ofthe 11 axes. Most ofthe IJOl to IJ45 examples can be made into inkjet print heads with characteristics superior to any currently available inkjet technology.
Where there are prior art examples known to the inventor, one or more of these examples are listed in the examples column ofthe tables below. The IJOl to IJ45 series are also listed in the examples column. In some cases, a printer may be listed more than once in a table, where it shares characteristics with more than one entry.
Suitable applications include: Home printers, Office network printers, Short run digital printers, Commercial print systems, Fabric printers, Pocket printers, Internet WWW printers, Video printers, Medical imaging, Wide format printers, Notebook PC printers, Fax machines, Industrial printing systems, Photocopiers, Photographic minilabs etc.
The information associated with the aforementioned 11 dimensional matrix are set out in the following tables.
Actuator mechanism (applied only to selected ink drops)
Actuator Description Advantages Disadvantages Examples Mechanism
Thermal bubble An electrothermal heater heats the ink to ♦ Large force generated ♦ High power ♦ Canon Bubblejet 1979 above boiling point, transferring significant ♦ Simple construction ♦ Ink carrier limited to water Endo et al GB patent heat to the aqueous ink. A bubble nucleates ♦ No moving parts ♦ Low efficiency 2,007,162
CΛ and quickly forms, expelling the ink. ♦ Fast operation ♦ High temperatures required ♦ Xerox heater-in-pit 1990
The efficiency ofthe process is low, with Hawkins et al USP
H ♦ Small chip area required ♦ High mechanical stress typically less than 0.05% ofthe electrical 4,899,181 for actuator ♦ Unusual materials required energy being transformed into kinetic ♦ Hewlett-Packard TIJ 1982
♦ Large drive transistors energy ofthe drop. Vaught et al USP g m ♦ Cavitation causes actuator failure
H 4,490,728
1 ♦ Kogation reduces bubble formation
♦ Large print heads are difficult to σ. fabricate
> Piezoelectric A piezoelectric crystal such as lead ♦ Low power consumption ♦ Very large area required for actuator ♦ Kyser et al USP 3,946,398 lanthanum zirconate (PZT) is electrically ♦ Many ink types can be ♦ Difficult to integrate with electronics ♦ Zoltan USP 3,683,212 activated, and either expands, shears, or used ♦ High voltage drive transistors required ♦ 1973 Stemme USP bends to apply pressure to the ink, ejecting ♦ Fast operation ♦ Full pagewidth print heads impractical 3,747,120 drops. ♦ High efficiency due to actuator size ♦ Epson Stylus
♦ Requires electrical poling in high field ♦ Tektronix strengths during manufacture ♦ IJ04
co
W
H
I
OS i
sffl
H t σv
!
m
H
H
I
1
H
Conductive A polymer with a high coefficient of ♦ High force can be generated ♦ Requires special materials development ♦ IJ24 polymer thermal expansion (such as PTFE) is doped ♦ Very low power consumption (High CTE conductive polymer) thermoelastic with conducting substances to increase its ♦ Many ink types can be used ♦ Requires a PTFE deposition process, which actuator conductivity to about 3 orders of magnitude
♦ Simple planar fabrication is not yet standard in ULSI fabs below that of copper. The conducting
♦ Small chip area required for ♦ PTFE deposition cannot be followed with polymer expands when resistively heated. each actuator high temperature (above 350 °C)
Examples of conducting dopants include: processing
♦ Fast operation
1 ) Carbon nanotubes ♦ Evaporation and CVD deposition techniques
♦ High efficiency
H cannot be used
2) Metal fibers ♦ CMOS compatible voltages
♦ Pigmented inks may be infeasible, as
3) Conductive polymers such as doped and currents
CO pigment particles may jam the bend polythiophene ♦ Easy extension from single actuator
W H nozzles to pagewidth print
4) Carbon granules heads σ. Shape memory A shape memory alloy such as TiNi (also ♦ High force is available ♦ Fatigue limits maximum number of cycles ♦ IJ26 alloy known as Nitinol - Nickel Titanium alloy (stresses of hundreds of ♦ Low strain (1%) is required to extend fatigue developed at the Naval Ordnance MPa) resistance Laboratory) is thermally switched between ♦ Large strain is available (more ♦ Cycle rate limited by heat removal its weak martensitic state and its high than 3%) ♦ Requires unusual materials (TiNi) stiffness austenic state. The shape ofthe ♦ High corrosion resistance ♦ The latent heat of transformation must be actuator in its martensitic state is deformed ♦ Simple construction provided relative to the austenic shape. The shape
♦ Easy extension from single ♦ High current operation change causes ejection of a drop. nozzles to pagewidth print ♦ Requires pre-stressing to distort the heads martensitic state
♦ Low voltage operation
H fΛ Basic operation mode
M
H
σ.
W H
1
Auxiliary mechanism (applied to all nozzles)
3
Hw to σ.
1
H ft t σ.
Actuator amplification or modification method
O co H
o
o
ON
O
Ά
I 1 FT
S- i
CO
0
rti
H
Actuator motion
H
1 σ.
I >
Nozzle refill method tn
H
1D
w
H ft σ.
Method of restricting back-flow through inlet
o sm H
1
O
>
tn
H
σ.
Nozzle Clearing Method
w
1 σ.
1 I
!-_
rt 1) H
Acoustic resonance An ultrasonic wave is applied to the ink ♦ A high nozzle clearing capability ♦ High implementation cost if ♦ IJ08, IJ13, IJ15, IJ17 chamber. This wave is of an appropriate can be achieved system does not already ♦ IJ18, IJ19, IJ21 amplitude and frequency to cause ♦ May be implemented at very low include an acoustic actuator sufficient force at the nozzle to clear cost in systems which already blockages. This is easiest to achieve if the include acoustic actuators ultrasonic wave is at a resonant frequency ofthe ink cavity.
Nozzle clearing A microfabricated plate is pushed against ♦ Can clear severely clogged ♦ Accurate mechanical alignment ♦ Silverbrook, EP 0771 658 plate the nozzles. The plate has a post for every nozzles is required A2 and related patent nozzle. The array of posts ♦ Moving parts are required applications
♦ There is risk of damage to the nozzles
♦ Accurate fabrication is required
Ink pressure pulse The pressure ofthe ink is temporarily ♦ May be effective where other ♦ Requires pressure pump or other ♦ May be used with all IJ increased so that ink streams from all of methods cannot be used pressure actuator series ink jets σ. the nozzles. This may be used in ♦ Expensive conjunction with actuator energizing. ♦ Wasteful of ink
Print head wiper A flexible 'blade' is wiped across the print ♦ Effective for planar print head ♦ Difficult to use if print head ♦ Many inkjet systems head surface. The blade is usually surfaces surface is non-planar or very fabricated from a flexible polymer, e.g. ♦ Low cost fragile rubber or synthetic elastomer. ♦ Requires mechanical parts
♦ Blade can wear out in high volume print systems
Nozzle plate construction
oo t-α ϊ
co
w H
1 a
NJ
O.
Monolithic, surface The nozzle plate is deposited as a layer ♦ High accuracy (<1 μm) ♦ Requires sacrificial layer under the ♦ Silverbrook, EP micro-machined using standard VLSI deposition ♦ Monolithic nozzle plate to form the nozzle 0771 658 A2 and using VLSI techniques. Nozzles are etched in the ♦ Low cost chamber related patent lithographic nozzle plate using VLSI lithography and
♦ Existing processes can be used ♦ Surface may be fragile to the touch applications processes etching. ♦ IJOl, IJ02, IJ04,
Ul l
♦ IJ12, IJ17, IJ18,
IJ20
♦ IJ22, IJ24, IJ27,
IJ28
♦ IJ29, IJ30, IJ31, co IJ32 w ♦ IJ33, IJ34, IJ36,
H
. — . IJ37
♦ IJ38, IJ39, IJ40,
IJ41
§ ♦ IJ42, IJ43, IJ44
Monolithic, etched The nozzle plate is a buried etch stop in the ♦ High accuracy (<1 μm) ♦ Requires long etch times ♦ IJ03, IJ05, IJ06, through substrate wafer. Nozzle chambers are etched in the ♦ Monolithic ♦ Requires a support wafer IJ07 front ofthe wafer, and the wafer is thinned ♦ Low cost ♦ IJ08, IJ09, IJ10, from the back side. Nozzles are then etched
♦ No differential expansion IJ 13 in the etch stop layer. ♦ IJ14, IJ15, IJ16,
IJ19
♦ IJ21 , IJ23, IJ25,
IJ26
oo
W H
1 ft
Drop ejection direction
Edge Ink flow is along the surface ofthe chip, ♦ Simple construction ♦ Nozzles limited to edge ♦ Canon Bubblejet and ink drops are ejected from the chip ♦ No silicon etching required ♦ High resolution is difficult 1979 Endo et al
('edge shooter') edge. ♦ Good heat sinking via substrate ♦ Fast color printing requires one print GB patent
♦ Mechanically strong head per color 2,007,162
♦ Xerox heater-in-pit
♦ Ease of chip handing
1990 Hawkins et al USP 4,899,181
♦ Tone-jet
Surface Ink flow is along the surface ofthe chip, ♦ No bulk silicon etching required ♦ Maximum ink flow is severely ♦ Hewlett-Packard TIJ ('roof shooter') and ink drops are ejected from the chip ♦ Silicon can make an effective restricted 1982 Vaught et al surface, normal to the plane ofthe chip. heat sink USP 4,490,728
♦ Mechanical strength ♦ IJ02, Ul 1, IJ12, o IJ20
W H ♦ IJ22
Through chip, Ink flow is through the chip, and ink drops ♦ High ink flow ♦ Requires bulk silicon etching ♦ Silverbrook, EP ft forward are ejected from the front surface ofthe ♦ Suitable for pagewidth print 0771 658 A2 and
('up shooter') chip. ♦ High nozzle packing density related patent therefore low manufacturing applications cost ♦ IJ04, IJ17, IJ18,
IJ24
♦ IJ27-IJ45
o
H
1 ft
I Ink type
S
Alcohol Alcohol based inks can be used where ♦ Fast drying ♦ Slight odor ♦ All IJ series ink jets
(ethanol, 2- the printer must operate at temperatures ♦ Operates at sub-freezing ♦ Flammable butanol, and below the freezing point of water. An temperatures others) example of this is in-camera consumer ♦ Reduced paper cockle photographic printing. ♦ Low cost
Phase change The ink is solid at room temperature, and ♦ No drying time- ink instantly ♦ High viscosity ♦ Tektronix hot melt (hot melt) is melted in the print head before jetting. freezes on the print medium ♦ Printed ink typically has a 'waxy' piezoelectric ink O Hot melt inks are usually wax based, ♦ Almost any print medium can feel jets co with a melting point around 80 °C. After be used
H ♦ Printed pages may 'block' ♦ 1989 Nowak USP jetting the ink freezes almost instantly ♦ No paper cockle occurs ♦ Ink temperature may be above the 4,820,346 upon contacting the print medium or a ♦ No wicking occurs curie point of permanent magnets ♦ All IJ series inkjets transfer roller.
♦ No bleed occurs ♦ Ink heaters consume power
♦ No strikethrough occurs ♦ Long warm-up time
Oil Oil based inks are extensively used in ♦ High solubility medium for ♦ High viscosity: this is a significant ♦ All IJ series inkjets offset printing. They have advantages in some dyes limitation for use in inkjets, improved characteristics on paper ♦ Does not cockle paper which usually require a low (especially no wicking or cockle). Oil ♦ Does not wick through paper viscosity. Some short chain and soluble dies and pigments are required. multi-branched oils have a sufficiently low viscosity.
♦ Slow drying
Ink Jet Printing
A large number of new forms of ink jet printers have been developed to facilitate alternative ink jet technologies for the image processing and data distribution system. Various combinations of ink jet devices can be included in printer devices incoφorated as part of the present invention. Australian Provisional Patent Applications relating to these inkjets which are specifically incoφorated by cross reference include:
Ink Jet Manufacturing
Further, the present application may utilize advanced semiconductor fabrication techniques in the construction of large arrays of ink jet printers. Suitable manufacturing techniques are described in the following Australian provisional patent specifications incoφorated here by cross-reference:
Fluid Supply
Further, the present application may utilize an ink delivery system to the ink jet head. Delivery systems relating to the supply of ink to a series of ink jet nozzles are described in the following Australian provisional patent specifications, the disclosure of which are hereby incoφorated by cross-reference:
MEMS Technology
Further, the present application may utilize advanced semiconductor microelecfromechanical techniques in the construction of large arrays of ink jet printers. Suitable microelecfromechanical techniques are described in the following Australian provisional patent specifications incoφorated here by cross-reference:
IR Technologies
Further, the present application may include the utilization of a disposable camera system such as those described in the following Australian provisional patent specifications incoφorated here by cross-reference:
DotCard Technologies
Further, the present application may include the utilization of a data distribution system such as that described in the following Australian provisional patent specifications incoφorated here by cross-reference:
PAGE INTENTIONALLY LEFT BLANK
Artcam Technologies
Further, the present application may include the utilization of camera and data processing techniques such as an Artcam type device as described in the following Australian provisional patent specifications incoφorated here by cross-reference:
It would be appreciated by a person skilled in the art that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiment without departing from the spirit or scope ofthe invention as broadly described. The present embodiment is, therefore, to be considered in all respects to be illustrative and not restrictive.

Claims

We Claim:
1. An ink jet printer having a series of ink ejection nozzles, said printer further comprising: an internal selective actuator mechanism activate on a nozzle by nozzle basis by the placement of a field around said nozzles. 2. An inkjet printing nozzle arrangement comprising:
(a) a nozzle chamber having an ink ejection port at one end;
(b) a plunger constructed from soft magnetic material and positioned between said nozzle chamber and an ink chamber, said ink chamber allowing for the supply of ink to said nozzle chamber;
(c) an electric coil located adjacent to the plunger and electrically connected to a nozzle activation signal wherein upon activation of the activation signal, said plunger is caused by said coil to move from an ink loaded position to an ink ejection position thereby causing the ejection of ink from said ink ejection port.
3. An ink ejection nozzle arrangement as claimed in claim 2 further comprising an armature plate constructed from soft magnetic material and wherein said plunger is attracted to said armature plate on the activation of said coil.
4. An ink jet printing nozzle arrangement as claimed in claim 2 wherein said electric coil is located within a cavity defined by a said plunger and wherein said cavity has its dimensions reduced as a result of movement of said plunger, said plunger further having a series of fluid release slots in fluid communication with said cavity and said ink chamber, said fluid release slots allowing for the expulsion of fluid under pressure in said cavity.
5. An ink jet printing nozzle arrangement as claimed in claim 2 further comprising a resilient means for assisting in the return of said plunger from said ink ejection position to said ink loaded position after the ejection of ink from said ink ejection port.
6. An ink jet printing nozzle arrangement as claimed in claim 5 wherein said resilient means comprises a torsional spring.
7. An inkjet printing nozzle arrangement as claimed in claim 6 wherein said torsional spring is of an arcuate construction having a circumferential profile substantially the same as that of said plunger.
8. An ink jet printing nozzle arrangement comprising:
(a) a nozzle chamber having an ink ejection slot at one end; (b) a plunger constructed from soft magnetic material positioned between said nozzle chamber and an ink chamber supplying ink to said nozzle chamber;
(c) an electric coil located adjacent to the plunger and electrically connected to a nozzle activation signal; wherein said electric coil is located within a cavity defined by a said plunger, said plunger having along one surface a series of slots, said cavity having its dimensions reduced as result of movement of said plunger, said reduction in dimensions resulting in an ink flow through said slots into said nozzle chamber and thereby assisting in the ejection of ink from said ink ejection port.
9. An ink jet printing nozzle as claimed in claim 8 wherein said slots are defined around an inner circumference of said coil and said slots have a substantially constant cross-sectional profile.
10. A nozzle as claimed in Claim 7 wherein said slots are located in a radial manner on one surface of said plunger. 11. An ink jet nozzle comprising: a nozzle chamber having an ink ejection port in one wall of said chamber; an ink supply source interconnected to said nozzle chamber; an electrostatic actuator comprising a first planar electrode formed within a bottom substrate of a nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, said second planar electrode being moveable to a pre-firing position adjacent to said first planar electrode, upon forming a potential difference across said electrodes, thereby causing a corrugated border portion of said second electrode to concertina, such that, upon reduction of said potential difference, said corrugated border returns to its quiescent position, thereby causing the ejection of ink from said nozzle chamber.
12. An ink jet nozzle comprising: a nozzle chamber having an ink ejection port in one wall of said chamber; an ink supply source interconnected to said nozzle chamber; an electrostatic actuator to eject ink from said nozzle chamber via said ink ejection port, said electrostatic actuator comprising a first planar elecfrode formed within a bottom substrate of a nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, said ink jet nozzle arrangement being formed from depositing and etching of material on a single monolithic wafer.
13. An ink jet nozzle as claimed in claim 11 wherein between said first planar electrode and said second planar electrode is an air gap interconnected to an external atmosphere at a side of said nozzle chamber such that air flows into and out of said gap upon movement of said actuator.
14. An ink jet nozzle as claimed in claim 11 wherein the surface of said electrodes facing an opposing electrode are coated with a material having a low coefficient of friction so as to reduce the possibilities of stiction.
15. An ink jet nozzle as claimed in claim 14 wherein said material comprises substantially polytetrafluoroethylene.
16. An ink jet nozzle as claimed in claim 11 wherein said second planar electrode includes a layer of stiffening material for maintaining the stiffness of said second planar electrode.
17. An ink jet nozzle as claimed in claim 16 wherein said stiffening material comprises substantially nitride.
18. An ink jet nozzle as claimed in claim 13 wherein said gap is formed by utilisation of a sacrificial material layer which is etched away to release said second planar electrode structure. 19. An ink jet nozzle as claimed in claim 11 wherein an outer surface of said ink chamber includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction. 20. In an inkjet nozzle comprising: a nozzle chamber having an ink ejection port in one wall of said chamber; an ink supply source interconnected to said nozzle chamber; an electrostatic actuator to eject ink from said nozzle chamber via said ink ejection port, said electrostatic actuator comprising a series of conductive parallel plates interleaved with a resiliently compressible material; a method comprising the steps of: producing a potential difference across said plates so as to attract adjacent plates to one another thereby causing said compressible material to resiliently yield; reducing said potential difference such that said compressible material returns to its quiescent state, thereby resulting in the ejection of ink from said ejection port.
21. A method as claimed in claim 20 wherein said resilient yielding of said compressible material results in ink being drawn into said nozzle chamber by means of surface tension effects around said ink ejection port.
22. An inkjet nozzle comprising: a nozzle chamber having and ink ejection port in one wall of said chamber; an ink supply source interconnected to said nozzle chamber; an electrostatic actuator to eject ink from said nozzle chamber via said ink ejection port, said electrostatic actuator comprising a series of conductive parallel plates interleaved with a resiliently compressible material; control means for producing a potential difference across said plates so that said material is resiliently compressed such that, upon deactivation, said electrostatic actuator causes ink to be ejected via said ink ejection port. 23. An ink jet nozzle as claimed in claim 20 wherein said compressible material comprises a material having a high dielectric constant.
24. An ink jet nozzle as claimed in claim 20 wherein said electrostatic actuator is constructed utilising semiconductor fabrication techniques by laying down one planer layer at a time so as to form an initial sandwiched preform and subsequently selectively etching said preform so as to provide for an electrical interconnect to the conductive parallel plates.
25. An ink jet nozzle as claimed in claim 20 wherein groups of said series of said conductive parallel plates are constructed from different materials so as to allow for selective etching of said plates so as to divide them into two groups of different polarities during operation.
26. An ink jet nozzle as claimed in claim 25 wherein plates from each of said group are interconnected to a common conductive portion for the provision of a charge to said conductive plates.
27. An ink jet nozzle as claimed in claim 20 wherein said plates are constructed utilising chemical vapour deposition techniques.
28. An ink jet nozzle as claimed in claim 20 wherein an outer surface of said nozzle chambers includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
29. A method of ejecting ink from an inkjet printing nozzle apparatus, the apparatus comprising: (a) a nozzle chamber having an ink ejection port and being in fluid connection with an ink chamber; (b) an ink ejection means having one surface in fluid communication with ink in said nozzle chamber;
(c) a recoil means connected to said ink ejection means;
(d) a first actuator means connected to the ink ejection means; wherein said method comprises the step of: activation ofthe first actuator means which drives said ink ejection means from a quiescent position to a pre-firing position; deactivation of said first actuator means, said deactivation causing said recoil means to drive said ink ejection means to eject ink from said nozzle chamber via said ink ejection port. 30. A method as claimed at claim 29 wlierein said recoil means includes a resilient member and said movement of the first actuator means results in resilient movement of said recoil means and said driving of the ink ejection means comprises the resilient member acting upon said ink ejection means.
31. A method as claimed in claim 29 wherein said first actuator means comprises an electromagnetic actuator. 32. A method as claimed in claim 2 wherein said recoil means comprises a torsional spring.
33. A method as claimed in claim 29 wtieiein said ink ejection means and said first actuator means are interconnected in a cantilever arrangement wherein small movements of said first actuator means result in larger movements ofthe said ink ejection means.
34. A method as claimed in claim 33 wherein said recoil means is located substantially at the pivot point of said cantilever.
35. A method as claimed in claim 31 wherein said first actuator includes a solenoid coil surrounded by a magnetic actuator having a first fixed magnetic pole and a second moveable magnetic pole, such that, upon activation of said coil, said poles undergo movement relative to one another.
36. A method as claimed in claim 3_5 wherein said moveable magnetic pole includes a plurality of slots for the flow of ink through said pole upon movement of said moveable pole.
37. A method as claimed in claim 29 wherein said ink ejection means comprises a piston or plunger having a surface substantially mating with at leεtst one surface ofthe nozzle chamber.
38. An ink jet nozzle arrangement having an ink ejection port for the ejection of ink said nozzle comprising: a nozzle chamber interconnected to said ink ejection port and having one moveable wall including an electromagnetic coil, said nozzle chamber being in a magnetic field such that, upon activation of said electromagnetic coil, said moveable wall experiences a lorenz force and is caused to move so as to result in the ejection of ink from said nozzle chamber via said ink ejection port.
39. An ink jet nozzle arrangement as claimed in claim 38 wherein said moveable wall is caused to pivot upon activation.
40. An inkjet nozzle arrangement as claimed in any of claims 38 to 39 wherein said moveable wall interconnects said nozzle chamber with an ink supply chamber and said nozzle chamber is refilled from said ink supply chamber upon said ejection of ink.
41. An ink jet nozzle arrangement as claimed in any of claims 38 to 40 wherein said moveable wall is interconnected to said nozzle chamber wall by a resilient means.
42. An inkjet nozzle arrangement as claimed in claim 41 wherein said resilient means acts to return said moveable wall to a quiescent position upon deactivation of said electromagnetic coil. 43. An ink jet nozzle arrangement as claimed in any of claims 38 to 42 wherein said electromagnetic coil includes multiple layers.
44. An ink jet nozzle arrangement as claimed in any of claims 38 to 43 wherein said electromagnetic coil comprises substantially copper.
45. An inkjet nozzle arrangement as claimed in any of claims 38 to 44 wherein said magnetic field is permanent.
46. An inkjet nozzle arrangement as claimed in claim 45 wherein said magnetic field is provided by neodymium iron boron magnets.
47. An ink jet printing nozzle apparatus comprising: a nozzle chamber in fluid communication with an ink chamber and utilized for the storage of ink to be printed out by said nozzle apparatus, said nozzle chamber having a nozzle chamber outlet hole for the ejection of ink from said nozzle chamber; a magnetic piston located over an aperture in said nozzle chamber; and an activation coil located adjacent to said magnetic piston, said coil upon activation by a current applying a force to said piston sufficient to cause movement of said piston from a first position to a second position, said movement causing ink within said nozzle chamber to be ejected from said nozzle chamber through a nozzle chamber outlet hole onto print media.
48. An ink jet printing nozzle apparatus as claimed in claim 47 further comprising a series of resilient means attached to said magnetic piston so as to return said magnetic piston to said first position upon deactivation of said activation coil. 49. A ink jet nozzle apparatus as claimed in claim 48 wherein said resilient means comprises at least one torsional spring.
50. An ink jet nozzle apparatus as claimed in claim 47 wherein said apparatus is constructed utilizing semi-conductor fabrication techniques;
51. An ink jet nozzle apparatus as claimed in claim 47 wherein said piston and/or said coils are constructed from a dual damascene process.
52. A inkjet printing nozzle apparatus as claimed in claim 47 wherein said nozzle chamber outlet hole includes a nozzle rim adapted to reduce hydrophilic surface spreading of said ink.
53. An ink jet printing nozzle apparatus as claimed in claim 47 wherein said activation coil is constructed from a copper deposition process. 54. An ink jet printing nozzle apparatus as claimed in claim 47 wherein said magnetic piston is constructed from a rare earth magnetic material.
55. An inkjet printing nozzle apparatus as claimed in claim 48 wherein said resilient means constructed from silicon nitride.
56. An inkjet nozzle comprising: an ink reservoir containing an ink supply under a fluctuating pressure; a nozzle chamber having an ink ejection port for the ejection of ink drops onto a print media; and a shutter means interconnecting said reservoir and said nozzle chamber, said shutter means being operable by means of electromagnetic actuation so as to control the ejection of ink from said ink ejection port.
57. An inkjet print nozzle as claimed in claim 56 wherein said electromagnetic actuation comprises activating an electromagnet so as to move an arm interconnected to at least one end of said shutter means, thereby opening a channel for the flow of ink, followed by maintaining a lower keeper current so as to maintain said channel in an open state, followed by deactivation of said electromagnet, said shutter subsequently returning to a closed position.
58. An inkjet nozzle as claimed in claim 56 wherein said electromagnet includes a first and second end and wherein each of said ends are positioned closely adjacent to said arm and said electromagnetic actuation includes movement of said arm closer to both of said ends.
59. An inkjet print nozzle as claimed in claim 56 wherein said arm is pivoted between said first and second end of said electromagnet.
60. An ink jet print nozzle as claimed in any of claims 56 to 59 wherein the electromagnet has a spiral shape.
61. An ink jet print nozzle as claimed in any of claims 56 to 60 further comprising: a resilient means connected to said shutter means, said resilient means being elastically deformed by said electromagnetic actuation and said resilient means operating to return to an initial state upon deactivation of said shutter means so as to restrict the further flow of fluid from said ink reservoir to said nozzle chamber.
62. An ink jet print nozzle as claimed in claim 58 wherein said resilient means comprises a coiled spring.
63. An ink jet print nozzle as claimed in any of claims 56 to 62 wherein said electromagnet is formed utilizing semi-conductor fabrication techniques from a copper coil surrounding a soft metal core.
64. An inkjet print nozzle as claimed in claim 63 wherein said copper coil is formed from utilizing a damascene process.
65. An inkjet nozzle as claimed in any of claims 56 to 64 wherein said shutter means comprises a series of moveable slats moveable over an aperture in the wall of said nozzle chamber. 66. A method of ejecting ink from an ink jet print nozzle having a fluctuating ink pressure supply comprising: utilizing an electromagnetically activated shutter to control the flow of ink into a nozzle chamber such that ink is ejected from said nozzle chamber when said shutter is open; utilizing a first high pressure cycle of said oscillating pressure ink supply to eject ink from said nozzle chamber; utilizing a low pressure cycle of said oscillating pressure ink supply for the separation of an ink drop from the ink in said nozzle chamber; utilizing a second high pressure cycle of said oscillating pressure ink supply to refill said nozzle chamber with ink.
67. An inkjet nozzle arrangement comprising: a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber; an ink supply reservoir for supplying ink to said nozzle chamber; a magnetic actuator located between said nozzle chamber and said ink supply reservoir which is actuated to eject ink by means of externally supplied magnetic pulse cycles;
68. An ink jet nozzle arrangement as claimed in claim 67 wherein said nozzle arrangement is formed as part of an array of nozzles and each of said nozzles further comprises a blocking means for blocking movement of said magnetic actuator for those nozzles of which it is desired not to eject ink from said nozzle chambers in a current magnetic pulse cycle.
69. An ink jet nozzle arrangement as claimed in claim 68 wherein said blocking means comprises a thermal actuator having a moveable end protuberance which is moveable to a position blocking the path of movement of said magnetic actuator.
70. An ink jet nozzle arrangement as claimed in any of claims 67 to 69 2 or 3 wherein said magnetic actuator includes an end protuberance designed to engage said blocking means upon movement of said actuator.
71. An ink jet nozzle arrangement as claimed in any of claims 67 to 70 wherein said magnetic actuator is affixed to an adjacent wall of said nozzle chamber by means of two bendable strip portions which allow bending movement of said magnetic actuator upon activation by said externally supplied magnetic pulse cycles.
72. An ink jet nozzle arrangement as claimed in claim 69 wherein said thermal actuator comprises substantially two arms affixed to a substrate, a first arm having a thin seφentine structure encased in a material having a high coefficient of thermal expansion and a second arm comprising a thicker arm having a tapered thin portion near the end connecting to said substrate so as to concentrate any bending of said actuator at a point close to said substrate.
73. An ink jet nozzle arrangement as claimed in any of claims 67 to 72 2 to 6 wherein said blocking means is located in a cavity having a low degree of fluid flow through the cavity.
74. An ink jet nozzle arrangement as claimed in claim 72 wherein said blocking means is located in a cavity having a low degree of fluid flow through the cavity and the seφentine arm is located alongside an inner wall of said cavity.
75. An ink jet nozzle arrangement as claimed in any of claims 67 to 74 wherein the nozzle is constructed via fabrication of a silicon wafer utilizing semiconductor fabrication techniques.
76. An ink jet nozzle arrangement as claimed in any of claims 67 to 75 wherein portions of said actuators include a silicon nitride covering as required so as to insulate and passivate them from adjacent portions. 77. An inkjet nozzle arrangement as claimed in any of claims 67 to 76 wherein said nozzle chamber is formed from high density low pressure plasma etching of a silicon substrate. 78. An ink jet nozzle arrangement comprising:
(a) a nozzle chamber having an ink injection port at one wall of said chamber; (b) a fixed electric coil located within the chamber or within a wall of said chamber;
(c) a moveable plate, in which embedded is an electric coil, located close to set fixed electric coil such that when the amount of current passing through set coils are altered, the movable plate undergoes corresponding movement towards or away from said fixed electric coil and wherein said movement is utilized to inject ink from said nozzle chamber via said ink injection port.
79. An inkjet nozzle arrangement as claimed in claim 78 further comprising: spring means connected to said moveable plate wherein said moveable plate goes from a quiescent position to a spring loaded position upon activation of said coils and upon deactivation of said coils said spring means causes said moveable coil to return to its quiescent position and to thereby eject ink from said ink ejection port.
80. An ink jet nozzle arrangement as claimed in any of claims 78 to 79 wherein said fixed electric coil of said moveable plunger plate comprises a stacked multi level spiral of conductive material.
81. An inkjet nozzle arrangement claimed in claim 80 wherein said stacked conductive material is interconnected at a central axial point of said spiral. 82. An ink jet nozzle arrangement as claimed in any of claims 78 to 81 wherein said coils are electrically connected together to form a combined circuit.
83. An ink jet nozzle arrangement claimed in claim 79 wherein said spring means comprises torsional springs attached to said moveable coil.
84. An inkjet nozzle arrangement claimed in claim 83 wherein a conductive strip contact to said coils is located within said torsional springs.
85. An ink jet nozzle arrangement claimed in any previous claim wherein said coil comprises substantially copper.
86. An ink jet nozzle arrangement as claimed in any of claims 78 to 85 wherein said coils are formed from utilization of a damascene construction process. 87. An ink jet nozzle arrangement as claimed in any of claims 78 to 86 wherein said nozzle is constructed utilizing a sacrificial etch to release the structure of said moveable coil.
88. An ink jet nozzle arrangement as claimed in any of claims 78 to 87 wherein said nozzle chamber includes a series of slots within the walls of said nozzle chamber so as to allow the supply of ink to said nozzle chamber. 89. An ink jet nozzle arrangement as claimed in claim 87 wherein an outer surface of said nozzle chamber includes a series of small etched holes for the etching of any sacrificial layer utilized in the construction of said inkjet print nozzle.
90. A means of ejecting ink from a nozzle chamber utilizing the electro-magnetic forces between two coils embedded into place to cause movement of at least one of said plates, the movement further causing the consequential ejection of ink from said nozzle chamber.
91. A method of ejecting ink as claimed in claim 86 wherein said utilization of electro-magnetic forces comprises using the electro-magnetic forces between coils embedded into a moveable and a fixed plate so that said moveable plate moves closer to said fixed plate, said moveable plate further being connected to a spring which upon said movement, stores energy within said spring such as that upon deactivation of a current through said coil, said spring releases its stored energy to thereby cause the movement of said moveable plate so as to cause the ejection of ink from said nozzle.
92. An inkjet nozzle arrangement comprising: a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber; an ink supply reservoir for supplying ink to said nozzle chamber; a plunger located within said nozzle chamber; and a linear stepper actuator interconnected to said plunger and adapted to activate said plunger so as to cause the ejection of ink from said ink ejection port. 93. An ink jet nozzle arrangement as claimed in claim 92 wherein said plunger has a hydrophobic surface located alongside at least one wall of said nozzle chamber.
94. An inkjet nozzle arrangement as claimed in any of claims 92 to 93 wherein said linear actuator is driven in three phases by a series of electromagnets.
95. An ink jet nozzle arrangement as claimed in claim 94 wherein said electromagnets are duplicated for each phase.
96. An inkjet nozzle arrangement as claimed in claim 96 wherein there are four electromagnets for each phase.
97. An ink jet nozzle arrangement as claimed in claims 94 to 96 wherein said electromagnets are arranged in opposing pairs. 98. An ink jet nozzle arrangement as claimed in any of claims 92 to 97 wherein said nozzle chamber has an open wall along a back surface of said plunger.
99. An ink jet nozzle arrangement as claimed in any of claims 92 to 98 wherein said nozzle chamber comprises a series of posts adapted to form a filter to filter ink flowing into said nozzle chamber.
100. An inkjet nozzle arrangement as claimed in any of claims 92 to 99 wherein said linear stepper actuator includes a guide at an end opposite said nozzle chamber for guiding the linear actuator.
101. An ink jet nozzle comprising: a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber; an ink supply reservoir for supplying ink to said nozzle chamber; a shutter for opening and closing a fluid passage between the reservoir and chamber so as to cause the ejection of ink from said ink ejection port; wherein said shutter includes a ratcheted edge for dividing the shutter to an open or closed position via the utilisation of an actuator driven driving means.
102. An inkjet nozzle as claimed in claim 101 wherein said driving means includes a gearing means interconnected to a driving means wherein said gearing means results in a reduced driving frequency of said ratcheted edge relative to the frequency of operation of said driving means.
103. An inkjet nozzle as claimed in any of claims 101 to 102 wherein said driving means includes utilising a conductive element in a magnetic field to exert a force on said ratcheted edge.
104. An ink jet nozzle as claimed in claim 102 wherein said driving means includes utilising a conductive element in a magnetic field to exert a force on a cog of a gearing mechanism with said gearing mechanism utilised to transfer the force on said ratcheted edge.
105. An ink jet nozzle as claimed in claim 103 wherein said conductive element includes a concertinaed structure designed to expand or contract upon movement of said conductive element. 106. An ink jet nozzle as claimed in any preceding paragraph wherein said shutter mechanism includes a series of slots having corresponding retainers utilised in guiding the shutter between said reservoir and said nozzle chamber.
107. An ink nozzle as claimed in any preceding paragraph wherein said shutter is formed through the fabrication of an array of nozzles on a silicon wafer structure. 108. An ink jet nozzle as claimed in claim 101 wherein the ink within said ink supply reservoir is driven with an oscillating ink pressure.
109. An ink jet nozzle arrangement comprising: a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber; an ink supply reservoir for supplying ink to said nozzle chamber; a tapered magnetic plunger located between said nozzle chamber and said ink supply reservoir and surrounded by an electromagnetic device such that upon activation of said device, said magnetic plunger is forced towards to said ink ejection port to thereby cause the ejection of ink from said ink ejection port.
110. An inkjet nozzle arrangement as claimed in claim 109 wherein said plunger is substantially circular and has a tapered rim adjacent portions of said elecfromagnetic device. 111. An ink jet nozzle arrangement as claimed in any of claims 109 to 110 wherein said electromagnetic device is of a torus shape and said plunger is located in the center of said torus.
112. An inkjet nozzle arrangement as claimed in any of claims 109 to 111 wherein said plunger is further connected to a resilient means which allows for the return of said plunger to its original position upon deactivation of said electromagnetic device. 113. An ink jet nozzle arrangement as claimed in any of claims 109 to 112 wherein said magnetic plunger is connected to a side wall of said nozzle chamber by means of a series of springs.
114. An inkjet nozzle as claimed in claim 113 wherein said springs are interconnected to a central portion of said plunger and radially spiral out to said side walls. 7. An ink jet nozzle as claimed in 4 or 5 wherein said springs are formed from tensional release of a deposited material. 115. An inkjet nozzle as claimed in claim 107 wherein said deposited material includes nitride.
1 16. A shuttered grill ink jet printer wherein said shutter is electromagnetically actuated from a closed to an open position so as to allow the ejection of ink from a chamber onto print media.
117. A shuttered ink jet nozzle comprising:
(a) an ink chamber having an ink ejection nozzle for the ejection of ink from said ink chamber;
(b) an ink reservoir for the supply of ink to said ink chamber under pressure; and (c) a shutter device located between said ink reservoir and said ink chamber so as to allow or restrict the flow of ink between the ink chamber and ink reservoir to thereby cause the ejection of ink from said chamber, said shutter device being actuated on demand.
118. A shuttered ink jet nozzle as claimed in claim 117 when said actuator comprises an electromagnetic coil mechanism attracting a magnetic bar.
119. A shuttered ink jet nozzle as claimed in claim 118 wherein said coil is anchored to a wafer and said magnetic bar is connected to a shutter plate adapted to open and close over a series of shutter holes allowing fluid communication between said ink reservoir and said ink chamber.
120. A shuttered inkjet nozzle as claimed in claim 1 18 wherein said actuator includes at least one linear spring so as to amplify the travel of a shutter plate covering shutter holes upon activation of said actuator.
121. A shuttered inkjet nozzle as claimed in claim 120 wherein said linear spring is anchored on one side of said ink chamber and said electromagnetic coil is anchored to an opposite side of said ink chamber with a shutter plate operable between said linear spring anchor and said electromagnetic anchor. 122. A shuttered ink jet nozzle as claimed in any of claims 117 to 121 wherein said ink reservoir includes ink under an oscillating ink pressure.
123. A shuttered inkjet nozzle as claimed in claim 117 wherein said shuttered device comprises a plurality of shutter plates covering a corresponding plurality of shutter holes allowing the flow of ink between said ink chamber and said ink reservoir. 124. A shuttered ink jet nozzle as claimed in claim 117 wherein said ink chamber is formed by a crystallographic etch of a silicon wafer.
125. A shuttered ink jet nozzle as claimed in claim 122 wherein the ejection frequency of drops from said nozzle is substantially half the frequency of an oscillating pressure of said ink within said ink reservoir. 126. An array of ink jet nozzles with each nozzle as claimed in any of claims 116 to 125 wherein the nozzles are grouped into phase groups and each phase group is activated in turn so as to reduce pressure requirements in an ink jet reservoir.
127. A method of operation of a shuttered ink jet print nozzle having a nozzle chamber and ink reservoir, the ink reservoir having an oscillating ink pressure, comprising opening the shutter to cause ink to be ejected from the nozzle chamber resulting in a reduction of ink in said nozzle chamber followed by leaving the shutter open during a subsequent high pressure of said ink pressure so as to allow said nozzle chamber to refill, followed by closing said shutter at the end of a high pressure cycle so as to restrict back flow of ink from said nozzle chamber to said ink reservoir.
128. An inkjet nozzle arrangement comprising: (a) an ink ejection chamber having an ejection port for the ejection of ink, said chamber being in fluid communication with an ink reservoir for the supply of ink to be ejected; and
(b) at least one wall of said chamber comprising a moveable diaphragm actuated by means of a Lorenz force so as to cause the consequential ejection of ink from said ink ejection chamber.
129. An ink jet nozzle arrangement as claimed in claim 128 wherein said diaphragm includes an embedded conductive coil.
130. An inkjet nozzle arrangement claimed in claim 128 wherein said diaphragm is of a corrugated or concertinaed form. 131. An ink jet nozzle arrangement claimed in claim 130 wherein said diaphragm is expandable upon actuation.
132. An ink jet nozzle arrangement as claimed in claim 131 wherein said diaphragm undergoes expansion by concertinaing
133. An ink jet nozzle arrangement as claimed in claim 130 where in said diaphragm is formed through utilization of a half-toned mask.
134. An inkjet nozzle arrangement as claimed in any proceeding claim wherein said chamber is formed by means of an anisotropic etch of a silicon wafer.
135. A method of ejecting ink from a chamber comprising utilization ofthe phase transformation of a magnetostrictive material in a magnetic field as an actuator to cause the ejection of ink from the chamber. 136. A method is claimed in claim 135 when said actuator comprises a magnetostrictive paddle in a quiescent state and which transfers to an ink ejection state upon the application of a magnetic field thereby causing ink ejection from the chamber.
137. A method as claimed in claim 136 when said magnetic field is applied by means of passing a current through a conductive coil adjacent said magnetostrictive material. 138. A method as claimed in a preceding claim when said chamber is formed from a crystallographic etch of a silicon wafer so as to have one surface of a said chamber substantially formed by said actuator.
139. A method as claimed 1 wherein said actuator is attached to one wall of said chamber opposite a nozzle port from which ink is ejected.
140. A method as claimed in claim 135 wherein said nozzle port is formed by the back etching of a silicon wafer to the epitaxial layer and etching a nozzle port hole in said epitaxial layer.
141. A method is claimed in claim 138 wherein said crystallographic etch includes providing side wall slots of non-etched layers of a processed silicon wafer so as to extend the dimensions of the chamber as a result ofthe crystallographic etch process.
142. A method as claimed in any of claims 135 to 141 wherein said shape memory alloy comprises substantially Terfenol-D.
143. An ink jet nozzle arrangement comprising: a nozzle chamber having an ink ejection port in one wall of said chamber; an ink supply source interconnected to said nozzle chamber; a magnetostrictive actuator to eject ink from said nozzle chamber via said ink ejection port; a magnetic field actuation means for producing a magnetic field around said magnetostrictive actuator so as to cause magnetostrictive operation of said actuator thereby causing said actuator to eject ink from said ink ejection port.
144. An ink jet nozzle as claimed in claim 143 wherein said magnetic field actuation means comprises a conductive coil surrounding said magnetostrictive actuator.
145. An inkjet nozzle as claimed in claim 144 wherein said nozzle is formed on a silicon wafer utilizing semiconductor processing techniques and said conductive coil is interconnected to a lower metal layer which provides control circuitry for said inkjet printer. 5 146. A method of ejecting ink from a chamber comprising utilization of the transformation of a shape memory alloy from its martensitic phase to its austenitic phase as an actuator to cause the ejection of ink from the said chamber.
147. A method is claimed in claim 146 when said actuator comprises a conductive shape memory alloy paddle in a quiescent state and which transfers to an ink ejection state upon heating thereby causing ink ϋ ejection from the chamber.
148. A method as claimed in claim 147 when said heating occurs by means of passing a current through said shape memory alloy.
149. A method as claimed in a preceding claim when said chamber is formed from a crystallographic etch of a silicon wafer so as to have one surface of a said chamber substantially formed by said actuator. 5 150. A method as claimed in any of claims 146 to 149 wherein said actuator is formed from a conductive shape memory alloy arranged in a seφentine form.
151. A method as claimed in claim 146 wherein said actuator is attached to one wall of said chamber opposite a nozzle port from which ink is ejected.
152. A method as claimed in claim 146 wherein said nozzle port is formed by the back etching of a 0 silicon wafer to the epitaxial layer and etching a nozzle port hole in said epitaxial layer.
153. A method is claimed in claim 149 wherein said crystallographic etch includes providing side wall slots of non-etched layers of a processed silicon wafer so as to the extend the dimensions ofthe chamber as a result ofthe crystallographic etch process.
154. A method as claimed in any of claims 146 to 153 wherein said shape memory alloy comprises 5 a nickel titanium alloy.
155. An ink jet nozzle arrangement for the ejection of ink from an ink ejection nozzle comprising: a substrate; a conductive coil formed on said substrate and operable in a controlled manner; a moveable magnetic actuator surrounding said conductive coil and forming an ink nozzle chamber 0 between said substrate and said actuator, said moveable magnetic actuator further including an ink ejection nozzle defined therein; wherein variations in the energization level of said conductive coil cause said magnetic actuator to move from a first position to a second position, thereby causing a consequential ejection of ink from said nozzle chamber as a result of fluctuations in the ink pressure within said nozzle chamber. 5 156. An inkjet nozzle arrangement as claimed in claim 155 further comprising an ink supply channel interconnecting said nozzle chamber for the resupply of ink to said nozzle chamber.
157. An ink jet nozzle arrangement as claimed in any of claims 155 to 156 wherein said moveable magnetic actuator is moveable from a first position having an expanded nozzle chamber volume to a second position having a contracted nozzle chamber volume by the operation of said conductive coil. 158. An inkjet nozzle arrangement as claimed in claim 157 further comprising: at least one resilient member attached to said moveable magnetic actuator, so as to bias said moveable magnetic actuator, in its quiescent position, at said first position. 159. An inkjet nozzle arrangement as claimed in claim 158 wherein said at least one resilient member comprises a leaf spring.
159a. An inkjet nozzle arrangement as claimed in claim 155 wherein said interconnection comprises a series of elongated slots etched in said substrate.
160. An inkjet nozzle arrangement as claimed in claim 151 wherein said substrate comprises a silicon wafer and said ink supply channel is etched through said wafer.
161. An inkjet nozzle arrangement as claimed in any of claims 155 to 160 wherein a slot is defined between said magnetic actuator and said substrate and the actuator portions adjacent said slot is hydophobically treated so as to minimize wicking through said slot.
162. An inkjet nozzle arrangement as claimed in any of claims 155 to 161 further comprising a magnetic base plate located between said conductive coil and said substrate.
163. An inkjet nozzle arrangement as claimed in claim 162 wherein said magnetic actuator and said nozzle plate substantially encompasses said conductive coil.
164. An inkjet nozzle arrangement as claimed in any of claims 155 to 163 wherein said magnetic actuator is formed from a cobalt nickel iron alloy. 165. A method of manufacturing a radiant plunger ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
166. A method as claimed in claim 165 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
167. A method as claimed in claim 166 wherein said substrate is a silicon wafer. 168. A method as claimed in claim 165 wherein said print heads are formed utilising standard vlsi/ulsi processing.
169. A method as claimed in claim 165 wherein integrated drive electronics are formed on the same substrate.
170. A method as claimed in claim 169 wherein said integrated drive electronics comprise a CMOS process.
171. A method as claimed in claim 165 wherein ink is ejected from said substrate substantially normal to said substrate.
172. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
(b) etching a nozzle chamber cavity in said wafer said etching stopping substantially at said epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on said electrical circuitry layer to define a first magnetic plate;
(d) depositing and etching an insulating layer on said first layer and said electrical circuitry layer, said etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer on said insulating layer in the form of a conductive coil conductively interconnected to said first layer;
(f) depositing and etching a sacrificial material layer in the region of said first magnetic plate and said coil, said etching including defining apertures for a series of spring posts;
(g) depositing and etching a second layer having a high saturation flux density so as form an interconnected second magnetic plate, series of attached springs and spring posts;
(h) etching the back of said wafer to said epitaxial layer;
(i) etching an ink ejection nozzle through said epitaxial layer interconnected with said nozzle chamber cavity; and
(j) etching away any remaining sacrificial layers. 173. A method as claimed in claim 172 wherein said step (f) further comprises etching cavities defining a series of spring posts and said step (g) includes forming a series of leaf springs interconnected with said first magnetic plate for resiliently biasing said magnetic plate in a first direction.
174. A method as claimed in claim 172 wherein said conductive layer comprises substantially copper. 175. A method as claimed in claim 172 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
176. A method as claimed in claim 172 wherein the etching of layers includes etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
177. A method as claimed in claim 172 wherein said magnetic flux material comprises substantially a cobalt nickel iron alloy.
178. A method as claimed in claim 172 wherein said wafer comprises a double side polished CMOS wafer.
179. A method as claimed in claim 172 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads. 180. A method of manufacturing an electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
181. A method as claimed in claim 180 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
182. A method as claimed in claim 181 wherein said substrate is a silicon wafer. 183. A method as claimed in claim 180 wherein said print heads are formed utilising standard vlsi/ulsi processing.
184. A method as claimed in claim 180 wherein integrated drive electronics are formed on the same substrate.
185. A method as claimed in claim 184 wherein said integrated drive electronics comprise a CMOS process.
186. A method as claimed in claim 180 wherein ink is ejected from said substrate substantially normal to said substrate.
187. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
(b) forming a bottom electrode layer of conductive material on or in said electrical circuitry layer;
(c) depositing and etching a first hydrophobic layer on said electrode layer;
(d) depositing and etching a first sacrificial layer of sacrificial material on said first hydrophobic layer;
(e) depositing and etching a top electrode layer of conductive material on said first sacrificial layer said top electrode layer including predetermined portions interconnecting with said electrical circuitry layer;
(f) depositing and etching a membrane layer on said top electrode layer;
(g) depositing and etching a second sacrificial layer on said membrane layer, said second sacrificial layer forming a blank for said nozzle chamber walls;
(h) depositing and etching an inert material layer on said second sacrificial layer so as to form said nozzle chamber walls surrounding said nozzle chamber in addition to a nozzle fluid ejection hole interconnecting with said nozzle chamber;
(i) etching an ink supply channel interconnecting with said nozzle chamber; (j) etching away said sacrificial layers so as to leave an operational device.
188. A method as claimed in claim 187 wherein said top electrode layer and said membrane layer include a concertina edge so as to allow for movement of said membrane layer.
189. A method as claimed in claim 187 wherein said bottom electrode layer is formed from a metal plane layer of said circuitry layer.
190. A method as claimed in claim 187 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer. 191. A method as claimed in claim 187 wherein said step (h) includes etching a nozzle rim around said nozzle fluid ejection hole.
192. A method as claimed in claim 187 wherein said step (h) includes etching a series of small holes in at least one wall of said nozzle chamber.
193. A method as claimed in claim 187 wherein said hydrophobic layer comprises substantially polytetrafluroethylene.
194. A method as claimed in claim 187 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
195. A method as claimed in claim 187 wherein said wafer comprises a double side polished CMOS wafer.
196. A method as claimed in claim 187 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
197. A method of manufacturing a stacked electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
198. A method as claimed in claim 197 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
199. A method as claimed in claim 198 wherein said substrate is a silicon wafer.
200. A method as claimed in claim 197 wherein said print heads are formed utilising standard vlsi/ulsi processing.
201. A method as claimed in claim 197 wherein integrated drive electronics are formed on the same substrate.
202. A method as claimed in claim 201 wherein said integrated drive electronics comprise a CMOS process. 203. A method as claimed in claim 197 wherein ink is ejected from said substrate substantially normal to said substrate.
204. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer thereon on including etched vias for interconnection of said circuitry with subsequent layers;
(b) repeatedly depositing a series of planar layers on said electrical circuitry layer said planer layers including a first conductive layer, a second conductive layer and an intermediate compressible non conductive layer;
(c) etching said planar layer so as to form a series of stacked alternating structures; (d) isolating at least one first edge of a stacked alternating structure;
(e) etching said second conductive layer and said intermediate compressible layer along said edge so as to expose said first conductive layer;
(f) isolating a second edge of said stacked alternating structure;
(g) etching said first conductive layer and said intermediate compressible layer along said second edge so as to expose said second conductive layer;
(h) depositing and etching a third conductive layer having first portions interconnected along said first edge to said first conductive layer and a second portions interconnected along said second edge to said second conductive layer, said first and second portions being interconnected to corresponding portions in said electrical circuitry layer; (i) depositing and etching a sacrificial material layer on said wafer, said etching forming a mould for a subsequent nozzle chamber layer;
(j) depositing and etching an inert material layer over said sacrificial layer so as to form a nozzle chamber surrounding said conductive layers in addition to an ink ejection hole; (k) etching an ink supply channel through portions of said wafer to interconnect with said nozzle chamber; and
(1) etching away said sacrificial material layer.
205. A method as claimed in claim 204 wherein said step (j) includes etching a series of small holes in a wall of said nozzle chamber interconnecting said chamber with the ambient atmosphere.
206. A method as claimed in claim 204 wherein said first conductive layer and said second conductive layer are formed from different conductive material.
207. A method as claimed in claim 204 wherein said compressible layer comprises substantially elastomer. 208. A method as claimed in claim 207 wherein said method further includes swelling the elastomer along said edges.
209. A method as claimed in claim 204 wherein said ink supply channel is etched through said wafer from a back surface of said wafer.
210. A method as claimed in claim 204 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
211. A method as claimed in claim 204 wherein the etching of layers includes etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
212. A method as claimed in claim 204 wherein said wafer comprises a double side polished CMOS wafer. 213. A method as claimed in claim 204 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
214. A method of manufacturing a reverse spring level ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
215. A method as claimed in claim 214 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
216. A method as claimed in claim 215 wherein said substrate is a silicon wafer.
217. A method as claimed in claim 214 wherein said print heads are formed utilising standard vlsi/ulsi processing.
218. A method as claimed in claim 214 wherein integrated drive electronics are formed on the same substrate.
219. A method as claimed in claim 218 wherein said integrated drive electronics comprise a CMOS process.
220. A method as claimed in claim 214 wherein ink is ejected from said subsfrate substantially normal to said substrate. 221. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in said wafer said etching stopping substantially at said epitaxial layer;
(c) depositing and etching a first layer having a high saturation flux density on said electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on said first layer and said electrical circuitry layer, said etching including etching vias for a subsequent conductive layer;
(e) depositing and etching a conductive layer on said insulating layer in the form of a conductive coil conductively interconnected to said first layer;
(f) depositing and etching a sacrificial material layer in the region of said first magnetic plate and said coil, said etching including defining apertures for a series of spring posts, a lever arm and interconnected nozzle paddle;
(g) depositing and etching a second layer having a high saturation flux density so as form an interconnected second magnetic plate, a lever arm attached to a nozzle paddle and a series of spring posts around which said lever arm pivots; (h) etching the back of said wafer to said epitaxial layer;
(i) etching an ink ejection nozzle through said epitaxial layer interconnected with said nozzle chamber cavity; and
(j) etching away any remaining sacrificial layers.
222. A method as claimed in claim 221 wherein said step (f) further comprises etching cavities defining a series of spring posts and said step (g) includes forming a series of torsional pivot springs interconnected with said lever arm for resiliently biasing said second magnetic plate substantially against said first magnetic plate.
223. A method as claimed in claim 221 wherein said conductive layer comprises substantially copper. 224. A method as claimed in claim 221 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
225. A method as claimed in claim 221 wherein the etching of layers includes etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
226. A method as claimed in claim 221 wherein said magnetic flux material comprises substantially a cobalt nickel iron alloy.
227. A method as claimed in claim 221 wherein said wafer comprises a double side polished CMOS wafer.
228. A method as claimed in claim 221 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads. 229. A method of manufacturing a paddle type lorenz force actuated ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
230. A method as claimed in claim 229 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
231. A method as claimed in claim 230 wherein said substrate is a silicon wafer.
232. A method as claimed in claim 229 wherein said print heads are formed utilizing standard vlsi/ulsi processing. 233. A method as claimed in claim 229 wherein integrated drive electronics are formed on the same substrate.
234. A method as claimed in claim 233 wherein said integrated drive electronics comprise a CMOS process.
235. A method as claimed in claim 229 wherein ink is ejected from said substrate substantially normal to said substrate.
236. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on, in addition to a top protecting layer having a series of vias interconnected to predetermined portions of said circuitry layer;
(b) forming on said semiconductor wafer layer a first conductive layer including a first conductive coil interconnected to predetermined portions of said circuitry layer;
(c) depositing and etching, on said first conductive layer, a non-conductive layer including predetermined vias for the interconnection of subsequent layers with lower layers; (d) forming a second conductive layer on said nonconducting layer, including a second conductive coil and the interconnection of predetermined portions of said coil with said first conductive coil and said circuitry layer;
(e) depositing and etching a second non-conductive layer over said second conductive layer said etching including etching a series of slots in said second non-conductive layer; (f) etching a series of slots through said first and second non-conductive layers, said first and second conductive layers so as to define a nozzle paddle;
(g) etching said semiconductor wafer under said nozzle paddle so as to define a nozzle chamber;
(h) back etching said semiconductor wafer to said epitaxial layer; and (i) etching said epitaxial layer to define a nozzle ejection hole therein interconnecting with said nozzle chamber;
237. A method as claimed in claim 236 wherein said step (g) utilizes said epitaxial layer as an etch stop.
238. A method as claimed in claim 236 wherein said step (g) comprises a crystallographic etch. 239. A method as claimed in claim 236 wherein said step (i) includes etching a series of small holes in a wall of said nozzle chamber interconnecting said chamber with the ambient atmosphere.
240. A method as claimed in claim 236 wherein said first conductive layer and said second conductive layer are formed from substantially copper.
241. A method as claimed in claim 236 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
242. A method as claimed in claim 236 wherein said wafer comprises a double side polished CMOS wafer. 243. A method as claimed in claim 236 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
244. A method of manufacturing a permanent magnet elecfromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. 245. A method as claimed in claim 244 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
246. A method as claimed in claim 245 wherein said substrate is a silicon wafer.
247. A method as claimed in claim 244 wherein said print heads are formed utilizing standard vlsi/ulsi processing. 248. A method as claimed in claim 244 wherein integrated drive electronics are formed on the same substrate.
249. A method as claimed in claim 248 wherein said integrated drive electronics comprise a CMOS process.
250. A method as claimed in claim 244 wherein ink is ejected from said substrate substantially normal to said substrate.
251. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) depositing and etching a first inert layer, said etching including etching predetermined vias and a nozzle chamber aperture;
(c) forming a first conductive coil layer on said first inert layer around said nozzle aperture, said conductive coil layer including predetermined portions interconnecting with said electrical circuitry layer;
(d) utilizing said nozzle aperture to etch a nozzle chamber in said wafer; (e) depositing and etching a sacrificial material layer over said wafer including said nozzle chamber, said etching including etching a series a mould for a series of magnet suspension posts and a permanent magnet above said nozzle aperture;
(f) deposit and etch a magnetic material layer, said magnetic material layer forming a permanent magnet above said nozzle aperture; (g) deposit and etching an inert material layer interconnecting said permanent magnet to a series of spring posts in a resilient manner;
(h) back etching said wafer substantially to said buried epitaxial layer;
(i) etching a nozzle fluid ejection aperture through said buried epitaxial layer; (j) etching away said sacrificial layer.
252. A method as claimed in claim 251 wherein said conductive coil layer is formed by first depositing and etching a sacrificial layer forming a mould for said conductive coil layer.
253. A method as claimed in claim 251 wherein said conductive coil layer is formed utilizing chemical mechanical planarization.
254. A method as claimed in claim 251 wherein said first inert layer comprises substantially silicon nitride.
255. A method as claimed in claim 251 wherein said conductive coil layer comprises substantially copper. 256. A method as claimed in claim 251 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
257. A method as claimed in claim 251 wherein the etching of layers includes etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
258. A method as claimed in claim 251 wherein said wafer comprises a double side polished CMOS wafer.
259. A method as claimed in claim 251 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
260. A method of manufacturing a planar swing grill electromagnetic print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. 261. A method as claimed in claim 260 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
262. A method as claimed in claim 261 wherein said substrate is a silicon wafer.
263. A method as claimed in claim 260 wherein said print heads are formed utilizing standard vlsi/ulsi processing. 264. A method as claimed in claim 260 wherein integrated drive electronics are formed on the same substrate.
265. A method as claimed in claim 264 wherein said integrated drive electronics comprise a CMOS process.
266. A method as claimed in claim 260 wherein ink is ejected from said substrate substantially normal to said substrate.
267. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in said electrical circuitry layer interconnected with a nozzle chamber in said semiconductor wafer;
(c) depositing a first sacrificial layer filling said nozzle chamber;
(d) depositing and etching an inert material layer including a grill structure over said nozzle chamber aperture and vias for electrical interconnection of subsequent layers with said electrical circuitry layer;
(e) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with said electrical circuitry layer;
(f) depositing and etching an inert material layer over said first conductive material layer, said inert material layer including predetermined vias for interconnection of said first conductive material layer with subsequent layers;
(g) depositing and etching a second sacrificial layer including etching a mould for a fixed magnetic pole, a pivot, a series of springs and spring posts;
(h) depositing and etching a high saturation flux material layer to form said fixed magnetic pole, said pivot, an interconnected shutter grill lever arm and said springs and spring posts;
(i) depositing and etching a second inert material layer over said high saturation flux material layer including predetermined vias for interconnection of lower layers with subsequent layers;
(j) depositing and etching a second conductive material layer including a side electrical coil portion interconnected with said first conductive material layer; (k) depositing and etching a third conductive material layer including a top electrical coil portion interconnected with said side conductive material layer;
(1) depositing and etching a top inert material layer as a corrosion barrier; (m) back etching said wafer to said epitaxial layer; (n) etching a nozzle aperture in said epitaxial layer; and (o) etching away said sacrificial layers.
268. A method as claimed in claim 267 wherein said step further include the simultaneous formation of a shutter grill guard around said shutter.
269. A method as claimed in claim 267 wherein said epitaxial layer is utilized as an etch stop in said step (b). 270. A method as claimed in claim 267 wherein said step (b) comprises a crystallographic etch of said wafer.
271. A method as claimed in claim 267 wherein said conductive layers comprise substantially copper.
272. A method as claimed in claim 267 wherein said inert layers comprises substantially silicon nitride.
273. A method as claimed in claim 267 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
274. A method as claimed in claim 267 wherein said wafer comprises a double side polished CMOS wafer. 275. A method as claimed in claim 267 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
276. A method of manufacturing a pulsed magnetic field ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
277. A method as claimed in claim 276 wherein multiple inkjet heads are formed simultaneously on a single planar subsfrate.
278. A method as claimed in claim 277 wherein said substrate is a silicon wafer.
279. A method as claimed in claim 276 wherein said print heads are formed utilizing standard vlsi ulsi processing.
280. A method as claimed in claim 276 wherein integrated drive electronics are formed on the same substrate.
281. A method as claimed in claim 280 wherein said integrated drive electronics comprise a CMOS process. 282. A method as claimed in claim 276 wherein ink is ejected from said substrate substantially normal to said substrate.
283. A method of manufacturing a two plate reverse firing electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes. 284. A method as claimed in claim 283 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
285. A method as claimed in claim 284 wherein said substrate is a silicon wafer.
286. A method as claimed in claim 283 wherein said print heads are formed utilizing standard vlsi/ulsi processing. 287. A method as claimed in claim 283 wherein integrated drive electronics are formed on the same substrate.
288. A method as claimed in claim 287 wherein said integrated drive electronics comprise a CMOS process.
289. A method as claimed in claim 283 wherein ink is ejected from said substrate substantially normal to said substrate.
290. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first lower fixed coil layer of conductive material having predetermined interconnections with said electrical circuitry layer;
(c) depositing and etching a first protective layer over said fixed coil layer;
(d) depositing and etching a second moveable coil layer of conductive material having predetermined interconnections with said electrical circuitry layer; (e) depositing and etching a second protective layer over said second moveable coil layer;
(f) depositing and etching a sacrificial material layer over said second moveable coil layer;
(g) depositing and etching an inert material over said sacrificial material layer to from a nozzle chamber around said first and second coil layer; (h) etching an ink supply channel interconnected with said nozzle chamber; (i) etching away said sacrificial material. 291. A method as claimed in claim 291 wherein said first and second coil layers are formed in an inert material layer. 292. A method as claimed in claim 291 further comprising the step of forming a hydrophobic layer between said first and second coil layer.
293. A method as claimed in claim 291 wherein said first and second coil layers are formed utilizing a dual damascene process.
294. A method as claimed in claim 291 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer.
295. A method as claimed in claim 291 wherein said step (h) includes etching a series of small holes in at least one wall of said nozzle chamber.
296. A method as claimed in claim 291 wherein said hydrophobic layer comprises substantially polytetrafluroethylene. 297. A method as claimed in claim 291 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
298. A method as claimed in claim 291 wherein said wafer comprises a double side polished CMOS wafer.
299. A method as claimed in claim 291 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
300. A method of manufacturing a linear stepper actuator ink jet print head wherein an array of nozzles are formed on a subsfrate utilizing planar monolithic deposition, lithographic and etching processes.
301. A method as claimed in claim 300 wherein multiple inkjet heads are formed simultaneously on a single planar substrate. 302. A method as claimed in claim 301 wherein said substrate is a silicon wafer.
303. A method as claimed in claim 300 wherein said print heads are formed utilizing standard vlsi/ulsi processing.
304. A method as claimed in claim 300 wherein integrated drive electronics are formed on the same substrate. 305. A method as claimed in claim 304 wherein said integrated drive electronics comprise a CMOS process.
306. A method as claimed in claim 300 wherein ink is ejected from said substrate substantially normal to said substrate.
307. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry formed thereon on;
(b) depositing and etching a first sacrificial layer forming a lower electrical coil mould;
(c) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with said electrical circuitry layer;
(f) depositing and etching an inert material layer over said first conductive material layer, said inert material layer including predetermined vias for interconnection of said first conductive material layer with subsequent layers; (g) depositing and etching a second sacrificial layer including etching a mould for a fixed magnetic pole, a series of moving poles, horizontal guides and a core pusher rod;
(h) depositing and etching a high saturation flux material layer to form said fixed magnetic pole, said series of moving poles, said horizontal guides and said core pusher rod;
(i) depositing and etching a second inert material layer over said high saturation flux material layer including predetermined vias for interconnection of lower layers with subsequent layers;
(j) depositing and etching a second conductive material layer including a side electrical coil portion interconnected with said first conductive material layer;
(k) depositing and etching a third conductive material layer including a top electrical coil portion interconnected with said side conductive material layer; (1) depositing and etching a hydrophobic material layer to form a plunger element surrounding said core pusher rod;
(m) depositing and etching a third sacrificial material layer to form a nozzle chamber mould;
(n) depositing and etching a third layer of inert material around said plunger element forming a nozzle chamber; (o) etching an ink supply channel to said nozzle chamber;
(p) etching away said sacrificial layers.
308. A method as claimed in claim 307 wherein said conductive layers comprise substantially copper.
309. A method as claimed in claim 307 wherein said inert layers comprises substantially silicon nitride.
310. A method as claimed in claim 307 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
311. A method as claimed in claim 307 wherein said hydrophobic layer comprises substantially polytetrafluroethylene. 312. A method as claimed in claim 307 wherein said step (o) comprises etching an ink supply channel through said wafer.
313. A method as claimed in claim 307 wherein said wafer comprises a double side polished CMOS wafer.
314. A method as claimed in claim 307 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
315. A method of manufacturing a gear driven shutter ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
316. A method as claimed in claim 315 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
317. A method as claimed in claim 316 wherein said substrate is a silicon wafer.
318. A method as claimed in claim 315 wherein said print heads are formed utilizing standard vlsi/ulsi processing. 319. A method as claimed in claim 315 wherein integrated drive electronics are formed on the same substrate.
320. A method as claimed in claim 319 wherein said integrated drive electronics comprise a CMOS process.
321. A method as claimed in claim 315 wherein ink is ejected from said substrate substantially normal to said substrate.
322. A method of manufacturing a tapered magnetic pole ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
323. A method as claimed in claim 322 wherein multiple inkjet heads are formed simultaneously on a single planar substrate. 324. A method as claimed in claim 323 wherein said substrate is a silicon wafer.
325. A method as claimed in claim 322 wherein said print heads are formed utilising standard vlsi/ulsi processing.
326. A method as claimed in claim 322 wherein integrated drive elecfronics are formed on the same substrate. 327. A method as claimed in claim 326 wherein said integrated drive electronics comprise a
CMOS process.
328. A method as claimed in claim 322 wherein ink is ejected from said substrate substantially normal to said substrate.
329. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
(b) etching a nozzle chamber cavity in said wafer said etching stopping substantially at said epitaxial layer; (c) filling said nozzle chamber cavity with a first sacrificial material layer;
(d) depositing and etching a first layer having a high saturation flux density on said electrical circuitry layer to define a first magnetic plate;
(e) depositing and etching an insulating layer on said first layer and said electrical circuitry layer, said etching including etching vias for a subsequent conductive layer; (f) depositing and etching a conductive layer on said insulating layer in the form of a conductive coil conductively interconnected to said first layer;
(g) depositing and etching a sacrificial material layer in the region of said first magnetic plate and said coil; (h) depositing and etching a second layer having a high saturation flux density so as form a second magnetic plate over said nozzle chamber surrounded by an annulus;
(i) depositing and etching an inert material layer interconnecting said magnetic plate and said annulus in a resilient manner; (j) etching the back of said wafer to said epitaxial layer;
(k) etching an ink ejection nozzle through said epitaxial layer interconnected with said nozzle chamber cavity; and
(k) etching away any remaining sacrificial layers.
330. A method as claimed in claim 329 wherein said conductive layer comprises substantially copper.
331. A method as claimed in claim 329 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
332. A method as claimed in claim 329 wherein the etching of layers includes etching vias so as to allow for the electrical interconnection of portions of subsequently layers. 333. A method as claimed in claim 329 wherein said magnetic flux material comprises substantially a cobalt nickel iron alloy.
334. A method as claimed in claim 329 wherein said inert material comprises silicon nitride.
335. A method as claimed in claim 329 wherein said second magnetic plate includes a tapered portion adjacent said nozzle chamber. 336. A method as claimed in claim 329 wherein said wafer comprises a double side polished CMOS wafer.
337. A method as claimed in claim 329 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
338. A method of manufacturing a linear spring electromagnetic grill ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
339. A method as claimed in claim 338 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
340. A method as claimed in claim 339 wherein said substrate is a silicon wafer. 341. A method as claimed in claim 338 wherein said print heads are formed utilizing standard vlsi/ulsi processing.
342. A method as claimed in claim 338 wherein integrated drive electronics are formed on the same substrate.
343. A method as claimed in claim 342 wherein said integrated drive electronics comprise a CMOS process.
344. A method as claimed in claim 338 wherein ink is ejected from said substrate substantially normal to said substrate.
345. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
(b) etching a nozzle chamber aperture in said electrical circuitry layer interconnected with a nozzle chamber in said semiconductor wafer;
(c) depositing a first sacrificial layer filling said nozzle chamber;
(d) depositing and etching an inert material layer including a grill structure over said nozzle chamber aperture and vias for electrical interconnection of subsequent layers with said electrical circuitry layer;
(e) depositing and etching a first conductive material layer including a series of lower electrical coil portions interconnected with said electrical circuitry layer;
(f) depositing and etching an inert material layer over said first conductive material layer, said inert material layer including predetermined vias for interconnection of said first conductive material layer with subsequent layers;
(g) depositing and etching a second sacrificial layer including etching a mould for a solenoid, a fixed magnetic pole, and a linear spring anchor;
(h) depositing and etching a high saturation flux material layer to form said series of fixed magnetic poles, a linear spring, said linear spring anchor and an interconnected shutter grill;
(i) depositing and etching a second inert material layer over said high saturation flux material layer including predetermined vias for interconnection of lower layers with subsequent layers; (j) depositing and etching a second conductive material layer including side electrical coil portions surrounding said series of fixed magnetic poles interconnected with said first conductive material layer; (k) depositing and etching a third conductive material layer including a top electrical coil portion interconnected with said side conductive material layer;
(I) depositing and etching a top inert material layer as a corrosion barrier; (m) back etching said wafer to said epitaxial layer;
(n) etching a nozzle aperture in said epitaxial layer; and (o) etching away said sacrificial layers. 346. A method as claimed in claim 345 wherein said epitaxial layer is utilized as an etch stop in said step (b). 347. A method as claimed in claim 345 wherein said step (b) comprises a crystallographic etch of said wafer.
348. A method as claimed in claim 345 wherein said high saturation flux material comprises substantially a cobalt nickel iron alloy.
349. A method as claimed in claim 345 wherein said conductive layers comprise substantially copper.
350. A method as claimed in claim 345 wherein said inert layers comprises substantially silicon nitride.
351. A method as claimed in claim 345 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
352. A method as claimed in claim 345 wherein said wafer comprises a double side polished CMOS wafer.
353. A method as claimed in claim 345 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
354. A method of manufacturing a lorenz diaphragm elecfromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
355. A method as claimed in claim 354 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
356. A method as claimed in claim 355 wherein said substrate is a silicon wafer.
357. A method as claimed in claim 354 wherein said print heads are formed utilising standard vlsi/ulsi processing.
358. A method as claimed in claim 354 wherein integrated drive electronics are formed on the same substrate.
359. A method as claimed in claim 358 wherein said integrated drive electronics comprise a CMOS process.
360. A method as claimed in claim 354 wherein ink is ejected from said substrate substantially normal to said substrate. 361. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
(b) etching a nozzle chamber cavity in said wafer said etching stopping substantially at said epitaxial layer;
(c) depositing and etching a first layer of sacrificial material, filling said nozzle chamber cavity, said etching including etching a series of concertinaed ridges in said sacrificial layer above said nozzle chamber cavity;
(d) depositing and etching a first inert material layer on said concertinaed ridges, said first inert material layer retaining a series of concertined ridges on the surface thereof;
(e) depositing and etching a first conductive material layer over the concertinead ridges of said first inert material layer to form a coil layer having a series of concertianed wire portions over said nozzle cavity;
(d) depositing and etching a second inert material layer on said first conductive material layer, said second first inert material layer retaining a series of concertined ridges on the surface thereof;
(e) etching the back of said wafer to said epitaxial layer;
(f) etching an ink ejection nozzle through said epitaxial layer interconnected with said nozzle chamber cavity; and (g) etching away any remaining sacrificial layers.
362. A method as claimed in claim 361 wherein said inert material layers comprise substantially silicon nitride.
363. A method as claimed in claim 361 wherein said conductive layer comprises substantially copper.
364. A method as claimed in claim 361 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
365. A method as claimed in claim 361 wherein the etching of layers includes etching vias so as to allow for the electrical interconnection of portions of subsequently layers. 366. A method as claimed in claim 361 wherein said wafer comprises a double side polished CMOS wafer.
367. A method as claimed in claim 361 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
368. A method of manufacturing polytetrafluroethylene surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
369. A method as claimed in claim 368 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
370. A method as claimed in claim 369 wherein said substrate is a silicon wafer. 371. A method as claimed in claim 368 wherein said print heads are foimed utilizing standard vlsi/ulsi processing.
372. A method as claimed in claim 368 wherein integrated drive electronics are formed on the same substrate.
373. A method as claimed in claim 372 wherein said integrated drive electronics comprise a CMOS process.
374. A method as claimed in claim 368 wherein ink is ejected from said substrate substantially normal to said substrate.
375. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
(b) etching a nozzle inlet hole in said electrical circuitry layer;
(c) depositing and etching a first sacrificial material layer over said electrical circuitry layer including filling said nozzle inlet hole, said etching including etching an actuator anchor area in said first sacrificial material layer;
(d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, said etching including etching predetermined vias in said first expansion material layer; (e) depositing and etching a first conductive layer on said first expansion material layer, said first conductive material layer being conductively interconnected to said electrical circuitry layer via said vias;
(f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, said etching including forming a moveable paddle element from the combination of said first and second expansion material layers and said first conductive layer;
(g) depositing and etching a second sacrificial material layer, said etching forming a nozzle chamber mould;
(h) depositing and etching an inert material layer over said sacrificial material layer so as to form a nozzle chamber around said moveable paddle, said etching including etching a nozzle ejection aperture in said inert material layer;
(i) etching an ink supply channel through said wafer; and (j) etching away said sacrificial layers. 376. A method as claimed in claim 375 wherein said step (h) includes etching a series of small holes in said inert material layer. 377. A method as claimed in claim 375 wherein said first and second expansion material layers comprise substantially polytetrafluroethylene.
378. A method as claimed in claim 375 wherein said inert material layer comprises substantially silicon nitride.
379. A method as claimed in claim 375 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer.
380. A method as claimed in claim 375 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
381. A method as claimed in claim 375 wherein said wafer comprises a double side polished CMOS wafer. 382. A method as claimed in claim 375 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
383. A method of manufacturing a magnetostrictive ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
384. A method as claimed in claim 383 wherein multiple inkjet heads are formed simultaneously on a single planar subsfrate.
385. A method as claimed in claim 384 wherein said substrate is a silicon wafer.
386. A method as claimed in claim 383 wherein said print heads are formed utilizing standard vlsi/ulsi processing.
387. A method as claimed in claim 383 wherein integrated drive electronics are formed on the same substrate.
388. A method as claimed in claim 387 wherein said integrated drive electronics comprise a CMOS process.
389. A method as claimed in claim 383 wherein ink is ejected from said substrate substantially normal to said substrate.
390. A method of manufacturing a shape memory alloy ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
391. A method as claimed in claim 390 wherein multiple inkjet heads are formed simultaneously on a single planar substrate.
392. A method as claimed in claim 391 wherein said substrate is a silicon wafer.
393. A method as claimed in claim 390 wherein said print heads are formed utilizing standard vlsi/ulsi processing.
394. A method as claimed in claim 390 wherein integrated drive electronics are formed on the same substrate.
395. A method as claimed in claim 394 wherein said integrated drive electronics comprise a CMOS process.
396. A method as claimed in claim 390 wherein ink is ejected from said substrate substantially normal to said substrate. 397. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
(a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
(b) etching a nozzle chamber in said wafer and said electrical circuitry layer; (c) depositing and etching a layer of sacrificial material, filling said nozzle chamber;
(d) depositing and etching a layer of shape memory alloy forming a conductive paddle structure over said nozzle chamber attached to said electrical circuitry layer;
(e) back etching said semiconductor wafer to said epitaxial layer;
(f) etching said epitaxial layer to define a nozzle ejection hole therein interconnecting with said nozzle chamber;
(g) etching away said sacrificial layers.
398. A method as claimed in claim 397 wherein said step (b) utilizes said epitaxial layer as an etch stop.
399. A method as claimed in claim 397 wherein said step (b) comprises a crystallographic etch. 400. A method as claimed in claim 397 wherein said shape memory alloy comprises substantially nitinol.
401. A method as claimed in claim 397 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
402. A method as claimed in claim 397 wherein said wafer comprises a double side polished CMOS wafer.
403. A method as claimed in claim 397 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
404. A method of manufacture of a Coil Actuated Magnetic Plate Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
405. A method as claimed in claim 404 wherein multiple inkjet heads are formed simultaneously on a single planar substrate. 406. A method as claimed in claim 405 wherein said substrate is a silicon wafer.
407. A method as claimed in claim 404 wherein said print heads are formed utilizing standard vlsi/ulsi processing.
408. A method as claimed in claim 404 wherein integrated drive electronics are formed on the same substrate. 409. A method as claimed in claim 408 wherein said integrated drive electronics comprise a CMOS process. A method as claimed in claim 1 wherein ink is ejected from said substrate substantially normal to said substrate.
410. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of: utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; etching a series of slots in at least said circuitry layer to define a nozzle cavity inlet; depositing and etching a first layer of magnetic flux material on said electrical circuitry layer to define a first magnetic plate; depositing and etching a insulating layer on said first layer and said electrical circuitry layer, said etching including etching vias for a subsequent conductive layer; depositing and etching a conductive layer in for form of a conductive coil conductively interconnected to said elecfrical circuitry layer; depositing and etching a hydrophobic material layer in the region of said conductive coil; depositing and etching a sacrificial material layer in the region of said first magnetic plate and said coil, said etching including defining a cavity for the walls of a nozzle chamber; depositing and etching a second layer of magnetic flux material over said sacrificial material so as to substantially enclose said conductive coil; etching away said sacrificial material; etching an ink supply channel through said wafer to form a fluid communication with said nozzle chamber; 411. A method as claimed in claim 410 wherein said step (g) further comprises etching cavities defining a series of spring posts and said step (h) includes forming a series of leaf springs interconnected with said first magnetic plate for resiliently biasing said magnetic plate in a first direction.
412. A method as claimed in claim 410 wherein said conductive layer comprises substantially copper. A method as claimed in claim 8 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
413. A method as claimed in claim 410 wherein the etching of layers includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
414. A method as claimed in claim 410 wherein said magnetic flux material comprises substantially a cobalt nickel iron alloy.
415. A method as claimed in claim 410 wherein said wafer comprises a double side polished CMOS wafer. 416. A method as claimed in claim 410 wherein said step (j) comprises a through wafer etch from a back surface of said wafer.
417. A method as claimed in claim 410 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
EP98933350A 1997-07-15 1998-07-15 Magnetic-field-acutated ink jet nozzle Expired - Lifetime EP0999933B1 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
EP04024064A EP1508445B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with Lorentz force actuator
EP04024063A EP1510340B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by slotted plunger
EP04024066A EP1508446B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with solenoid actuator
EP04024058A EP1508444B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electrostatically actuated plates
EP04024059A EP1512535B1 (en) 1997-07-15 1998-07-15 Inkjet printer with magnetic piston actuator
EP04024057A EP1508443B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electro-magnetically actuated ink plunger
EP04024062A EP1508449B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with magnetic actuator chamber
EP04024065A EP1510341B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with electromagnetic shutter
EP04024060A EP1510339B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by magnetic pulses
EP04024061A EP1508448B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with tapered magnetic plunger

Applications Claiming Priority (73)

Application Number Priority Date Filing Date Title
AUPO8072A AUPO807297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ02)
AUPO8066A AUPO806697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ01)
AUPO806597 1997-07-15
AUPO7936A AUPO793697A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM02)
AUPO794997 1997-07-15
AUPO8061A AUPO806197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM04)
AUPO805897 1997-07-15
AUPO807797 1997-07-15
AUPO8001A AUPO800197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ17)
AUPO8035A AUPO803597A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ06)
AUPO804197 1997-07-15
AUPO805997 1997-07-15
AUPO803597 1997-07-15
AUPO8058A AUPO805897A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM26)
AUPO8065A AUPO806597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM06)
AUPO8077A AUPO807797A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM25)
AUPO8056A AUPO805697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ10)
AUPO8071A AUPO807197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ04)
AUPO807397 1997-07-15
AUPO8041A AUPO804197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ25)
AUPO8049A AUPO804997A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ12)
AUPO7933A AUPO793397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation_apparatus (IJM10)
AUPO807297 1997-07-15
AUPO8044A AUPO804497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ07)
AUPO8073A AUPO807397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM15)
AUPO8076A AUPO807697A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM16)
AUPO804897 1997-07-15
AUPO7950A AUPO795097A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM11)
AUPO7935A AUPO793597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM01)
AUPO8063A AUPO806397A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ08)
AUPO793697 1997-07-15
AUPO807097 1997-07-15
AUPO803697 1997-07-15
AUPO804497 1997-07-15
AUPO805597 1997-07-15
AUPO8048A AUPO804897A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ14)
AUPO806997 1997-07-15
AUPO8059A AUPO805997A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM14)
AUPO807697 1997-07-15
AUPO8047A AUPO804797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ05)
AUPO804997 1997-07-15
AUPO7949A AUPO794997A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM12)
AUPO795097 1997-07-15
AUPO8069A AUPO806997A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ11)
AUPO807197 1997-07-15
AUPO807597 1997-07-15
AUPO8060A AUPO806097A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM13)
AUPO8075A AUPO807597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM17)
AUPO8067A AUPO806797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ16)
AUPO805497 1997-07-15
AUPO806197 1997-07-15
AUPO805397 1997-07-15
AUPO8070A AUPO807097A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ15)
AUPO8004A AUPO800497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ26)
AUPO793597 1997-07-15
AUPO805697 1997-07-15
AUPO806097 1997-07-15
AUPO8055A AUPO805597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM07)
AUPO8053A AUPO805397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM08)
AUPO8054A AUPO805497A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM05)
AUPO793397 1997-07-15
AUPO806397 1997-07-15
AUPO800197 1997-07-15
AUPO8036A AUPO803697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ13)
AUPO804797 1997-07-15
AUPO806797 1997-07-15
AUPO806697 1997-07-15
AUPO800497 1997-07-15
AUPP398298 1998-06-09
AUPP398398 1998-06-09
AUPP3982A AUPP398298A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm45)
AUPP3983A AUPP398398A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij45)
PCT/AU1998/000548 WO1999003680A1 (en) 1997-07-15 1998-07-15 A field acutated ink jet

Related Child Applications (20)

Application Number Title Priority Date Filing Date
EP04024058A Division EP1508444B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electrostatically actuated plates
EP04024066A Division EP1508446B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with solenoid actuator
EP04024060A Division EP1510339B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by magnetic pulses
EP04024062A Division EP1508449B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with magnetic actuator chamber
EP04024057A Division EP1508443B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electro-magnetically actuated ink plunger
EP04024061A Division EP1508448B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with tapered magnetic plunger
EP04024063A Division EP1510340B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by slotted plunger
EP04024059A Division EP1512535B1 (en) 1997-07-15 1998-07-15 Inkjet printer with magnetic piston actuator
EP04024064A Division EP1508445B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with Lorentz force actuator
EP04024065A Division EP1510341B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with electromagnetic shutter
EP04024065.7 Division-Into 2004-10-08
EP04024057.4 Division-Into 2004-10-08
EP04024066.5 Division-Into 2004-10-08
EP04024060.8 Division-Into 2004-10-08
EP04024058.2 Division-Into 2004-10-08
EP04024061.6 Division-Into 2004-10-08
EP04024062.4 Division-Into 2004-10-08
EP04024059.0 Division-Into 2004-10-08
EP04024063.2 Division-Into 2004-10-08
EP04024064.0 Division-Into 2004-10-08

Publications (3)

Publication Number Publication Date
EP0999933A1 EP0999933A1 (en) 2000-05-17
EP0999933A4 true EP0999933A4 (en) 2000-12-20
EP0999933B1 EP0999933B1 (en) 2005-03-02

Family

ID=27586944

Family Applications (11)

Application Number Title Priority Date Filing Date
EP04024064A Expired - Lifetime EP1508445B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with Lorentz force actuator
EP04024057A Expired - Lifetime EP1508443B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electro-magnetically actuated ink plunger
EP04024062A Expired - Lifetime EP1508449B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with magnetic actuator chamber
EP04024063A Expired - Lifetime EP1510340B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by slotted plunger
EP04024060A Expired - Lifetime EP1510339B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by magnetic pulses
EP98933350A Expired - Lifetime EP0999933B1 (en) 1997-07-15 1998-07-15 Magnetic-field-acutated ink jet nozzle
EP04024058A Expired - Lifetime EP1508444B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electrostatically actuated plates
EP04024065A Expired - Lifetime EP1510341B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with electromagnetic shutter
EP04024059A Expired - Lifetime EP1512535B1 (en) 1997-07-15 1998-07-15 Inkjet printer with magnetic piston actuator
EP04024061A Expired - Lifetime EP1508448B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with tapered magnetic plunger
EP04024066A Expired - Lifetime EP1508446B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with solenoid actuator

Family Applications Before (5)

Application Number Title Priority Date Filing Date
EP04024064A Expired - Lifetime EP1508445B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with Lorentz force actuator
EP04024057A Expired - Lifetime EP1508443B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electro-magnetically actuated ink plunger
EP04024062A Expired - Lifetime EP1508449B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with magnetic actuator chamber
EP04024063A Expired - Lifetime EP1510340B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by slotted plunger
EP04024060A Expired - Lifetime EP1510339B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by magnetic pulses

Family Applications After (5)

Application Number Title Priority Date Filing Date
EP04024058A Expired - Lifetime EP1508444B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electrostatically actuated plates
EP04024065A Expired - Lifetime EP1510341B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with electromagnetic shutter
EP04024059A Expired - Lifetime EP1512535B1 (en) 1997-07-15 1998-07-15 Inkjet printer with magnetic piston actuator
EP04024061A Expired - Lifetime EP1508448B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with tapered magnetic plunger
EP04024066A Expired - Lifetime EP1508446B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with solenoid actuator

Country Status (4)

Country Link
EP (11) EP1508445B1 (en)
JP (6) JP4170582B2 (en)
AT (8) ATE352423T1 (en)
WO (1) WO1999003680A1 (en)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410243B2 (en) * 1997-07-15 2008-08-12 Silverbrook Research Pty Ltd Inkjet nozzle with resiliently biased ejection actuator
US6188415B1 (en) 1997-07-15 2001-02-13 Silverbrook Research Pty Ltd Ink jet printer having a thermal actuator comprising an external coil spring
US7753491B2 (en) 1997-07-15 2010-07-13 Silverbrook Research Pty Ltd Printhead nozzle arrangement incorporating a corrugated electrode
US7328975B2 (en) * 1997-07-15 2008-02-12 Silverbrook Research Pty Ltd Injet printhead with thermal bend arm exposed to ink flow
US7360871B2 (en) * 1997-07-15 2008-04-22 Silverbrook Research Pty Ltd Inkjet chamber with ejection actuator between inlet and nozzle
AUPP654598A0 (en) 1998-10-16 1998-11-05 Silverbrook Research Pty Ltd Micromechanical device and method (ij46h)
AUPP922399A0 (en) * 1999-03-16 1999-04-15 Silverbrook Research Pty Ltd A method and apparatus (ij46p2)
AU2004202252B2 (en) * 1999-04-22 2005-06-30 Silverbrook Research Pty Ltd Liquid ejection using a micro-electromechanical device
AUPP993099A0 (en) * 1999-04-22 1999-05-20 Silverbrook Research Pty Ltd A micromechancial device and method(ij46p2b)
WO2001002179A1 (en) * 1999-06-30 2001-01-11 Silverbrook Research Pty Ltd Testing a micro electro-mechanical device
AU761670B2 (en) * 1999-06-30 2003-06-05 Silverbrook Research Pty Ltd Testing a micro electro-mechanical device
AU761821B2 (en) * 1999-06-30 2003-06-12 Silverbrook Research Pty Ltd Fault detection in a micro electro-mechanical device
AUPQ130999A0 (en) 1999-06-30 1999-07-22 Silverbrook Research Pty Ltd A method and apparatus (IJ47V11)
AU761820B2 (en) * 1999-06-30 2003-06-12 Silverbrook Research Pty Ltd Calibrating a micro electro-mechanical device
US6382779B1 (en) 1999-06-30 2002-05-07 Silverbrook Research Pty Ltd Testing a micro electro- mechanical device
MXPA02002883A (en) 1999-09-17 2004-09-10 Silverbrook Res Pty Ltd Method and system for instruction of a computer.
US20050134660A1 (en) 2002-08-19 2005-06-23 Kia Silverbrook Ink supply system for multiple ink printing
DE60039312D1 (en) * 2000-04-18 2008-08-07 Silverbrook Res Pty Ltd INK JET ejector
US6557970B2 (en) 2000-05-23 2003-05-06 Silverbrook Research Pty Ltd Nozzle guard for a printhead
US6428133B1 (en) 2000-05-23 2002-08-06 Silverbrook Research Pty Ltd. Ink jet printhead having a moving nozzle with an externally arranged actuator
US6921153B2 (en) 2000-05-23 2005-07-26 Silverbrook Research Pty Ltd Liquid displacement assembly including a fluidic sealing structure
US6526658B1 (en) 2000-05-23 2003-03-04 Silverbrook Research Pty Ltd Method of manufacture of an ink jet printhead having a moving nozzle with an externally arranged actuator
WO2001089839A1 (en) 2000-05-23 2001-11-29 Silverbrook Research Pty. Ltd. Ink jet printhead having a moving nozzle with an externally arranged actuator
CN100417523C (en) * 2000-05-24 2008-09-10 西尔弗布鲁克研究有限公司 Ink-jet printing head with isolated nozzle controller
ATE367266T1 (en) 2000-05-24 2007-08-15 Silverbrook Res Pty Ltd MANUFACTURING METHOD FOR A MOVING NOZZLE INK JET PRINT HEAD AND EXTERNAL ACTUATOR
DE60040622D1 (en) 2000-05-24 2008-12-04 Silverbrook Res Pty Ltd FLUIDIC SEAL FOR INK JET ASSEMBLY
US6364460B1 (en) 2000-06-13 2002-04-02 Chad R. Sager Liquid delivery system
US6417757B1 (en) 2000-06-30 2002-07-09 Silverbrook Research Pty Ltd Buckle resistant thermal bend actuators
AU2006225215B2 (en) * 2000-06-30 2009-04-09 Zamtec Limited An ink ejector for an inkjet printer with an arm and paddle arrangement
EP1301346B1 (en) * 2000-06-30 2007-08-15 Silverbrook Research Pty. Limited Buckle resistant thermal bend actuators
SG165980A1 (en) * 2000-06-30 2010-11-29 Silverbrook Res Pty Ltd A micro-electromechanical actuator with buckle-resistant properties
US6406129B1 (en) * 2000-10-20 2002-06-18 Silverbrook Research Pty Ltd Fluidic seal for moving nozzle ink jet
US6505916B1 (en) * 2000-10-20 2003-01-14 Silverbrook Research Pty Ltd Nozzle poker for moving nozzle ink jet
US6863379B2 (en) 2002-11-23 2005-03-08 Silverbrook Research Pty Ltd Ink jet printhead that includes nozzles having pressure-enhancing formations
US7066577B2 (en) 2004-07-19 2006-06-27 Silverbrook Research Pty Ltd Pressure enhancing formations in an ink jet printhead
US6623101B1 (en) * 2000-10-20 2003-09-23 Silverbrook Research Pty Ltd Moving nozzle ink jet
AU2004203502B2 (en) * 2000-10-20 2004-09-30 Zamtec Limited Nozzle for an ink jet printhead
US6350015B1 (en) * 2000-11-24 2002-02-26 Xerox Corporation Magnetic drive systems and methods for a micromachined fluid ejector
US6561627B2 (en) * 2000-11-30 2003-05-13 Eastman Kodak Company Thermal actuator
US6508947B2 (en) * 2001-01-24 2003-01-21 Xerox Corporation Method for fabricating a micro-electro-mechanical fluid ejector
US6572218B2 (en) * 2001-01-24 2003-06-03 Xerox Corporation Electrostatically-actuated device having a corrugated multi-layer membrane structure
KR100757363B1 (en) * 2002-11-21 2007-09-11 실버브룩 리서치 피티와이 리미티드 An ink jet nozzle assembly with fluidic seal
JP3912267B2 (en) * 2002-11-29 2007-05-09 ソニー株式会社 Droplet ejection apparatus, inspection chip processing apparatus, droplet ejection method, inspection chip processing method
JP2010502433A (en) 2006-09-08 2010-01-28 マサチューセッツ・インスティテュート・オブ・テクノロジー Automatic layer-by-layer spraying technology
KR100973979B1 (en) * 2008-08-22 2010-08-05 한국과학기술원 Electromagnetic Multi-axis Actuator
KR102022392B1 (en) 2012-12-11 2019-11-05 삼성디스플레이 주식회사 Nozzle printer
KR20140094957A (en) 2013-01-23 2014-07-31 삼성디스플레이 주식회사 Nozzle unit for nozzle printer and nozzle printer comprising the same
CN104401129B (en) * 2014-11-21 2016-08-24 常俊环 Big character stenciling unit shower nozzle
DE102015225726A1 (en) * 2015-12-17 2017-06-22 Ksb Aktiengesellschaft Pump with deformable conveying element
US10589980B2 (en) * 2017-04-07 2020-03-17 Texas Instruments Incorporated Isolated protrusion/recession features in a micro electro mechanical system
CN109144885B (en) * 2017-06-27 2022-04-29 北京忆恒创源科技股份有限公司 Garbage recovery method of solid-state storage device and solid-state storage device
GB2573117B (en) * 2018-04-24 2021-02-17 Adey Holdings 2008 Ltd Magnetic filter
KR20200085510A (en) * 2019-01-07 2020-07-15 에스케이하이닉스 주식회사 Data Storage Device, Operation Method Thereof, and Controller Therefor
CN110389317B (en) * 2019-06-03 2021-08-10 广州南盾通讯设备有限公司 Low-power-consumption cabinet body for rapidly positioning scattered-sequence objects and positioning method
CN112652529B (en) * 2019-10-09 2022-03-22 长鑫存储技术有限公司 Semiconductor device and method for preparing capacitor hole of semiconductor device
CN112787237B (en) * 2021-01-16 2023-06-23 四川省盛源鑫智能电气有限责任公司 Electric automation control cabinet based on toggle mechanism
CN113607122B (en) * 2021-08-23 2022-11-25 中国建筑第八工程局有限公司 Intelligent trolley, equipment and method for correcting interior of box-type steel plate wall

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4032929A (en) * 1975-10-28 1977-06-28 Xerox Corporation High density linear array ink jet assembly
JPS5559972A (en) * 1978-10-28 1980-05-06 Seiko Epson Corp Ink jet recording head
GB1569425A (en) * 1976-01-15 1980-06-18 Xerox Corp Liquid drop generator
US4210920A (en) * 1979-01-31 1980-07-01 The Mead Corporation Magnetically activated plane wave stimulator
DE3245283A1 (en) * 1982-12-07 1984-06-07 Siemens AG, 1000 Berlin und 8000 München Arrangement for expelling liquid droplets
US4460905A (en) * 1982-03-29 1984-07-17 Ncr Corporation Control valve for ink jet nozzles
JPS60131254A (en) * 1983-12-20 1985-07-12 Ricoh Co Ltd Ink jet spraying head
US4576111A (en) * 1983-01-27 1986-03-18 Domino Printing Sciences Plc Marking jet discharging head
EP0189794A2 (en) * 1985-01-30 1986-08-06 International Business Machines Corporation Electromagnetic actuator system
WO1986005722A1 (en) * 1985-03-25 1986-10-09 Kingbrook Limited Fluid applicator
US4633267A (en) * 1984-12-14 1986-12-30 Siemens Aktiengesellschaft Arrangement for the ejection of individual droplets from discharge openings of an ink printer head
US4723131A (en) * 1986-09-12 1988-02-02 Diagraph Corporation Printhead for ink jet printing apparatus
JPH0234342A (en) * 1988-07-25 1990-02-05 Seiko Epson Corp Ink-jet head
JPH02150353A (en) * 1988-11-30 1990-06-08 Nec Home Electron Ltd Ink jet head
JPH02219655A (en) * 1989-02-20 1990-09-03 Sharp Corp Ink jet head
JPH02273241A (en) * 1989-04-14 1990-11-07 Ricoh Co Ltd Ink jet recorder
EP0417673A2 (en) * 1989-09-11 1991-03-20 Matsushita Electric Industrial Co., Ltd. Ink recording apparatus
JPH0365349A (en) * 1989-08-03 1991-03-20 Matsushita Electric Ind Co Ltd Ink jet head
EP0479441A2 (en) * 1990-09-21 1992-04-08 Seiko Epson Corporation Ink-jet recording apparatus and method for producing the head thereof
JPH04126255A (en) * 1990-09-18 1992-04-27 Seiko Epson Corp Ink jet head
JPH04129745A (en) * 1990-09-21 1992-04-30 Seiko Epson Corp Ink jet head
JPH04357039A (en) * 1991-06-03 1992-12-10 Rohm Co Ltd Ink jet printing head
JPH04368851A (en) * 1991-06-17 1992-12-21 Seiko Epson Corp Magnetic field generating substrate and ink jet head equipped therewith
GB2262152A (en) * 1991-10-15 1993-06-09 Willett Int Ltd Solenoid valve
JPH05318724A (en) * 1992-05-19 1993-12-03 Seikosha Co Ltd Ink jet recorder
JPH06106725A (en) * 1992-08-14 1994-04-19 Ricoh Co Ltd Recording method by electrostatic deformation type ink jet and electrostatic deformation type ink jet head
JPH06134985A (en) * 1992-10-28 1994-05-17 Ricoh Co Ltd Recorder, which can achieve one-dot multiple values and recording method, which can achieve one-dot multiple values
JPH06336011A (en) * 1993-05-31 1994-12-06 Sharp Corp Printing head device
EP0671271A2 (en) * 1994-03-09 1995-09-13 Seiko Epson Corporation Ink jet recording apparatus
WO1997012689A1 (en) * 1995-09-20 1997-04-10 The Board Of Trustees Of The Leland Stanford Junior University Fluid drop ejector and method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE447222B (en) * 1984-12-21 1986-11-03 Swedot System Ab ELECTROMAGNETIC MANOVERABLE VALVE DEVICE, SPECIFICALLY FOR GENERATING DROPS IN A HYDRAULIC PRINTER
GB8828047D0 (en) * 1988-12-01 1989-01-05 Willett Int Ltd Method of operating valve
DE4139731A1 (en) * 1991-12-03 1993-06-09 Inno-Print Verpackungs- + Beschriftungssysteme Gmbh, 5060 Bergisch Gladbach, De Ink-jet matrix printer with single print element - has electromagnetic actuator for control flow through ink jet nozzle in each element

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4032929A (en) * 1975-10-28 1977-06-28 Xerox Corporation High density linear array ink jet assembly
GB1569425A (en) * 1976-01-15 1980-06-18 Xerox Corp Liquid drop generator
JPS5559972A (en) * 1978-10-28 1980-05-06 Seiko Epson Corp Ink jet recording head
US4210920A (en) * 1979-01-31 1980-07-01 The Mead Corporation Magnetically activated plane wave stimulator
US4460905A (en) * 1982-03-29 1984-07-17 Ncr Corporation Control valve for ink jet nozzles
DE3245283A1 (en) * 1982-12-07 1984-06-07 Siemens AG, 1000 Berlin und 8000 München Arrangement for expelling liquid droplets
US4576111A (en) * 1983-01-27 1986-03-18 Domino Printing Sciences Plc Marking jet discharging head
JPS60131254A (en) * 1983-12-20 1985-07-12 Ricoh Co Ltd Ink jet spraying head
US4633267A (en) * 1984-12-14 1986-12-30 Siemens Aktiengesellschaft Arrangement for the ejection of individual droplets from discharge openings of an ink printer head
EP0189794A2 (en) * 1985-01-30 1986-08-06 International Business Machines Corporation Electromagnetic actuator system
WO1986005722A1 (en) * 1985-03-25 1986-10-09 Kingbrook Limited Fluid applicator
US4723131A (en) * 1986-09-12 1988-02-02 Diagraph Corporation Printhead for ink jet printing apparatus
JPH0234342A (en) * 1988-07-25 1990-02-05 Seiko Epson Corp Ink-jet head
JPH02150353A (en) * 1988-11-30 1990-06-08 Nec Home Electron Ltd Ink jet head
JPH02219655A (en) * 1989-02-20 1990-09-03 Sharp Corp Ink jet head
JPH02273241A (en) * 1989-04-14 1990-11-07 Ricoh Co Ltd Ink jet recorder
JPH0365349A (en) * 1989-08-03 1991-03-20 Matsushita Electric Ind Co Ltd Ink jet head
EP0417673A2 (en) * 1989-09-11 1991-03-20 Matsushita Electric Industrial Co., Ltd. Ink recording apparatus
JPH04126255A (en) * 1990-09-18 1992-04-27 Seiko Epson Corp Ink jet head
EP0479441A2 (en) * 1990-09-21 1992-04-08 Seiko Epson Corporation Ink-jet recording apparatus and method for producing the head thereof
JPH04129745A (en) * 1990-09-21 1992-04-30 Seiko Epson Corp Ink jet head
JPH04357039A (en) * 1991-06-03 1992-12-10 Rohm Co Ltd Ink jet printing head
JPH04368851A (en) * 1991-06-17 1992-12-21 Seiko Epson Corp Magnetic field generating substrate and ink jet head equipped therewith
GB2262152A (en) * 1991-10-15 1993-06-09 Willett Int Ltd Solenoid valve
JPH05318724A (en) * 1992-05-19 1993-12-03 Seikosha Co Ltd Ink jet recorder
JPH06106725A (en) * 1992-08-14 1994-04-19 Ricoh Co Ltd Recording method by electrostatic deformation type ink jet and electrostatic deformation type ink jet head
JPH06134985A (en) * 1992-10-28 1994-05-17 Ricoh Co Ltd Recorder, which can achieve one-dot multiple values and recording method, which can achieve one-dot multiple values
JPH06336011A (en) * 1993-05-31 1994-12-06 Sharp Corp Printing head device
EP0671271A2 (en) * 1994-03-09 1995-09-13 Seiko Epson Corporation Ink jet recording apparatus
WO1997012689A1 (en) * 1995-09-20 1997-04-10 The Board Of Trustees Of The Leland Stanford Junior University Fluid drop ejector and method

Non-Patent Citations (15)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 004, no. 102 (M - 022) 22 July 1980 (1980-07-22) *
PATENT ABSTRACTS OF JAPAN vol. 009, no. 294 (M - 431) 20 November 1985 (1985-11-20) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 186 (M - 0962) 16 April 1990 (1990-04-16) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 395 (M - 1016) 27 August 1990 (1990-08-27) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 523 (M - 1049) 16 November 1990 (1990-11-16) *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 032 (M - 1073) 25 January 1991 (1991-01-25) *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 222 (M - 1121) 6 June 1991 (1991-06-06) *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 384 (M - 1296) 17 August 1992 (1992-08-17) *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 391 (M - 1298) 19 August 1992 (1992-08-19) *
PATENT ABSTRACTS OF JAPAN vol. 017, no. 226 (M - 1405) 10 May 1993 (1993-05-10) *
PATENT ABSTRACTS OF JAPAN vol. 017, no. 248 (M - 1411) 18 May 1993 (1993-05-18) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 133 (M - 1571) 4 March 1994 (1994-03-04) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 383 (M - 1640) 19 July 1994 (1994-07-19) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 438 (M - 1656) 16 August 1994 (1994-08-16) *
PATENT ABSTRACTS OF JAPAN vol. 1995, no. 03 28 April 1995 (1995-04-28) *

Also Published As

Publication number Publication date
ATE381991T1 (en) 2008-01-15
EP1510341B1 (en) 2007-01-24
EP1508444A3 (en) 2005-03-16
EP1510339A2 (en) 2005-03-02
EP1508448B1 (en) 2007-01-17
EP1510339B1 (en) 2007-01-24
EP1508446A1 (en) 2005-02-23
EP1512535A1 (en) 2005-03-09
ATE352420T1 (en) 2007-02-15
EP1512535B1 (en) 2007-12-26
ATE353053T1 (en) 2007-02-15
JP4170582B2 (en) 2008-10-22
EP1508443A2 (en) 2005-02-23
EP1510339A3 (en) 2005-03-09
JP4173174B2 (en) 2008-10-29
JP2001510107A (en) 2001-07-31
EP1510340A3 (en) 2005-03-09
EP1508443B1 (en) 2007-03-07
JP2007062380A (en) 2007-03-15
ATE355972T1 (en) 2007-03-15
JP2007062381A (en) 2007-03-15
EP1508445A1 (en) 2005-02-23
EP1508445B1 (en) 2007-01-31
JP4137964B2 (en) 2008-08-20
ATE352422T1 (en) 2007-02-15
EP0999933A1 (en) 2000-05-17
ATE352421T1 (en) 2007-02-15
EP1510341A2 (en) 2005-03-02
WO1999003680A1 (en) 1999-01-28
ATE352423T1 (en) 2007-02-15
JP2007062383A (en) 2007-03-15
EP1508444A2 (en) 2005-02-23
EP1508444B1 (en) 2007-11-21
EP1508448A1 (en) 2005-02-23
EP1508449B1 (en) 2007-01-24
JP4137965B2 (en) 2008-08-20
EP1508443A3 (en) 2005-03-16
EP1510340B1 (en) 2007-01-24
ATE289922T1 (en) 2005-03-15
EP0999933B1 (en) 2005-03-02
EP1508449A1 (en) 2005-02-23
JP2007062379A (en) 2007-03-15
EP1510340A2 (en) 2005-03-02
EP1510341A3 (en) 2005-03-16
JP4171037B2 (en) 2008-10-22
JP2007062382A (en) 2007-03-15
EP1508446B1 (en) 2007-01-10
JP4185538B2 (en) 2008-11-26

Similar Documents

Publication Publication Date Title
EP0999933A1 (en) Magnetic-field-acutated ink jet nozzle
US8029101B2 (en) Ink ejection mechanism with thermal actuator coil
EP1637330A1 (en) Thermal actuator with corrugated heater element
US20010043253A1 (en) Ink jet with coiled actuator
US7441879B2 (en) Unit cell of a printhead for an inkjet printer
US20010045969A1 (en) Shutter ink jet
US20110228004A1 (en) Method of hydrophobizing ejection face of printhead
US7753484B2 (en) Printhead provided with individual nozzle enclosures
US8029686B2 (en) Method of fabricating an ink jet nozzle with a heater element
US7771015B2 (en) Printhead nozzle arrangement having a looped heater element
AU2005242159B2 (en) Inkjet nozzle arrangement having paddle forming a portion of a wall
US6225138B1 (en) Method of manufacture of a pulsed magnetic field ink jet printer
AU2002323712B2 (en) A field actuated ink jet
EP1647402A1 (en) Ink jet nozzle arrangement with actuator mechanism in chamber between nozzle and ink supply
EP1652671A1 (en) Ink jet nozzle having two fluid ejection apertures and a moveable paddle vane

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20000214

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

A4 Supplementary search report drawn up and despatched

Effective date: 20001102

AK Designated contracting states

Kind code of ref document: A4

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

17Q First examination report despatched

Effective date: 20020314

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

RTI1 Title (correction)

Free format text: MAGNETIC-FIELD-ACUTATED INK JET NOZZLE

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050302

Ref country code: LI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050302

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRE;WARNING: LAPSES OF ITALIAN PATENTS WITH EFFECTIVE DATE BEFORE 2007 MAY HAVE OCCURRED AT ANY TIME BEFORE 2007. THE CORRECT EFFECTIVE DATE MAY BE DIFFERENT FROM THE ONE RECORDED.SCRIBED TIME-LIMIT

Effective date: 20050302

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20050302

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050302

Ref country code: CH

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050302

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050302

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050302

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REF Corresponds to:

Ref document number: 69829184

Country of ref document: DE

Date of ref document: 20050407

Kind code of ref document: P

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050602

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050602

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050603

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050613

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20050715

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050817

NLV1 Nl: lapsed or annulled due to failure to fulfill the requirements of art. 29p and 29m of the patents act
REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20051205

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

EN Fr: translation not filed
PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20050602

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20120727

Year of fee payment: 15

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20130715

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130715

REG Reference to a national code

Ref country code: GB

Ref legal event code: 732E

Free format text: REGISTERED BETWEEN 20140619 AND 20140625