EP0999934A4 - Jet d'encre a commande thermique - Google Patents

Jet d'encre a commande thermique

Info

Publication number
EP0999934A4
EP0999934A4 EP98933352A EP98933352A EP0999934A4 EP 0999934 A4 EP0999934 A4 EP 0999934A4 EP 98933352 A EP98933352 A EP 98933352A EP 98933352 A EP98933352 A EP 98933352A EP 0999934 A4 EP0999934 A4 EP 0999934A4
Authority
EP
European Patent Office
Prior art keywords
ink
nozzle
actuator
etching
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP98933352A
Other languages
German (de)
English (en)
Other versions
EP0999934B1 (fr
EP0999934A1 (fr
Inventor
Kia Silverbrook
Gregory Mcavoy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silverbrook Research Pty Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AUPO8038A external-priority patent/AUPO803897A0/en
Priority claimed from AUPO8007A external-priority patent/AUPO800797A0/en
Priority claimed from AUPO7946A external-priority patent/AUPO794697A0/en
Priority claimed from AUPO8057A external-priority patent/AUPO805797A0/en
Priority claimed from AUPO7951A external-priority patent/AUPO795197A0/en
Priority claimed from AUPO7937A external-priority patent/AUPO793797A0/en
Priority claimed from AUPO8068A external-priority patent/AUPO806897A0/en
Priority claimed from AUPO7941A external-priority patent/AUPO794197A0/en
Priority claimed from AUPO7944A external-priority patent/AUPO794497A0/en
Priority claimed from AUPO8045A external-priority patent/AUPO804597A0/en
Priority claimed from AUPO7952A external-priority patent/AUPO795297A0/en
Priority claimed from AUPO8046A external-priority patent/AUPO804697A0/en
Priority claimed from AUPO8051A external-priority patent/AUPO805197A0/en
Priority claimed from AUPO8056A external-priority patent/AUPO805697A0/en
Priority claimed from AUPO8074A external-priority patent/AUPO807497A0/en
Priority claimed from AUPO8062A external-priority patent/AUPO806297A0/en
Priority claimed from AUPO7947A external-priority patent/AUPO794797A0/en
Priority claimed from AUPO8010A external-priority patent/AUPO801097A0/en
Priority claimed from AUPO8079A external-priority patent/AUPO807997A0/en
Priority claimed from AUPO8040A external-priority patent/AUPO804097A0/en
Priority claimed from AUPO8034A external-priority patent/AUPO803497A0/en
Priority claimed from AUPO8006A external-priority patent/AUPO800697A0/en
Priority claimed from AUPO8042A external-priority patent/AUPO804297A0/en
Priority claimed from AUPO7945A external-priority patent/AUPO794597A0/en
Priority claimed from AUPO8050A external-priority patent/AUPO805097A0/en
Priority claimed from AUPO8011A external-priority patent/AUPO801197A0/en
Priority claimed from AUPO8001A external-priority patent/AUPO800197A0/en
Priority claimed from AUPO8064A external-priority patent/AUPO806497A0/en
Priority claimed from AUPO8075A external-priority patent/AUPO807597A0/en
Priority claimed from AUPO7948A external-priority patent/AUPO794897A0/en
Priority claimed from AUPO8002A external-priority patent/AUPO800297A0/en
Priority claimed from AUPO8039A external-priority patent/AUPO803997A0/en
Priority claimed from AUPO8043A external-priority patent/AUPO804397A0/en
Priority claimed from AUPO8078A external-priority patent/AUPO807897A0/en
Priority claimed from AUPO8037A external-priority patent/AUPO803797A0/en
Priority claimed from AUPO7943A external-priority patent/AUPO794397A0/en
Priority claimed from AUPO8033A external-priority patent/AUPO803397A0/en
Priority claimed from AUPO8008A external-priority patent/AUPO800897A0/en
Priority claimed from AUPO8052A external-priority patent/AUPO805297A0/en
Priority claimed from AUPO7933A external-priority patent/AUPO793397A0/en
Priority claimed from AUPO8503A external-priority patent/AUPO850397A0/en
Priority claimed from AUPO9391A external-priority patent/AUPO939197A0/en
Priority claimed from AUPO9390A external-priority patent/AUPO939097A0/en
Priority claimed from AUPO9393A external-priority patent/AUPO939397A0/en
Priority claimed from AUPO9392A external-priority patent/AUPO939297A0/en
Priority claimed from AUPO9389A external-priority patent/AUPO938997A0/en
Priority claimed from AUPP0889A external-priority patent/AUPP088997A0/en
Priority claimed from AUPP0872A external-priority patent/AUPP087297A0/en
Priority claimed from AUPP0873A external-priority patent/AUPP087397A0/en
Priority claimed from AUPP0893A external-priority patent/AUPP089397A0/en
Priority claimed from AUPP0892A external-priority patent/AUPP089297A0/en
Priority claimed from AUPP0894A external-priority patent/AUPP089497A0/en
Priority claimed from AUPP0890A external-priority patent/AUPP089097A0/en
Priority claimed from AUPP0874A external-priority patent/AUPP087497A0/en
Priority claimed from AUPP0888A external-priority patent/AUPP088897A0/en
Priority claimed from AUPP0882A external-priority patent/AUPP088297A0/en
Priority claimed from AUPP0891A external-priority patent/AUPP089197A0/en
Priority claimed from AUPP0875A external-priority patent/AUPP087597A0/en
Priority claimed from AUPP1396A external-priority patent/AUPP139698A0/en
Priority claimed from AUPP1398A external-priority patent/AUPP139898A0/en
Priority claimed from AUPP2591A external-priority patent/AUPP259198A0/en
Priority claimed from AUPP2592A external-priority patent/AUPP259298A0/en
Priority claimed from AUPP2593A external-priority patent/AUPP259398A0/en
Priority claimed from AUPP3983A external-priority patent/AUPP398398A0/en
Priority claimed from AUPP3986A external-priority patent/AUPP398698A0/en
Priority claimed from AUPP3985A external-priority patent/AUPP398598A0/en
Priority claimed from AUPP3989A external-priority patent/AUPP398998A0/en
Priority claimed from AUPP3991A external-priority patent/AUPP399198A0/en
Priority claimed from AUPP3990A external-priority patent/AUPP399098A0/en
Priority claimed from AUPP3984A external-priority patent/AUPP398498A0/en
Priority claimed from AUPP3987A external-priority patent/AUPP398798A0/en
Priority to EP05109700A priority Critical patent/EP1637330B1/fr
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Priority to EP05109701A priority patent/EP1640162B1/fr
Priority to EP05109756A priority patent/EP1650031B1/fr
Priority to EP05109763A priority patent/EP1652671B1/fr
Priority to EP05109733A priority patent/EP1647402B1/fr
Priority to ES05109756T priority patent/ES2302134T3/es
Priority to EP05109707A priority patent/EP1650030B1/fr
Publication of EP0999934A1 publication Critical patent/EP0999934A1/fr
Publication of EP0999934A4 publication Critical patent/EP0999934A4/fr
Application granted granted Critical
Publication of EP0999934B1 publication Critical patent/EP0999934B1/fr
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J3/00Typewriters or selective printing or marking mechanisms characterised by the purpose for which they are constructed
    • B41J3/44Typewriters or selective printing mechanisms having dual functions or combined with, or coupled to, apparatus performing other functions
    • B41J3/445Printers integrated in other types of apparatus, e.g. printers integrated in cameras
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14314Structure of ink jet print heads with electrostatically actuated membrane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14427Structure of ink jet print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1623Manufacturing processes bonding and adhesion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1629Manufacturing processes etching wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1632Manufacturing processes machining
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1635Manufacturing processes dividing the wafer into individual chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1637Manufacturing processes molding
    • B41J2/1639Manufacturing processes molding sacrificial molding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1642Manufacturing processes thin film formation thin film formation by CVD [chemical vapor deposition]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1643Manufacturing processes thin film formation thin film formation by plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1645Manufacturing processes thin film formation thin film formation by spincoating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1646Manufacturing processes thin film formation thin film formation by sputtering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1648Production of print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/17Ink jet characterised by ink handling
    • B41J2/175Ink supply systems ; Circuit parts therefor
    • B41J2/17596Ink pumps, ink valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2002/041Electromagnetic transducer

Definitions

  • the present invention relates to the field of ink jet printing systems.
  • US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilised by several manufacturers including El jet and Scitex (see also US Patent No. 3373437 by
  • Piezo-electric ink jet printers are also one form of commonly utilised ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.
  • ink jet printing has become an extremely popular form of ink jet printing.
  • the ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media.
  • Printing devices utilising the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard. As can be seen from the foregoing, many different types of printing technologies are available.
  • a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
  • esoteric techniques are also often utilised. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.
  • a sacrificial material to build up a mechanical system, within the sacrificial material being subsequently etched away so as to release the required mechanical structure.
  • a suitable common sacrificial material includes silicon dioxide which can be etched away in hydrofluoric acid.
  • MEMS devices are often constructed on silicon wafers having integral electronics such as, for example, using a multi-level metal CMOS layer.
  • the CMOS process includes the construction of multiple layers which may include the utilization of materials which can be attacked by the sacrificial etchant. This often necessitates the construction of passivation layers using extra processing steps so as to protect other layers from possible unwanted attack by a sacrificial etchant.
  • the present invention relates to ink jet printing and in particular, discloses a new form of ink jet printer which utilises a planar thermoelastic bend actuator to eject ink from a nozzle chamber.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port.
  • the thermal actuator comprises a lower planar surface constructed from a highly conductive material interconnected to an upper planar material constructed from an electrically resistive material such that upon passing a current between the planar surface, the thermal actuator is caused to bend towards the ink ejection port so as to thereby cause the ejection of ink from the ink ejection port.
  • the actuator is attached to a substrate and further includes a stiff paddle portion which increases the degree of bending of the actuator near the point where it is attached to the substrate.
  • the stiff paddle is formed of silicon nitride.
  • the actuator further includes an expansion coating having a high coefficient of thermal expansion on top of the upper planar surface so as to increase the amount of bending of the actuator.
  • the expansion coating can comprise substantially polytetrafluoroethylene. Between the upper and lower planar surfaces there is provided a gap, constructed through the utilisation of a sacrificial material which is deposited and subsequently etched away so as to leave the gap.
  • the upper planar surface includes a plurality of etchant holes provided to allow a more rapid etching of the sacrificial layer during construction.
  • the upper planar surface of the actuator comprises substantially Indium Tin Oxide (ITO) whereas the lower planar surface of the actuator comprises substantially a metal layer. Both surfaces are further coated with a passivation material as required.
  • ITO Indium Tin Oxide
  • the ink jet nozzle construction can be formed on a silicon wafer utilising micro-electro mechanical systems construction techniques.
  • an ink jet nozzle chamber having an ink ejection port in one wall of the chamber and an ink supply source interconnected to the chamber.
  • the ink jet nozzle chamber can comprise two actuators the first actuator for ejecting ink from the ink ejection port and a second actuator for pumping ink into the chamber from the ink supply source after the first actuator has caused the ejection of ink from the nozzle chamber.
  • the actuators can utilize thermal bending caused by a conductive heater element encased within a material having a high coefficient of thermal expansion whereby the actuators operate by means of electrical heating by the heater elements.
  • the heater elements can be of se ⁇ entine form and concertinaed upon heating so as to allow substantially unhindered expansion of said actuation material during heating.
  • the first actuator is arranged substantially opposite the ink ejection port and both actuators form segments of the nozzle chamber wall opposite the ink ejection port and between the nozzle chamber and the ink supply source.
  • the method for driving the actuators for the ejection of ink from the ink ejection port comprises utilizing the first actuator to eject ink from the ejection port and utilizing the second actuator to pump ink towards the ink ejection port so as to rapidly refill the nozzle chamber around the area of the ink ejection port.
  • the method for driving the actuators can comprise the following steps:
  • the material of the two actuators having a high coefficient of thermal expansion can comprise substantially polytetrafluoroethylene and the surface of the actuators are treated to make them hydrophilic.
  • the heater material embedded in the thermal actuators comprises substantially copper.
  • the actuators are formed by utilization of a sacrificial material layer which is etched away to release the actuators.
  • the ink jet nozzle chamber can be formed from crystallographic etching of a silicon substrate.
  • the thermal actuators are attached to a substrate at one end and the heating of the actuators is primarily near the attached end of the devices.
  • the ink jet nozzle is preferably constructed via fabrication from a silicon wafer utilizing semiconductor fabrication techniques.
  • an ink jet nozzle comprising an ink ejection port for the ejection of ink, an ink supply with an oscillating ink pressure interconnected to the ink ejection port, a shutter mechanism interconnected between the ink supply and the ink ejection port, which blocks the ink ejection port, and an actuator mechanism for moving the shutter mechanism on demand away from the ink ejection port so as to allow for the ejection of ink on demand from the ink ejection port.
  • the actuator can comprise a thermal actuator which is activated by the heating of one side of the actuator.
  • the actuator has a coiled form and is uncoiled upon heating.
  • the actuator can include a se ⁇ entine heater element encased in a material having a high coefficient of thermal expansion.
  • the se ⁇ entine heater can concertina upon heating.
  • the actuator includes a thick return trace for the se ⁇ entine heater element.
  • the material in which the se ⁇ entine heater element is encased can comprise polytetrafluoro-ethylene.
  • the actuator can be formed within a nozzle chamber which is formed on a silicon wafer and ink is supplied to the ejection port through channels etched through the silicon wafer.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply under a varying pressure interconnected to the nozzle chamber, and a shutter means located between the nozzle chamber and the ink supply source, which is activated on demand to allow ink to pass through the shutter means and to thereby cause ink to be ejected from the nozzle chamber. Further, the shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate.
  • the actuation means can comprise a se ⁇ entine conductive material encased within an expansive material having a high coefficient of thermal expansion such that, upon heating of the se ⁇ entine conductive material, the material concertinas so as to expand at a similar rate to the expansive material.
  • the expansive material comprises substantially polytetrafluoroethylene
  • the se ⁇ entine conductive material comprises substantially copper.
  • the buckling of the actuator can be between stable end connector portions constructed from the conductive material. In its quiescent state the shutter means is closed.
  • the ink supply source includes an ink supply channel interconnecting the shutter means by means of a through hole etching of the silicon wafer.
  • the through hole etching is produced preferably by high density low pressure plasma etching of the silicon wafer.
  • the ink supply source is driven with a substantially oscillating ink pressure.
  • a method of ejecting ink from a nozzle chamber in an ink jet nozzle that comprises a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, which includes an ink supply under varying pressure, and a shutter means located between the nozzle chamber and the ink supply source.
  • the shutter is activated on demand to allow ink to pass through the shutter means and the thereby cause ink to be ejected from the nozzle chamber.
  • the shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate.
  • the method can comprise the following steps: a) activating the shutter to an open position during a high pressure time of the varying pressure so as to cause the ejection of ink from the port; b) driving the pressure to a low pressure state so as to cause drop separation of ejected ink; c) keeping the shutter open during a subsequent high pressure time of the varying pressure sufficient to cause the nozzle chamber to be rapidly refilled; d) closing the shutter upon refill of the nozzle chamber such that the chamber is ready for the subsequent ejection of ink upon subsequent opening of the shutter.
  • an ink jet printing device comprising an ink chamber having an oscillating ink pressure, a plurality of nozzle apparatuses in fluid communication with the ink chamber which include a grilled shutter having a first open state permitting the expulsion of ink from the nozzle apparatus and a second closed state substantially restricting the expulsion of ink from the nozzle chamber, and a shutter activation means adapted to drive, on demand, the grilled shutter from a first to a second of these states.
  • the nozzle apparatus can include a locking means adapted to lock the grilled shutter in an open or closed state as required.
  • the method of operating the ink jet printing device of the type in accordance with the present invention can comprise the following steps: opening the grilled shutter during a first high pressure period in the ink chamber; utilizing the high pressure period and a following low pressure period for the expulsion of ink from the nozzle apparatus; utilizing a subsequent high pressure period for the refilling of the nozzle apparatus; and closing the grilled shutter until such time as further ink is required to be expelled from the nozzle apparatus.
  • the ink jet printing device has a shutter activation means that comprises a thermocouple device.
  • thermocouple device can consist of two arms, one arm having a thermal jacket of low thermal conductivity.
  • the arm having the thermal jacket includes a thinned portion adapted to increase the travel of the thermocouple upon activation.
  • both the magnitude and frequency of the oscillating ink pressure in the ink chamber can be altered.
  • the size and period of each cycle can be scaled in accordance with such pre-calculated factors such as the number of nozzles ejecting ink and the pressure requirements for nozzle refill with different inks.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber and a thermal actuator unit activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator unit comprises a plurality of the thermal actuator petal devices arranged around a central stem so that upon activation of the thermal actuator petal devices, the devices bend in unison, thereby initiating the ejection of ink from the nozzle chamber.
  • the thermal actuator unit is located opposite the ink ejection port and the petal devices bent generally in the direction of the ink ejection port.
  • the thermal actuator petal devices can comprise a first material having a high coefficient of thermal expansion surrounding a second material which conducts resistively so as to provide for heating of the first material. Further the second material can be constructed so as to concertina upon expansion of the first material.
  • an air bubble forms under the thermal actuator during operation.
  • the first material of the thermal actuator petal can comprise substantially polytetrafluoroethylene, and the second material can comprise substantially copper.
  • the actuator petal devices are attached to a substrate and the heating of the petal devices is primarily near the attached end of the device.
  • the outer surface of the ink chamber can include a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • an ink jet printing device comprising an ink chamber containing ink subject to a periodic pressure variation, at least one ink jet nozzle apparatus which comprises a nozzle chamber having an aperture for the ejection of ink, a moveable shutter having a closed position covering the nozzle chamber and an open position allowing the nozzle chamber to be in fluid communication with the ink chamber and an actuation means responsive to a control signal and adapted to move the moveable shutter from a first of the positions to a second position upon activation of the control signal.
  • the first position is the closed position and the second position is the open position.
  • the actuator means can comprise a coiled thermal actuator, which is actuated via one of differing resistivities, differing cross- sectional areas, differing thermal expansion or differing thermal conductivities in the thermal actuator.
  • the periodic pressure variation in the ink jet printing device is derived from an ultrasonic transducer in fluid communication with the ink in the ink chamber.
  • a method of ejecting ink from a nozzle chamber in fluid communication with an ink reservoir, having a shutter controlling the flow of ink from the ink reservoir to the nozzle chamber which comprises the steps of: a) applying a periodic pressure wave to the ink reservoir, and opening the shutter at a first predetermined time to allow the ejection of ink from the nozzle chamber. b) maintaining the shutter in an open position to allow the ink chamber to refill the nozzle chamber, and closing the shutter upon refilling of the nozzle chamber.
  • the method of ejecting ink from a nozzle comprises periodic pressure waves including periods of negative pressure within the ink chamber and the shutter remains open during the periods of negative pressure so as to cause separation of ejected ink from the nozzle chamber.
  • the period of negative pressure is followed by a period of positive pressure in which the nozzle chamber is refilled with ink.
  • an ink jet nozzle arrangement comprising at least one nozzle chamber having an ink ejection port at one wall thereof and a plurality of vane units being adapted to be actuated by actuators and arranged around the ink ejection port. Further, the vane units are adapted to be actuated by the vane actuators so as to pressurise the volume around the ink ejection port so as to cause the ejection of ink from the ink ejection port.
  • the vane actuators each comprise two arms, being an expanding, flexible arm, and a rigid arm.
  • the flexible arm can comprise a conductive heater material encased within an expansion material having a high coefficient of thermal expansion.
  • the conductive heater material in the flexible arm is constructed so as to concertina upon expansion of the expansion material.
  • the heater material is of a se ⁇ entine form so as to allow substantially unhindered expansion of the expansion material during heating.
  • the rigid arm of the thermal actuator can include the return trace of the heater and the vane.
  • the vane units are arranged in a circumference around the ink ejection port and operate as an iris around the ink ejection port.
  • each ink jet nozzle can comprise four vane units.
  • the expansion material of the thermal actuators can be substantially comprised of polytetra-fluoroethylene and the conductive heater material can comprise substantially copper.
  • the outer surface of the nozzle chamber can include a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • a thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby the actuator operates via means of electrically heating the heater element of the thermal actuator, wherein the heater element has a corrugated structure so as to improve the thermal distribution of heat from the heater element to the actuation material so as to increase the speed of actuation of the thermal actuator.
  • the heater element can be of a se ⁇ entine or concertina form so as to allow substantially unhindered expansion of the actuation material during heating.
  • the thermal actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber.
  • both surfaces of the actuator are hydrophilic and the heater material within the actuator can comprise substantially copper.
  • the hydrophilic material can be formed by means of suitable processing a hydrophobic material.
  • a thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein the thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of the conductor material has a series of slots or holes so as to allow the actuation material to be integrally joined together so as to reduce the likelihood of delamination of the layers.
  • the portion having a series of slots or holes comprises a stiff structural paddle at one end of the actuator. Further the stiff structural paddle can include a regularly spaced array of holes defined therein.
  • an ink jet nozzle comprising the thermal actuator as one wall of an ink chamber, wherein the thermal actuator is attached to a wall of the nozzle chamber, and an ink chamber with an ejection port for the ejection of ink in a wall opposite to the wall formed by the thermal actuator.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator comprising two layers of actuator material having a high coefficient of thermal expansion, a top layer being substantially non conductive and a bottom layer being conductive, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer, which is cooled by the chamber ink.
  • the bottom layer comprises portions being conductive and portions being non-conductive such that a circuit is formed for the heating of the bottom layer through the interaction of the conductive and non-conductive portions.
  • the resistive circuit is created having predetermined area of low circuit cross-sectional area so as to produce high levels of heating of the actuators in those areas.
  • the non-conductive portions are formed from the same material as the top layer.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer.
  • the bottom of the actuator can have a hydrophobic surface and during operation the hydrophobic surface causes an air bubble to form under the thermal actuator.
  • the bottom surface of the actuator can be air vented so as to reduce the actuation energy required to eject ink from the nozzle chamber.
  • the air venting comprises a series of small holes underneath the actuator, the holes being interconnected to an air supply channel for the supply of air to the back of the actuator.
  • the area around the bottom surface of the actuator can be constructed from hydrophobic material.
  • the holes are of a size such that, during operation, any fluid is retained within the nozzle chamber.
  • the actuator is attached at one end to the nozzle chamber and the holes are located near the attached end and the actuator is constructed from polytetrafluoroethylene.
  • the actuator can a bottom layer treated in portions so as to form a conductive material.
  • an ink jet printing device of the type having at least one nozzle connected to an ink supply and having a buckle plate able to be deformed so as to eject ink on demand from the nozzle.
  • the buckle plate can be constructed from a first material having a high coefficient of thermal expansion and from a second electrically resistive material for heating the buckle plate.
  • the second material can have a lower coefficient of thermal expansion than the first material and is constructed in a se ⁇ entine manner so as to allow the expansion of the length of the heater means substantially in accordance with the expansion of the first material.
  • the first material comprises substantially polytetrafluoroethylene and the second material comprises substantially copper.
  • the energy of activation of the buckle plate for the ejection of a drop of ink is less than about 20 microjoules.
  • an ink ejection nozzle arrangement comprising an ink chamber having an ink ejection port, a pivotally mounted paddle wheel with a first plurality of radial paddle wheel vanes and a second plurality of fixed paddle chambers each of which has a corresponding one of the pivotally mounted paddle wheel vanes defining a surface of the paddle chamber such that upon rotation of the paddle wheel, ink within the paddle chambers is pressurised resulting in the ejection of ink through the ejection port.
  • the paddle chambers can include a side wall having a radial component relative to the pivotally mounted paddle wheel.
  • the ink ejection port is located above the pivot point of the paddle wheel.
  • the radial components of the paddle chamber's side walls are located substantially on the circumference of the pivotally mounted paddle wheel.
  • the rotation of the paddle wheel is controlled by a thermal actuator.
  • the thermal actuator comprises an internal electrically resistive element and an external jacket around the resistive element, made of a material having a high coefficient of thermal expansion relative to the embedded resistive element.
  • the resistive element can be of a substantially se ⁇ entine form, and preferably, the outer jacket comprises substantially polytetrafluoroethylene. The thermal actuator can undergo circumferential expansion relative to the pivotally mounted paddle wheel.
  • a method is provided to eject ink from an ink jet nozzle interconnected to the ink chamber.
  • the method comprises construction of a series of paddle chambers within the ink chamber, each of which has at least one moveable wall connected to a central pivoting portion activated by an activation means. After substantially filling the ink chamber with ink, utilization of the activation means connected to the moveable walls to reduce the volume in the paddle chambers results in an increased ink pressure within the chambers and consequential ejection of ink from the ink jet nozzle.
  • an actuated paddle for the movement of liquid within a chamber comprising a first surface having a hydrophobic surface, wherein the paddle defines a cavity between the hydrophobic surface and a wall of the chamber so as to be amendable to the collection of gasses within the cavity, and the paddle is actuated to move the hydrophobic surface away from the wall of the chamber. Further the degree of movement of the actuated paddle is insufficient to substantially disperse gasses within the cavity.
  • the actuated paddle is thermally actuated by means of a first structure having a low coefficient of thermal expansion and a second structure having a substantially larger coefficient of thermal expansion.
  • the structure having a high coefficient of thermal expansion is located closer to the cavity than the structure having a low coefficient of thermal expansion.
  • the actuated paddle includes a further surface adjacent to the liquid and the structure having a low coefficient of thermal expansion is located closest to the further surface.
  • the structure having the low coefficient of thermal expansion is substantially liquid cooled by the liquid, whereas the structure having the high coefficient of thermal expansion is located substantially in the cavity.
  • the structure having a high coefficient of thermal expansion and the first surface is substantially comprised from polytetrafluoroethylene.
  • the actuated paddle is attached to the chamber wall.
  • an ink jet nozzle comprising the actuated paddle located within a nozzle chamber, an ink supply interconnected to the nozzle chamber and an ink ejection portal in one wall opposite the actuated paddle for the ejection of ink.
  • a method of ejecting ink from the ink jet nozzle comprising the utilizing the activation of the actuated paddle to eject ink from the nozzle chamber, wherein the activation causes the actuated paddle to move towards the wall of the ink jet nozzle chamber comprising the ink ejection portal.
  • a thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby the actuator operates via means of electrically heating the heater element of the thermal actuator wherein the heater element has a corrugated structure so as to improve the thermal distribution of heat from the heater element to the actuation material so as to increase the speed actuation of the thermal actuator.
  • the heater element is of a se ⁇ entine or concertina form so as to allow substantially unhindered expansion of the actuation material during heating.
  • the thermal actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber.
  • one surface of the actuator is hydrophobic and the other surface is hydrophilic and the heater material within the actuator comprises substantially copper.
  • the hydrophilic material is formed by means of processing the hydrophobic material.
  • a thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein the thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of the conductor material has a series of slots or holes so as to allow the actuation material to be integrally joined together so as to reduce the likelihood of delamination of the layers.
  • the portion having a series of slots or holes comprises a stiff structural petal at an end of the actuator. Further the stiff structural petal can include a regularly spaced array of holes defined therein.
  • the thermal vent actuator is attached at one end of a substrate and includes an actuation material having a high coefficient of thermal expansion, and further the actuator comprises a stable clamp on top of the actuator at the end attached to the substrate, which acts to decrease the likelihood of separation of the actuation material from the substrate.
  • the thermal vent actuator is utilized for the ejection of ink from a chamber via an ink nozzle.
  • the stable clamp forms part of a grille structure for the filtering of ink flow into the chamber for subsequent ejection.
  • the substrate is fabricated from a silicon wafer and the clamp is substantially comprised of silicon-nitride and is formed by means of a sacrificial etching process.
  • an ink jet print nozzle including a nozzle chamber having an ink ejection port for the ejection of ink defined in one wall of said nozzle chamber; an ink channel supply means for the supply of ink to the nozzle chamber; and an actuator mechanism located in the nozzle chamber and adapted to be activated so as to cause the ejection of ink from the nozzle chamber, the actuator mechanism including a portion located between said nozzle chamber and the ink channel supply means.
  • the actuator mechanism comprises a substantially planar thermal actuator and includes a se ⁇ entine conductive gold heater element layer encased within an expansive layer such that, upon activation, the thermal actuator is caused to bend towards said ink ejection port so as to cause the expulsion of ink from said nozzle chamber.
  • One surface of the planar thermal actuator can include a portion having a hydrophobic properties such that, during operation, an air bubble is formed between said surface and a wall of said nozzle chamber so as to increase the efficiency of operation of said thermal actuator.
  • the nozzle chamber is preferably formed on a silicon wafer and the ink channel supply means is formed through the deep anisotropic back etching of a silicon wafer.
  • the actuator can be made from polytetrafluroethylene which is normally hydrophobic and which is plasma treated through said ink channel supply means to make it hydrophilic.
  • the nozzle chamber can be formed on a CMOS substrate and can include aluminium portions constructed so as to protect said substrate for sacrificial etching of said CMOS substrate.
  • an ink jet nozzle arrangement for the ejection of ink from an nozzle chamber including a nozzle chamber interconnected to an ink supply and having an ink ejection port in one wall thereof; an ejection paddle for the ejection of ink from the ink ejection port; a thermal actuator mechanism attached to an ejection paddle for the actuation of the ejection paddle causing the ejection of ink; wherein the thermal actuator comprises materials having a high Young's modulus which produce a bending motion upon heating thereby causing the ejection paddle to eject ink from the ink ejection port.
  • the thermal actuator can be pivoted so as to increase the degree of travel of the ejection paddle upon actuation of the thermal actuator and can be of a horseshoe shaped form and pivoted substantially around a midpoint.
  • the pivot point can be constructed on a wall of the chamber by means of a thinned membrane, there by allowing the thermal actuator operates in the ambient atmosphere.
  • the nozzle chamber is constructed on a silicon wafer and the ink is supplied through the silicon wafer.
  • the thermal actuator can be constructed from a thin conductive section having a high Young's modulus and a substantially thicker non conductive portion.
  • the thin conductive portion can comprise titanium diboride and the thicker portion can comprise glass.
  • the nozzle chamber walls can include a number of small sacrificial etchant holes for utilization in construction of the arrangement, the holes being of sufficiently small diameter so as to prevent the ejection of ink therefrom.
  • the arrangement can be constructed using micro-electro mechanical systems techniques including a sacrificial etch and the ejection paddle is released in the sacrificial etch to be in a prefiring position.
  • the moveable vane in its quiescent position, can be located substantially adjacent a first end of the slot and the actuator can be actuated to move the moveable vane from the first end of the slot to a second end of the slot.
  • the actuator can comprise a thermal actuator which is actuated by means of an electric current passed through the thermal actuator resulting in resistive heating of the actuator.
  • the thermal actuator can be constructed of a conductive material having a high Young's modulus and include first and second arms, the first arm having a thinned cross-section relative to the second arm, the first arm undergoing resistive heating to thereby cause the first arm to bend resulting in actuation by the thermal actuator.
  • the arms can be attached to a substrate at one end and the second arm can include a thinned portion at one end thereof adjacent the attachment to the substrate.
  • the actuator device preferably operates in an ambient atmosphere and preferably includes portions of the actuator located adjacent the exterior of the slotted side wall which are coated with a hydrophobic material. Further, the arrangement can be formed on a silicon wafer and the ink supply channel is formed through the etching of a channel through the silicon wafer.
  • a thermal actuator activated by means of conductive heating of an electrically conductive material
  • the actuator comprising: a first non-conductive strip of material attached to a substrate at a first end of the strip and adapted to apply a force to a load at a second end of the strip; a second conductive material formed along one side of the strip, the conductive strip including a first wire portion running from substantially the first end to the second end and a second wire strip running from substantially the second end to the first end, the wire strips being joined together at the second end so as to form a circuit, the wire strips being further connected to a controlled power supply at the first end such that activation of the controlled power supply causes heating of the conductive material so as to actuate the thermal actuator thereby applying a force to the load.
  • the strip is in the form of a coil and the second conductive material is formed along a radially inner surface of the strip.
  • the first wire and the second wire can be electrically insulated from one another by a layer of the first non-conductive material.
  • the second conductive material comprises a material having a high Young's modulus and the first conductive strip is substantially thicker then the second conductive material.
  • the substrate can comprises an electronic circuitry layer of a silicon wafer, the second conductive material can comprise substantially titanium di-boride and the first non-conductive material can comprise substantially glass.
  • an ink jet printer having a series of ink ejection nozzle chambers each containing: an ink ejection port defined in one wall of the chamber for the ejection of ink therefrom; a paddle within the chamber, actuated by an actuator for the ejection of ink from the nozzle chamber via the ink ejection port; a slot defined in a second wall thereof for the communication with the paddle of an actuator device; a thermal actuator activated by means of conductive heating of an electrically conductive material, the actuator comprising a first non-conductive strip of material attached to a substrate at a first end of the strip and adapted to apply a force to a load at a second end of the strip; a second conductive material formed along one side
  • the nozzle chambers can be formed on a silicon wafer and include a series of ink supply channels etched through the wafer for the supply of ink to the nozzle chamber.
  • a fluid ejection apparatus including a trough having side walls and an exposed roof, the trough being substantially filled with fluid; a paddle vane located within the trough and offset from one wall when the paddle vane when in a quiescent position; an actuation mechanism attached to the paddle vane such that, upon activation of the actuation mechanism, the paddle vane is caused to move towards the one wall, resulting in an increase in pressure in the fluid between the one wall and the paddle vane, resulting in a consequential ejection of fluid via the exposed roof.
  • the present invention can be utilized in an ink jet printing system.
  • the actuation mechanism can be interconnected to the paddle vane via an arm extending over one edge of the exposed roof and the actuation mechanism can comprise a coiled thermal actuator having a first conductive arm and a second substantially non-conductive arm, the conductive arm expanding upon electrical resistive heating to thereby cause the actuation of the thermal actuator.
  • the first conductive arm can comprise substantially titanium diboride and the second non-conductive arm can comprise substantially silicon nitride.
  • the actuation mechanism can operate in the ambient atmosphere.
  • the trough is formed within a silicon wafer and the apparatus further comprises an ink supply channel etched through a back surface of the wafer and interconnecting a bottom surface of the trough for the supply of ink to the trough.
  • the interconnection is preferably between the paddle vane and a second wall of the trough.
  • an apparatus for ejecting fluids from a nozzle chamber comprising a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located between the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of a first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • the actuator can comprises a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction.
  • the heater elements can be arranged on opposite sides of a central arm, the central arm having a low thermal conductivity.
  • the paddle vane and the actuator can be joined at a fulcrum pivot point, the fulcrum pivot point comprising a thinned portion of the nozzle chamber wall.
  • the actuator can include one end fixed to a substrate and a second end containing a bifurcated tongue having two leaf portions on each end of the bifurcated tongue, the leaf portions interconnecting to a corresponding side of the paddle with the tongue such that, upon actuation of the actuator, one of the leaf portions pulls on the paddle end.
  • the apparatus can further comprise a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber, the connection being in a wall of the chamber substantially adjacent the quiescent position of the paddle vane.
  • the connection can comprise a slot defined in the wall of the chamber, the slot having similar dimensions to a cross-sectional profile of the paddle vane.
  • the central arm can comprise substantially glass.
  • Each fluid ejection aperture preferably includes a rim defined around an outer surface thereof.
  • a multiplicity of apparatuses can be arranged such that the fluid ejection apertures are grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of the rows in phases.
  • the nozzle chambers can be further grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism comprising the steps of actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator causing the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • an apparatus for ejecting fluids from a nozzle chamber including a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; and an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • the apparatus can include a baffle located between the first and second fluid ejection apertures such that the paddle vane moving in the first direction causes an increase in pressure of the fluid in the volume adjacent the first aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the second aperture. Further, the paddle vane moving in the second direction can cause an increase in pressure of the fluid in the volume adjacent the second aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the first aperture.
  • the paddle vane and the actuator can be interconnected so as to pivot around a wall of the chamber and the apparatus can further comprise a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber, the connection being in a wall of the chamber substantially adjacent the pivot point of the paddle vane.
  • One wall of the nozzle chamber can include at least one smaller aperture interconnecting the nozzle chamber with an ambient atmosphere, the size of the smaller aperture being of such dimensions that, during normal operation of the apparatus, the net flow of fluid through the smaller aperture is zero.
  • the actuator can comprise a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction.
  • the heater elements can be arranged on opposite sides of a central arm, the central arm having a low thermal conductivity.
  • the central arm can comprise substantially glass.
  • the paddle vane and the actuator are preferably joined at a fulcrum pivot point, the fulcrum pivot point comprising a thinned portion of the nozzle chamber wall.
  • the thermal actuator preferably operates in an ambient atmosphere and the thinned portion of the nozzle chamber wall can include a series of slots at opposing sides so as to allow for the flexing of the wall during actuation of the actuator.
  • the external surface adjacent the slots comprises a planar or concave surface so as to reduce wicking.
  • the fluid ejection apertures can include a rim defined around an outer surface thereof.
  • the thermal actuator can include one end attached to a substrate and a second end having a thinned portion, the thinned portion providing for the flexible attachment of the actuator to the moveable paddle vane.
  • a large number of fluid ejection apertures can be grouped together spatially into spaced apart rows and fluid ejected from the fluid ejection apertures of each of the rows in phases.
  • the apparatuses can be ideally utilized for ink jet printing with the nozzle chambers further being grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism comprising the steps of: actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator to cause the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • An array of nozzle chambers can be arranged in a pagewidth print head and the moveable paddles of each nozzle chamber are driven in phase for the ejection of ink onto a page.
  • an apparatus for ejecting fluids from a nozzle chamber comprising: a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; and an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • the apparatus further comprises a baffle located between the first and second fluid ejection apertures and wherein the paddle vane moving in the first direction causes an increase in pressure of the fluid in the volume adjacent the first aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the second aperture.
  • the apparatus preferably includes a deepened etched pit below the second fluid ejection aperture, the baffle and end portion of the moveable paddle vane.
  • the apparatus can include a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber and one surface of the paddle vane includes at least one protrusion, such that, during the movement of the paddle in at least one of the directions, the at least one protrusion mates with a rim of the fluid supply channel so as to restrict the flow of fluid into the fluid supply channel.
  • the moveable paddle vane preferably includes a lip on an end portion adjacent the baffle, the lip substantially abutting the surface of the baffle during operation of the moveable paddle vane.
  • the walls of the chamber can include at least one smaller aperture interconnecting the nozzle chamber with the ambient atmosphere and of such a dimension that, during normal operation of the paddle vane, the surface tension effects across the smaller aperture results in the meniscus across the smaller aperture remaining substantially close to the smaller aperture or within the nozzle chamber.
  • at least one smaller aperture(s) is substantially adjacent the first one of the fluid ejection apertures such that, whilst ink is ejected from the second fluid ejection aperture, the meniscus of the first fluid ejection aperture and the at least one smaller aperture are interconnected within the nozzle chamber.
  • each aperture can include a ribbed rim around the outer surface thereof.
  • the baffle can include a wall surface having portions spaced at a substantially constant radius from the axis of the second fluid ejection aperture.
  • the actuator can comprise a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction.
  • a suitable material for the heater elements is a copper nickel alloy.
  • the heater elements are preferably arranged on opposite sides of a central arm, the central arm having a low thermal conductivity and the thermal actuator preferably operates in an ambient atmosphere.
  • the central arm can be made from glass.
  • the actuator mechanism is interconnected with the moveable paddle vane through a slot in the wall of the nozzle chamber and includes at least one protruding portion for minimizing any wicking of the fluid along the actuator mechanism.
  • the protrusion can comprise a cusped rim on the actuator mechanism.
  • the slot connects the internal portions of the nozzle chamber with an external ambient atmosphere and preferably the external surface adjacent the slots comprises a planar or concave surface so as to reduce wicking.
  • the present invention is suitable for forming an ink jet print head comprising a multiplicity of apparatuses as previously described with the fluid ejection apertures grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of the rows in phases.
  • the nozzle chambers are further grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism comprising the steps of: actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator to cause the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • an ink jet printing nozzle arrangement including an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber; an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; wherein the paddle vane includes a concave surface in the area adjacent the ink ejection nozzle.
  • the paddle vane includes a cup shaped surface in the area adjacent the ink ejection nozzle.
  • the nozzle arrangement can be formed utilizing normal micro-electro mechanical construction techniques and the concave surface can be formed as the result of the deposition of a film over a pit.
  • the actuator means can include an actuating portion located externally to the nozzle chamber and operational in an external ambient atmosphere of the arrangement.
  • the ink chamber can further include a slot defined in a wall thereof such that the actuator means communicates with the moveable paddle vane through the slot.
  • the actuator means can comprise a thermal actuator which includes a conductive heater element having a high bend efficiency such that when an electric current is passed through the conductive heater element, the heater element undergoes thermal expansion causing the actuator means to move the paddle towards the ink ejection nozzle.
  • the external surfaces of the slot are profiled so as to minimize any wicking of the ink out of the slot.
  • the profile can include a surface having a protruding rim around the slot and the actuator means can be shaped so as to minimize wicking of ink along the actuator means.
  • the paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into the ink chamber.
  • an ink jet nozzle arrangement comprising a nozzle chamber having an fluid ejection nozzle in one surface of the chamber; a paddle vane located within the chamber, the paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of the chamber via the fluid ejection nozzle; and a thermal actuator device located externally of the nozzle chamber and attached to the paddle vane the thermal actuator device including a plurality of separate spaced apart elongated thermal actuator units.
  • the thermal actuator units are interconnected at a first end to a substrate and at a second end to a rigid strut member.
  • the rigid strut member can, in turn, be interconnected to a lever arm having one end attached to the paddle vane.
  • the thermal actuator units can operate upon conductive heating along a conductive trace and the conductive heating includes the generation of a substantial portion of the heat in the area adjacent the first end.
  • the conductive heating trace can include a thinned cross-section adjacent the first end.
  • the heating layers of the thermal actuator units can comprise substantially either a copper nickel alloy or titanium nitride.
  • the paddle can be constructed from a similar conductive material to portions of the thermal actuator units however it is conductively insulated therefrom.
  • the thermal actuator units are constructed from multiple layers utilizing a single mask to etch the the multiple layers.
  • the nozzle chamber can include an actuator access port in a second surface of the chamber.
  • the access port can comprise a slot in a corner of the chamber and the actuator is able to move in an arc through the slot.
  • the actuator can include an end portion which mates substantially with a wall of the chamber at substantially right angles to the paddle vane.
  • the paddle vane can include a depressed portion substantially opposite the fluid ejection port.
  • a thermal actuator including a series of lever arms attached at one end to a substrate, the thermal actuator being operational as a result of conductive heating of a conductive trace, the conductive trace including a thinned cross-section substantially adjacent the attachment to the substrate.
  • an ink jet nozzle arrangement comprising a nozzle chamber having an fluid ejection nozzle in one surface of the chamber; a paddle vane located within the chamber, the paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of the chamber via the fluid ejection nozzle; and a thermal actuator device located externally of the nozzle chamber and attached to the paddle vane.
  • the thermal actuator device includes a lever arm having one end attached to the paddle vane and a second end attached to a substrate.
  • the thermal actuator preferably operates upon conductive heating along a conductive trace and the conductive heating includes the generation of a substantial portion of the heat in the area adjacent the second end.
  • the conductive heating preferably occurs along a thinned cross-section adjacent the second end.
  • the thermal actuator includes a first and second layer of a material having similar thermal properties such that upon cooling after deposition of the layers, the two layers act against one another so as to maintain the actuator substantially in a predetermined position.
  • the layers can comprise substantially either a copper nickel alloy or titanium nitride.
  • the paddle can be constructed from a similar conductive material to portions of the thermal actuator however it is conductive insulated therefrom.
  • the thermal actuator can be constructed from multiple layers utilizing a single mask to etch the multiple layers.
  • the nozzle chamber preferably includes an actuator access port in a second surface of the chamber which comprises a slot in a corner of the chamber and the actuator is able to move in an arc through the slot.
  • the actuator can include an end portion which mates substantially with a wall of the chamber at substantially right angles to the paddle vane.
  • the paddle vane can includes a depressed portion substantially opposite the fluid ejection port.
  • a thermal actuator device including two layers of material having similar thermal properties such that upon cooling after deposition of the layers, the two layers act against one another so as to maintain the actuator substantially in a predetermined position.
  • a thermal actuator including a lever arm attached at one end to a substrate, the thermal actuator being operational as a result of conductive heating of a conductive trace, the conductive trace including a thinned cross-section substantially adjacent the attachment to the substrate.
  • an ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, the arrangement comprising: a nozzle chamber for the storage of ink to be ejected; an ink ejection nozzle having a rim formed on one wall of the chamber; and a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of the nozzle chamber adjacent the rim, the actuator paddles further being actuated in unison so as to eject ink from the nozzle chamber via the ink ejection nozzle.
  • the actutator paddles can include a surface which bends inwards towards the centre of the nozzle chamber upon actuation.
  • the actuator paddles are preferably actuated by means of a thermal actuator device.
  • the thermal actuator device can comprise a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion.
  • the element can be se ⁇ entine shaped to allow for substantially unhindered expansion of the second material.
  • the actuator paddles are preferably arranged radially around the nozzle rim.
  • the actuator paddles can form a membrane between the nozzle chamber and an external atmosphere of the arrangement and the paddles bend away from the external atmosphere so as to cause an increase in pressure within the nozzle chamber thereby initiating a consequential ejection of ink from the nozzle chamber.
  • the paddle actuators can bend towards a central axis of the ejection nozzle.
  • the arrangement can be formed on a wafer utilizing micro-electro mechanical techniques and further can comprise an ink supply channel interconnected to the nozzle chamber, the ink supply channel being etched through the wafer.
  • the ink jet nozzle arrangement can include the ink ejection nozzle supported by a series of struts and the actuator paddles are preferably further interconnected to the nozzle rim and the struts further can include a conductive power rail for supplying power to the actuator paddles.
  • the arrangement can be formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
  • an ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, the arrangement comprising: a nozzle chamber for the storage of ink to be ejected; an ink ejection nozzle having a rim formed on one wall of the chamber; and a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of the nozzle chamber adjacent the rim, the actuator paddles further being actuated in unison so as to eject ink from the nozzle chamber via the ink ejection nozzle.
  • the actutator paddles can include a surface which bends inwards towards the centre of the nozzle chamber upon actuation.
  • the actuator paddles are preferably actuated by means of a thermal actuator device.
  • the thermal actuator device can comprise a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion.
  • the element can be se ⁇ entine shaped to allow for substantially unhindered expansion of the second material.
  • the actuator paddles are preferably arranged radially around the nozzle rim.
  • the actuator paddles can form a membrane between the nozzle chamber and an external atmosphere of the arrangement and the paddles bend away from the external atmosphere so as to cause an increase in pressure within the nozzle chamber thereby initiating a consequential ejection of ink from the nozzle chamber.
  • the paddle actuators can bend towards a central axis of the ejection nozzle.
  • the arrangement can be formed on a wafer utilizing micro-electro mechanical techniques and further can comprise an ink supply channel interconnected to the nozzle chamber, the ink supply channel being etched through the wafer.
  • the ink jet nozzle arrangement can include the ink ejection nozzle supported by a series of struts and the actuator paddles are preferably further interconnected to the nozzle rim and the struts further can include a conductive power rail for supplying power to the actuator paddles.
  • an ink jet nozzle arrangement comprising: a nozzle chamber for storage of ink to be ejected from an ink ejection nozzle formed in one wall of the nozzle chamber; and a moveable paddle actuator mechanism formed in a first wall of the nozzle chamber, one end of the paddle actuator traversing along a second wall of the nozzle chamber, the second wall being substantially pe ⁇ endicular to the first wall; the one end further including a flange including a surface abutting the second wall, the movable paddle actuator mechanism being operable to cause the ejection of ink from the ink ejection nozzle with the flange moving substantially tangentially to the second wall.
  • the arrangement can further include an ink supply channel interconnected to the nozzle chamber for the resupply of ink to the nozzle chamber, the interconnection comprising a slot in a wall of the chamber, the slot being substantially opposite an end of the flange.
  • the slot can be arranged in a corner of a third wall of the chamber and the second wall of the chamber can further form one wall of the ink supply channel.
  • the arrangement can be formed on a silicon wafer and the ink supply channel can be formed by back etching a back surface of the wafer.
  • the back etching can comprise a plasma etching of the back surface.
  • the moveable paddle actuator in being actuated to eject a drop of ink, can constricts the flow of ink into the nozzle chamber
  • the arrangement further preferably can include a slot around a substantial portion of the moveable paddle actuator, the slot interconnecting the nozzle chamber with an external ambient atmosphere, the slot being dimensioned to provide for fluid movement during operation of the moveable paddle actuator mechanism so as to minimize the required energy for drop ejection whilst not allowing for the ejection of fluid out of the nozzle chamber.
  • the movable paddle actuator mechanism preferably can includes a thermal actuator for actuation of the mechanism on demand.
  • the thermal actuator can comprise a conductive heater layer between layers of a substantially non-conductive material having a high coefficient of thermal expansion.
  • the conductive heater layer can be arranged in a se ⁇ entine form so that, on conductive heating of the conductive heater layer, the conductive heater layer concertinas so as to allow for substantially unhindered expansion of the substantially non-conductive material.
  • the substantially non-conductive material can comprise substantially polytetrafluroethylene.
  • the silicon wafer can be initially processed utilizing a CMOS processing system so as to form the electrical circuitry required to operate the ink jet nozzle arrangement on the silicon wafer.
  • a method of manufacturing a planar fhermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a pump action refill ink jet printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacturing a PTFE surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer which can comprise a double side polished CMOS wafer.
  • a method of manufacturing a buckle strip grill oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching at least one nozzle shutter ink inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the at least one nozzle shutter ink inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a shutter based print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal.
  • a method of manufacturing a curling calyx thermoelastic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle ink inlet hole in the electrical circuitry layer in addition to a series of vias at predetermined positions interconnecting with the electrical circuitry; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle ink inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer located around the vias; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer ; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductive
  • the disk preferably can include a series of radial slots.
  • the step (h) preferably can include etching a series of small holes in the inert material layer and the nozzle chamber preferably can include a lip around the circumference of the disk.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • a thermal actuated ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) deposing and etching a first sacrificial material layer including vias for electrical interconnection of the electrical circuitry layer with subsequent layers; (d) depositing a first expansion layer of material having a high coefficient of thermal expansion over the nozzle chamber; (e) depositing and etching a conductive material layer on the first expansion layer so as to form a heater element conductively interconnected to the electrical circuitry layer; (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over the conductive material layer, the etching including etching at least two leaf portions over the
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a plasma etch of the wafer.
  • a method of manufacturing an iris motion ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first sacrificial material layer over the electrical circuitry layer including, the etching including etching holes for nozzle chamber posts and actuator anchor points in the first sacrificial material layer located around the vias; (c) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer ; (d) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (e) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including
  • the vane elements are preferably arranged around the ink ejection nozzle.
  • the step (i) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially glass.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a direct firing thermal bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching a first expansion layer of material having a high coefficient of thermal expansion over the nozzle chamber; (e) depositing and etching a conductive material layer on the first layer to form a conductive heater element over the first expansion layer, the heater element being conductively interconnected to the electrical circuitry layer; (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over at least the conductive material layer, the etching including etching a leaf portion over
  • the step (c) further can comprise etching the first expansion layer of material so that it has an undulating surface.
  • the step (d) preferably can include retaining the undulating surface in the conductive heater element.
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a conductive PTFE bend activator vented ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a buckle plate ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • thermo elastic rotary impeller ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to said substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a series of vias in the wafer at predetermined positions interconnecting with the electrical circuitry; (c) depositing and etching a first sacrificial material layer, the etching including etching an actuator anchor area in the first sacrificial material layer located around the vias; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially glass.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • thermoelastic bend actuator using polytetrafluroethylene (PTFE) and corrugated copper ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • PTFE polytetrafluroethylene
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably- being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate surface.
  • the print heads can be formed utilising standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the surface of the substrate.
  • multiple ink jet nozzles are formed on a single wafer and wherein the back etching includes forming a single ink supply channel supplying multiple different nozzle chambers.
  • Each of the ink supply channels abuts a nitride wall of the nozzle chamber the nitride wall dividing the ink supply channel into multiple supply channels.
  • the heater structure layers can be formed by the steps of depositing a first expansive material layer on top of the first sacrificial layer; depositing a conductive heater layer on to of the first expansive material layer; and depositing a second expansive material layer on top of the conductive heater layer.
  • the conductive heater layer can be formed from gold utilizing chemical mechanical planarization.
  • the circuitry layer can preferably include metal conductive lines which are utilized to from a barrier to protect other portions of the circuitry layer from unwarranted etching by any sacrificial etchant utilized in etching of the sacrificial layer.
  • the nozzle chamber layer can ideally include a series of small etchant holes utilized in the etching of the sacrificial layers and can comprise substantially Silicon Nitride.
  • the nozzle chamber layer can include portions formed directly on the heater structure layers which act to firmly clamp the heater structure layers to lower layers.
  • a method of manufacturing a bend actuator direct ink supply print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • the print heads are preferably formed simultaneously on a single silicon wafer planar substrate.
  • the print heads are preferably formed utilising standard vlsi ulsi processing with the integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, wherein said device has a high young's modulus comprising the steps of initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; depositing a first sacrificial layer on top of the silicon and circuitry wafer layer and etching said first sacrificial layer in an area defining a first portion of a nozzle chamber wall; depositing a first heater material layer having a high young's modulus and forming a first layer of the thermal actuator and a portion of said nozzle chamber wall; depositing a second heater material layer being conductive and being provided for the heating of said first material layer and further having a portion interconnected to said circuitry wafer layer for heating said second heater material; depositing a second sacrificial layer and
  • the nozzle chamber walls can include a thin membrane utilized by said thermal actuator as a pivot point and the nozzle wall material layer can include a series of small etchant holes for assisting in the etching of said sacrificial layers.
  • an array of nozzles are formed on a single wafer layer utilizing planar monolithic deposition, lithographic and etching processes.
  • Standard vlsi ulsi processing can be used.
  • the silicon and circuitry layer can comprise a CMOS process and the ink is ejected from said substrate substantially normal to said substrate.
  • a method of manufacturing a high young's modulus thermoelastic Inkjet ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with the integrated drive electronics preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • a method of manufacturing a thermally actuated slotted chamber wall ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • an ink jet printer having a thermal actuator comprising an external coil spring wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching an ink inlet aperture in the circuitry wafer layer; (c) depositing and etching a first sacrificial layer on top of the silicon and circuitry wafer layer and etching the first sacrificial layer in an area defining a first portion of a nozzle chamber wall, a thermal actuator anchor and a thermal actuator end point; (d) depositing and etching a first inert material layer in defining a first actuator path starting at the thermal actuator anchor; (e) depositing and etching a first conductive material layer adjacent the first actuator path and attached to the first inert material layer
  • the conductive material layers are preferably formed from a material having a high Youngs modulus such as titanium nitride.
  • the first and second inert material layers can comprise substantially glass.
  • the first actuator path can comprise substantially a coil.
  • a method of manufacture of an ink jet printer which includes a trough having side walls and an exposed roof, the trough being substantially filled with fluid during operation; a paddle vane located within the trough and offset from one wall when the paddle vane is in a quiescent position; an actuation mechanism attached to the paddle vane such that, upon activation of the actuation mechanism, the paddle vane is caused to move towards the one wall, resulting in an increase in pressure in the fluid between the one wall and the paddle vane, resulting in a consequential ejection of fluid via the exposed roof, the method comprising the steps of (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching the trough in the surface of the wafer; (c) creating the actuation mechanism and the paddle vane on the silicon wafer by means of
  • a method of manufacturing a Dual Chamber Single Vertical Actuator Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacturing a dual nozzle single horizontal fulcrum actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet printer which preferably can include a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture, the method comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the actuator mechanism on demand; (b) etching a trough in the wafer to provide for an ink supply channel through a portion of the wafer; (c) creating the nozzle chamber and the actuation mechanism and
  • the step (c) can comprise the steps of: (i)depositing and etching a first series of sacrificial layers to form a first supporting structure; (ii)depositing and etching a first non-conductive material layer to form a first structure including a portion of the nozzle chamber and a first portion of the actuation mechanism; (iii)depositing and etching a first conductive material layer to form a lower heater structure of the actuation mechanism; (iv)depositing and etching a second non-conductive material layer forming a central portion of the actuation mechanism, a portion of the nozzle wall and the panel vane in addition to a supporting structure for an upper heater structure; (v)depositing and etching a second conductive material layer to form an upper heater structure of the actuation mechanism; (vi)depositing and etching a further third non-conductive material layer so as to form the paddle mechanism, the nozzle chamber walls and a portion affixing one end of the actuation
  • the first and second conductive material can comprise substantially a copper nickel alloy and the non- conductive material can comprise substantially silicon dioxide.
  • the sacrificial layers can comprise substantially glass and/or aluminium.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacture of an ink jet printer having a dual nozzle single horizontal actuator wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing and integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching at least one nozzle chamber pit in the circuitry wafer layer and the silicon wafer; (c) depositing and etching a sacrificial layer filling the ink chamber pit, the etching including etching a first portion of a nozzle chamber wall mould and an actuator anchor mould; (d) depositing and etching a first inert material layer, filling the nozzle chamber wall mould and the actuator anchor mould, the etching including etching a via to the electrical circuitry; (e) depositing and etching a first conductive material layer defining a lower heater element conductively interconnected
  • the step (k) preferably can include etching an interconnecting channel to one of the nozzle chamber pits.
  • the number of ink ejection nozzles can be at least two.
  • the conductive material layers are preferably formed from a material having a high Youngs modulus such as titanium nitride or a copper nickel alloy.
  • the inert material layers can comprise substantially glass.
  • a method of manufacturing a single bend actuator cupped paddle ink jet print nozzle wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet printing nozzle arrangement on a wafer including: an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber, the paddle vane including a concave surface in the area adjacent the ink ejection nozzle; and an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; the method comprising the steps of: forming an etched pit in the wafer; depositing and etching a series of layers on a wafer so as to from an array of ink jet nozzle arrangements, the layers including at least one paddle vane formation layer, the paddle vane formation layer being deposited over portions of the etched pit resulting in the formation of the concave surface of the paddle vane.
  • the paddle vane formation layer can be deposited on a sacrificial layer deposited before the paddle vane formation layer, the sacrificial layer forming a concave surface profile as a result of deposition over the etched pit.
  • the maximum thickness of any one of the deposited layers can be 6 microns.
  • the series of layers are preferably each of a low thickness outside of the etched pit so as to cause minimal thermal stress on the wafer layer.
  • the actuator means can be initially constructed on a sacrificial layer which can be subsequently etched away, such that when the sacrificial layer can be etched away, the paddle vane can be moved by the actuator means from a constrained position having the paddle vane close to the ink ejection nozzle to a quiescent position having the paddle vane positioned further away from the ink ejection nozzle.
  • the paddle vane formation layer is deposited on a sacrificial layer deposited before the paddle vane formation layer, the sacrificial layer forming a concave surface profile as a result of deposition over the etched pit.
  • the maximum thickness of any one of the deposited layers is 6 ⁇ m and the series of layers are each of a low thickness outside of the etched pit so as to cause minimal thermal stress on the wafer layer.
  • the actuator means can be initially constructed on a sacrificial layer which is subsequently etched away, such that when the sacrificial layer is etched away, the paddle vane is moved by the actuator means from a constrained position having the paddle vane close to the ink ejection nozzle to a quiescent position having the paddle vane positioned further away from the ink ejection nozzle.
  • the paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into the ink chamber.
  • a method of manufacture of a thermally actuated ink jet printer having a series of thermal actuator units wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate.
  • the substrate can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the electrical circuitry layer so as to define a nozzle chamber area; (c) depositing and etching a first sacrificial layer, the etching defining a series of nozzle chamber walls and an actuator anchor point; (d) depositing a first heater material layer; (e) depositing an intermediate material layer; (f) etching the first heater material layer and the intermediate material layer to define portions of an actuator, ejection paddle and nozzle chamber walls; (g) depositing and etching a second sacrificial layer, the etching including etching a cavity defining a portion of the nozzle chamber walls; (h) depositing and etching a further
  • the intermediate layer can comprise substantially glass.
  • the first heater material layer can comprise substantially Titanium Nitride.
  • the steps further can include the step of etching anti-wicking notches in the surface of the circuitry layer. Further, there is preferably included the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects and the etching of layers preferably can includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
  • the wafer can comprise a double side polished CMOS wafer.
  • the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • the steps aforementioned are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacture of an ink jet printing nozzle arrangement on a wafer including: an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber, the paddle vane including a concave surface in the area adjacent the ink ejection nozzle; and an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; the method comprising the steps of:
  • step (g) etching the sacrificial layers away.
  • step (c) includes utilizing a single mask to etch the layers and step (c) further includes depositing two layers of substantially the same thermal properties, one of the layers including the an operational portion of the actuator, such that, upon cooling of the layers, the two layers are in a state of thermal tension between one other.
  • Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing and the integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) depositing and etching a first material layer, the first material having a high coefficient of thermal expansion, the etching including etching for vias through the first material layer for electrical interconnection of subsequently deposited layers with the circuitry layer; (d) depositing and etching a conductive material layer on the first material layer, the etching resulting in the conductive material layer forming a heater pattern;
  • the step (f) can comprise performing a crystallographic etch of the wafer utilizing slots created as a result of etching the second material layer.
  • the crystallographic etch forms a nozzle chamber having an inverted -square pyramid shape.
  • the step (g) can comprise a through wafer etch from a back surface of the wafer.
  • the first material layer or the second material layer can comprise substantially polytetrafluroethylene and the conductive material layer can comprise substantially gold, copper or aluminum.
  • a method of manufacturing a Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi ulsi processing and the integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • the method of manufacture can comprise the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) depositing and etching a first material layer, the first material having a high coefficient of thermal expansion, the etching including etching for vias through the first material layer for electrical interconnection of subsequently deposited layers with the circuitry layer; (d) depositing and etching a conductive material layer on the first material layer, the etching resulting in the conductive material layer forming a heater pattern; (e) depositing and etching a second material layer, the second material layer having a high coefficient of thermal expansion, the etching defining a nozzle chamber rim and a rim at the edge of the nozzle chamber; (f) etching the wafer to define the nozzle chamber; (g) etching an ink supply channel through the wafer in fluid communication with the nozzle chamber.
  • the step (f) can comprise performing
  • the crystallographic etch forms a nozzle chamber having an inverted square pyramid shape.
  • the step (g) can comprise a through wafer etch from a back surface of the wafer.
  • the first material layer or the second material layer can comprise substantially polytetrafluroethylene and the conductive material layer can comprise substantially gold, copper or aluminum.
  • a method of manufacture of a Surface Bend Actuator Vented Ink Supply Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • the substrate can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) plasma etching the wafer in the area of the nozzle cavity area to create a nozzle chamber; (d) depositing and etching a first sacrificial layer so as to fill the nozzle chamber; (e) etching the first sacrificial layer to create an actuator end cavity volume; (f) depositing and etching a first material layer over the first sacrificial layer so as to fill the end cavity volume and to form a lower portion of a thermal actuator unit on the sacrificial layer; (g) depositing and etching a conductive heater layer on top of the lower portion, the conductive heater layer forming a heater element on the lower portion, the
  • the step (i) further preferably can includes etching the layer to form a rim around the slot and the nozzle.
  • the wafer can comprise a double side polished CMOS wafer and the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • the first material layer and the second material layer can comprise a non conductive material having a high coefficient of thermal expansion such as polytetrafluroethylene.
  • the conductive material layer can comprise substantially gold, copper or aluminum.
  • a method of constructing a heater for heating a micro mechanical structure by means of an electric heater element comprising the step of constructing said heater in a corrugated form so as to improve the rate of thermal transfer to said micro mechanical structure.
  • a thermal actuator comprising a first conductive material encased in a second non-conductive, expansive material, wherein the first constructive material is constructed in the form of a corrugated heater element so as to increase the rate of thermal transfer to the second non- conductive, expansive material. It is an object of the present invention to provide a more efficient form of operation of a pumping device when constructed on the small scale.
  • a micro-mechanical liquid pumping system having a pumping actuator means including a hydrophobic surface surrounded by other hydrophobic surfaces, a method of reducing the operation energy requirements of said pumping actuator means comprising the step of providing an air inlet in fluid communication with said hydrophobic surface, said air inlet allowing the flow of air into and out of the volume adjacent said hydrophobic surface.
  • the air inlet is surrounded by hydrophobic material and includes a plurality of small spaced apart holes.
  • the micro-electro mechanical system comprises an ink jet printing device.
  • a method to reduce the possibility of laminar separation of planar actuation components fixed at one end to a substrate comprising the step of constructing a fixed clamping structure on top of the planar actuation components at the end fixed to the substrate.
  • the fixed clamping structure is of a grill form and comprises substantially nitride and the micro-electro mechanical system is an ink jet printing device.
  • the micro-electro mechanical system is an ink jet printing device.
  • a thermal actuator comprising a substantially non-conductive, expansive material inte ⁇ osted with a series of quantum wires of a substantially conductive material.
  • the non-conductive, expansive material comprises substantially polytetrafluoroethylene and the conductive material comprises substantially copper.
  • a thermal actuator comprising a first and second layers of substantially non-conductive, expansive material, wherein one of the layers comprises quantum wires of a substantially conductive material inte ⁇ osted with the non-conductive, expansive material.
  • the non-conductive, expansive material comprises substantially polytetrafluorothylene and the conductive material comprises substantially copper.
  • a micro-electro mechanical system for controlling the flow of a liquid, this system being constructed in a large planar form, a method of reducing the effect of impurities in the liquid comprising the step of fabricating an integral grill structure in the path of the flow of the liquid so as to filter foreign bodies in the liquid.
  • the micro-electro mechanical system comprises an ink jet printing system and the grill forms one wall of a nozzle chamber for filtering ink entering the nozzle chamber.
  • the filter comprises substantially nitride.
  • a micromechanical thermal actuator comprising a first material having a high coefficient of thermal expansion and a se ⁇ entine heater material having a lower coefficient of thermal expansion in thermal contact with the first material and adapted to heat the first material on demand, wherein the se ⁇ entine heater material being elongated upon heating so as to accommodate the expansion of first material.
  • a micro-mechanical thermal actuator comprising a first layer having a first coefficient of thermal expansion, a second layer having a relatively higher coefficient of thermal expansion than the first layer, and a heater element in thermal contact with the first and second layers such that, on heating the heater, the actuator moves from a first quiescent position to a second actuation position.
  • the heater element comprises a se ⁇ entine layer of poly-silicon, which is sandwiched between the first and second layers.
  • the first layer comprises polytetrafluoroethylene
  • the second layer comprises silicon dioxide or silicon nitride.
  • a micro-mechanical liquid pumping system including an actuator device for instigating the pumping, wherein the actuator has a first surface for applying pressure to the liquid and a second surface opposite the first surface which is in fluid communication with the fluid, comprising utilising a hydrophobic material for the second surface. Further the surfaces surrounding the second surface are also hydrophobic.
  • the micro-electro mechanical system comprises an ink jet printing device.
  • a thermal actuator comprising a series of independently heatable resistive elements connected to a common line formed on an actuation substrate such that, when heated they together provide, through means of thermal expansion, for the controlled movement in a vertical and a horizontal direction of an end point of the thermal actuator.
  • the resistive elements are formed from a conductive material having a low coefficient of thermal expansion and an actuation material having a high coefficient of thermal expansion such that, upon heating, said actuation material is able to expand substantially unhindered by the conductive material.
  • the conductive material undergoes a concertinaing action upon expansion and contraction, and is formed in a se ⁇ entine or helical form.
  • the common line comprises a conductive material having a series of spaced apart slots suitable for increasing the degree of bending of the conductive material.
  • the actuation material is formed around the conductive material including the slots. The actuator is attached to a lower substrate and the series of resistive elements include two heater elements arranged on a lower portion of the actuation substrate and a single heater and the common line formed upon portion of the action substrate.
  • the actuation material comprises substantially polytetrafluoroethylene.
  • One end of the thermal actuation is surface treated so as to increase its coefficient of friction. Further, one end of the thermal actuator comprises only the actuation material.
  • a cilia array of thermal actuators comprising one end that is driven so as to continuously engage a moveable load so as to push it in one direction only. Further, adjacent thermal actuators in the cilia array are grouped into different groups with each group being driven together in a different phase cycle from adjacent groups. Preferably the number of phases is four.
  • a method of constructing a micro electromechanical structure system on a semiconductor wafer having an integrated circuit layer or layers, such as a multi-level CMOS process, formed thereon and utilizing a sacrificial etchant to etch predetermined sacrificial portions of the structure including the step of utilizing the non corrosive layers such as the metal layers of the integrated circuit layer to form a protective barrier between portions of the integrated circuitry layer which are required to be etched away in the construction of the structures and other portions of the integrated circuit layer which are not to be etched during the construction of the structures.
  • the non corrosive layer can comprises a metal layer of the integrated circuit layer.
  • a lever arrangement for interconnecting a first lever arm with a second lever arm, the arrangement comprising the first lever arm having two thinned leaf arms each connected to either side of a second lever arm such that movement of the first lever arm relative to the second lever arm causes one of the thinned leaf arms to pull on an end of the second lever arm whilst allowing for resilient rotation of the rod relative to the arm, the arms resiliently flexing with the rotation of the arms.
  • the second lever arm is interconnected to a third lever arm and the second lever arm is mounted on a leafed wall so as to pivot at the wall and the wall comprises a thinned flexible portions adjacent the lever arm, the arm pivoting around the wall, with one of the thinned flexible portions pulling on the lever arm so as to cause the pivoting.
  • the third lever arm also contains two thinned leaf arms connected to either side of the second lever arm such that movement of the second lever arm relative to the third lever arm causes one of the arms to pull on an end of the rod whilst allowing for resilient rotation of the rod relative to the arm.
  • the arrangement can constructed as a micro-electro mechanical system on a silicon wafer.
  • the first lever arm is substantially at right angles to the second lever arm with the thinned leaf arms interconnected substantially at one end of the lever arm.
  • a method of improving the registration accuracy of the interconnection comprising the step of forming a guide rail on the device spaced adjacent to the bond pads for abutting the external power and communication lines against so as to accurately position the lines for interconnection with the bond pads.
  • the bond pads are arranged in a line along one edge of the integrated circuit type device and the lines are in the form of a Tape Automated Bonding strip.
  • the guide rail can be formed utilising a standard micromechanical systems deposition process and is ideally utilized in a pagewidth ink jet printing system.
  • Fig. 1 is cross-sectional view, partly in section, of a single ink nozzle constructed in accordance with an embodiment of the present invention
  • Fig. 2 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention
  • Fig. 3 provides a legend of the materials indicated in Fig. 4 to Fig. 19;
  • Fig. 4 to Fig. 19 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 20 is a cross-sectional schematic diagram of the inkjet nozzle chamber in its quiescent state;
  • Fig. 21 is a cross-sectional schematic diagram of the inkject nozzle chamber during activation of the first actuator to eject ink;
  • Fig. 22 is a cross-sectional schematic diagram of the inkjet nozzle chamber after deactivation of the first actuator
  • Fig. 23 is a cross-sectional schematic diagram of the inkjet nozzle chamber during activation of the second actuator to refill the chamber
  • Fig. 24 is a cross-sectional schematic diagram of the inkjet nozzle chamber after deactivation of the actuator to refill the chamber;
  • Fig. 25 is a cross-sectional schematic diagram of the inkjet nozzle chamber during simultaneous activation of the ejection actuator whilst deactivation of the pump actuator;
  • Fig. 26 is a top view cross-sectional diagram of the inkjet nozzle chamber.
  • Fig. 27 is an exploded perspective view illustrating the construction of the inkjet nozzle chamber in accordance with an embodiment.
  • Fig. 28 provides a legend of the materials indicated in Fig. 29 to Fig. 41; and Fig. 29 to Fig. 41 illustrate sectional views of the manufacturing steps in one form of construction of an inkjet printhead nozzle.
  • Fig. 42 is a perspective, partly sectional view of a single ink jet nozzle in its quiescent position constructed in accordance with an embodiment
  • Fig. 43 is a perspective, partly sectional view of a single ink jet nozzle in its firing position constructed in accordance with an embodiment
  • Fig. 44 is an exploded perspective illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 45 provides a legend of the materials indicated in Fig. 46 to Fig. 60; and Fig. 46 to Fig. 60 illustrate sectional views of the manufacturing steps in one form of construction of an inkjet printhead nozzle.
  • Fig. 61 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 62 is a perspective view, partly in section, of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 63 provides a legend of the materials indicated in Fig. 64 to Fig. 76;
  • Fig. 64 to Fig. 76 illustrate sectional views of the manufacturing steps in one form of construction of an inkjet printhead nozzle.
  • Fig. 77 is a top view of a single ink nozzle chamber constructed in accordance with the principals of an embodiment, with the shutter in a close state;
  • Fig. 78 is a top view of a single ink nozzle chamber as constructed in accordance with an embodiment with the shutter in an open state;
  • Fig. 79 is an exploded perspective view illustrating the construction of a single ink nozzle chamber in accordance with an embodiment of the present invention.
  • Fig. 80 provides a legend of the materials indicated in Fig. 81 to Fig. 93; and
  • Fig. 81 to Fig. 93 illustrate sectional views of the manufacturing steps in one form of construction of an inkjet printhead nozzle.
  • Fig. 94 is a perspective view of the top of a print nozzle pair
  • Fig. 95 illustrates a partial, cross-sectional view of one shutter and one arm of the thermocouple utilised in an embodiment
  • Fig. 96 is a timing diagram illustrating the operation of an embodiment
  • Fig. 97 illustrates an exploded perspective view of a pair of print nozzles constructed in accordance with an embodiment.
  • Fig. 98 provides a legend of the materials indicated in Fig. 99 to Fig. 113; and Fig. 99 to Fig. 113 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 1 14 is a cross-sectional perspective view of a single ink nozzle arrangement constructed in accordance with an embodiment, with the actuator in its quiescent state;
  • Fig. 115 is a cross-sectional perspective view of a single ink nozzle arrangement constructed in accordance with an embodiment, in its activated state;
  • Fig. 116 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention.
  • Fig. 117 provides a legend of the materials indicated in Fig. 118 to Fig. 131; and Fig. 118 to Fig. 131 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 132 is a schematic cross-sectional view illustrating an ink jet printing device constructed in accordance with an embodiment.
  • Fig. 133 is a perspective view of one single nozzle arrangement constructed in accordance with an embodiment.
  • Fig. 134 is a timing diagram illustrating the various phases of the inkjet printing device.
  • Fig. 135 is a cross-sectional schematic diagram illustrating a single nozzle chamber in its idle phase.
  • Fig. 136 is a cross-sectional schematic diagram illustrating a single nozzle chamber in its ejection phase.
  • Fig. 137 is a cross-sectional schematic diagram of a single ink nozzle in its separation phase.
  • Fig. 138 is a schematic cross-sectional diagram illustrating a single ink nozzle in its refilling phase.
  • Fig. 139 is a cross-sectional schematic diagram illustrating a single ink nozzle after returning to its idle phase.
  • Fig. 140 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention.
  • Fig. 141 provides a legend of the materials indicated in Fig. 142 to Fig. 153; and Fig. 142 to Fig. 153 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 154 is a perspective view of the actuator portions of a single ink jet nozzle in a quiescent position, constructed in accordance with an embodiment
  • Fig. 155 is a perspective view of the actuator portions of a single ink jet nozzle in a quiescent position constructed in accordance with an embodiment
  • Fig. 156 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 157 provides a legend of the materials indicated in Fig. 158 to Fig. 169;
  • Fig. 158 to Fig. 169 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 170 is a cross-sectional view of a single inkjet nozzle constructed in accordance with an embodiment, in its quiescent state;
  • Fig. 171 is a cross-sectional view of a single inkjet nozzle constructed in accordance with an embodiment, in its activated state;
  • Fig. 172 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
  • Fig. 173 is a cross-sectional schematic diagram illustrating the construction of a corrugated conductive layer in accordance with an embodiment of the present invention.
  • Fig. 174 is a schematic cross-sectional diagram illustrating the development of a resist material through a half- toned mask utilised in the fabrication of a single inkjet nozzle in accordance with an embodiment
  • Fig. 175 is a top view of the conductive layer only of the thermal actuator of a single inkjet nozzle constructed in accordance with an embodiment
  • Fig. 176 provides a legend of the materials indicated in Fig. 177 to Fig. 188; and Fig. 177 to Fig. 188 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 189 is a cut out topside view illustrating two adjoining inject nozzles constructed in accordance with an embodiment
  • Fig. 190 is an exploded perspective view illustrating the construction of a single inject nozzle in accordance with an embodiment
  • Fig. 191 is a sectional view through the nozzles of Fig. 189;
  • Fig. 192 is a sectional view through the line IV-IV of Fig. 191;
  • Fig. 193 provides a legend of the materials indicated in Fig. 194 to Fig. 207; and
  • Fig. 194 to Fig. 207 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 208 is a perspective view partly in sections of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 209 is an exploded perspective view partly in section illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention
  • Fig. 210 provides a legend of the materials indicated in Fig. 211 to Fig. 223;
  • Fig. 211 to Fig. 223 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 224 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention
  • Fig. 225 is a plan view taken from above of relevant portions of an ink jet nozzle constructed in accordance with an embodiment
  • Fig. 226 is a cross-sectional view through a single nozzle arrangement, illustrating a drop being ejected out of the nozzle aperture;
  • Fig. 227 provides a legend of the materials indicated in Fig. 228 to Fig. 240; and Fig. 228 to Fig. 240 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 241 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, in its quiescent state;
  • Fig. 242 is a cross-sectional schematic diagram of a single ink jet nozzle constructed in accordance with an embodiment, illustrating the activated state
  • Fig. 243 is a schematic cross-sectional diagram of a single inkjet nozzle illustrating the deactivation state
  • Fig. 244 is a schematic cross-sectional diagram of a single ink jet nozzle constructed in accordance with an embodiment, after returning into its quiescent state;
  • Fig. 245 is a schematic, cross-sectional perspective diagram of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 246 is an array view of a group of ink jet nozzles
  • Fig. 247 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 248 provides a legend of the materials indicated in Fig. 249 to Fig. 260;
  • Fig. 249 to Fig. 260 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 261 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment
  • Fig. 262 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, with the thermal actuator in its activated state
  • Fig. 263 is a schematic diagram of the conductive layer utilised in the thermal actuator of the ink jet nozzle constructed in accordance with an embodiment
  • Fig. 264 is a close-up perspective view of portion A of Fig. 263;
  • Fig. 265 is a cross-sectional schematic diagram illustrating the construction of a corrugated conductive layer in accordance with an embodiment of the present invention
  • Fig. 266 is a schematic cross-sectional diagram illustrating the development of a resist material through a half- toned mask utilised in the fabrication of a single inkjet nozzle in accordance with an embodiment
  • Fig. 267 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • Fig. 268 is a perspective view of a section of an ink jet print head configuration utilising ink jet nozzles constructed in accordance with an embodiment.
  • Fig. 269 provides a legend of the materials indicated in Fig.270 to Fig. 283;
  • Fig. 270 to Fig. 283 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 284 to Fig. 286 illustrate basic operation of an embodiments
  • Fig. 287 is a sectional view of an embodiment
  • Fig. 288 is an exploded perspective view of an embodiment
  • Fig. 289 to Fig. 298 are cross-sectional views illustrating various steps in the construction of an embodiment.
  • Fig. 299 illustrates a top view of an array of ink jet nozzles constructed in accordance with the principles of the present invention.
  • Fig. 300 provides a legend of the materials indicated in Fig. 301 to Fig. 312;
  • Fig. 301 to Fig. 312 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 313 illustrates a single inkjet nozzle structure of an embodiment
  • Fig. 314 is an exploded perspective view of the arrangement of Fig. 313;
  • Fig. 315 to Fig. 317 illustrate the operation of an embodiment
  • Fig. 318 illustrates an array of nozzles as formed for the pu ⁇ oses of utilisation in an inkjet print head.
  • Fig. 319 provides a legend of the materials indicated in Fig. 320 to Fig. 331 ;
  • Fig. 320 to Fig. 331 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 332 illustrates a perspective view of an inkjet nozzle arrangement in accordance with an embodiment
  • Fig. 333 illustrates the arrangement of Fig. 332 when the actuator is in an activated position
  • Fig. 334 illustrates an exploded perspective view of the major components of an embodiment
  • Fig. 335 provides a legend of the materials indicated in Fig. 336 to Fig. 347;
  • Fig. 336 to Fig. 347 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 348 illustrates a single ink ejection mechanism as constructed in accordance with the principles of an embodiment
  • Fig. 355 to Fig. 369 illusttate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 370 is a descriptive view of an ink ejection arrangement when in a quiescent state
  • Fig. 371 is a descriptive view of an ejection arrangement when an activated state
  • Fig. 372 is an exploded perspective view of the different components of an ink ejection arrangement
  • Fig. 373 illustrates a cross section through the line IV -IV of Fig. 370;
  • Fig. 374 to Fig. 393 illustrate the various manufacturing steps in the construction of an embodiment
  • Fig. 394 illustrates a portion of an array of ink ejection arrangements as constructed in accordance with an embodiment.
  • Fig. 395 provides a legend of the materials indicated in Fig. 396 to Fig. 407;
  • Fig. 396 to Fig. 407 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 408 to Fig.412 comprise schematic illustrations of the operation of an embodiment
  • Fig. 413 illustrates a side perspective view, of a single nozzle arrangement of an embodiment.
  • Fig. 414 illustrates a perspective view, partly in section of a single nozzle arrangement of an embodiment;
  • Fig. 415 to Fig. 434 are cross sectional views of the processing steps in the construction of an embodiment
  • Fig.435 illustrates a part of an array view of a portion of a printhead as constructed in accordance with the principles of the present invention
  • Fig. 436 provides a legend of the materials indicated in Fig. 437 to Fig.449; and Fig. 437 to Fig. 451 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 452 to Fig. 456 illusttate schematically the principles operation of an embodiment
  • Fig. 457 is a perspective view, partly in section of one form of construction of an embodiment
  • Fig. 458 to Fig. 475 illustrate various steps in the construction of an embodiment
  • Fig. 476 illustrates an array view illustrating a portion of a printhead constructed in accordance with an embodiment.
  • Fig. 477 provides a legend of the materials indicated in Fig. 478 to Fig. 493;
  • Fig. 478 to Fig. 494 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 495 to Fig. 499 comprise schematic illustrations of the operation of an embodiment
  • Fig. 500 illustrates a perspective view, of a single nozzle arrangement of an embodiment
  • Fig. 501 illustrates a perspective view, partly in section of a single nozzle arrangement of an embodiment
  • Fig. 502 to Fig. 520 are cross sectional views of the processing steps in the construction of an embodiment
  • Fig. 521 illustrates a part of an array view of a printhead as constructed in accordance with the principles of the present invention.;
  • Fig. 522 provides a legend of the materials indicated in Fig. 523 to Fig. 541;
  • Fig. 523 to Fig. 541 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 542 to Fig. 544 are schematic illustrations of the operational principles of an embodiment
  • Fig. 545 illustrates a perspective view, partly in section of a single inkjet nozzle of an embodiment
  • Fig. 546 is a side perspective view of a single inkjet nozzle of an embodiment
  • Fig. 547 to Fig. 556 illustrate the various manufacturing processing steps in the construction of an embodiment
  • Fig. 557 illustrates a portion of an array view of a printhead having a large number of nozzles, each constructed in accordance with the principles of the present invention.
  • Fig. 558 provides a legend of the materials indicated in Fig. 559 to Fig. 569;
  • Fig. 559 to Fig. 569 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 570 to Fig. 572 illusttate the basic operational principles of an embodiment
  • Fig. 573 is a side perspective view of a single inkjet nozzle arrangement constructed in accordance with an embodiment
  • Fig. 574 is a side perspective view of a portion of an array of a printhead constructed in accordance with the principles of an embodiment;
  • Fig. 575 provides a legend of the materials indicated in Fig. 576 to Fig. 585;
  • Fig. 576 to Fig. 585 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle
  • Fig. 586 to Fig. 588 illustrate the operational principles of an embodiment
  • Fig. 589 is a side perspective view of a single nozzle arrangement of an embodiment
  • Fig. 590 illustrates a side sectional view of a single nozzle arrangement
  • Fig. 591 and Fig. 592 illustrate operational principles of an embodiment
  • Fig. 593 to Fig. 600 illustrate the manufacturing steps in the construction of an embodiment
  • Fig. 601 illustrates a top plan view of a single nozzle
  • Fig. 602 illustrates a portion of a single color printhead device
  • Fig. 603 illustrates a portion of a three color printhead device
  • Fig. 604 provides a legend of the materials indicated in Fig. 605 to Fig. 614;
  • Fig. 605 to Fig. 614 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 615 to Fig. 617 are schematic sectional views illustrating the operational principles of an embodiment
  • Fig. 618(a) and Fig. 618(b) are again schematic sections illustrating the operational principles of the thermal actuator device
  • Fig. 619 is a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with an embodiments;
  • Fig. 620 to Fig. 627 side perspective views partly in section illustrating the manufacturing steps of an embodiments; and
  • Fig. 628 illustrates an array of ink jet nozzles formed in accordance with the manufacturing procedures of an embodiment
  • Fig. 629 provides a legend of the materials indicated in Fig. 630 to Fig. 637;
  • Fig. 630 to Fig. 637 illusttate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;
  • Fig. 638 to Fig. 640 are schematic sectional views illustrating the operational principles of an embodiment
  • Fig. 641(a) and Fig. 641(b) are again schematic sections illustrating the operational principles of the thermal actuator device
  • Fig. 642 is a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with an embodiments
  • FIG. 643 to Fig. 650 side perspective views partly in section illustrating the manufacturing steps of an embodiments.
  • Fig. 651 illustrates an array of ink jet nozzles formed in accordance with the manufacturing procedures of an embodiment.
  • Fig. 652 provides a legend of the materials indicated in Fig. 653 to Fig. 660;
  • Fig. 653 to Fig. 660 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle arrangement
  • Fig. 661 to Fig. 663 are schematic sectional views illustrating the operational principles of an embodiment
  • Fig. 664(a) and Fig. 664(b) illusttate the operational principles of the thermal actuator of an embodiment
  • Fig. 665 is a side perspective view of a single nozzle arrangement of an embodiment
  • Fig. 666 illusttates an array view of a portion of a print head constructed in accordance with the principles of an embodiment.
  • Fig. 667 provides a legend of the materials indicated in Fig. 668 to Fig. 676; and Fig. 668 to Fig. 677 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
  • Fig. 678 is a perspective view of an arrangement of four single thermal actuators constructed in accordance with a further embodiment.
  • Fig. 679 is a close-up perspective view, partly in section, of a single thermal actuator constructed in accordance with a further embodiment.
  • Fig. 680 is a perspective view of a single thermal actuator constructed in accordance with a further embodiment, illustrating the thermal actuator being moved up and to a side.
  • Fig. 681 is an exploded perspective view illustrating the construction of a single thermal actuator in accordance with a further embodiment
  • the preferred embodiments and other embodiments will be discussed under separate headings with the heading including an IJ number for ease of reference.
  • the headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.
  • an inkjet printer having nozzle chambers.
  • Each nozzle chamber includes a thermoelastic bend actuator that utilises a planar resistive material in the construction of the bend actuator.
  • the bend actuator is activated when it is required to eject ink from a chamber.
  • Fig. 1 there is illustrated a cross-sectional view, partly in section of a nozzle arrangement 210 as constructed in accordance with an embodiment.
  • the nozzle arrangement 210 can be formed as part of an array of nozzles fabricated on a semi-conductor wafer utilising techniques known in the production of micro-elecfro-mechanical systems (MEMS).
  • MEMS micro-elecfro-mechanical systems
  • the nozzle arrangement 210 includes a boron doped silicon wafer layer 212 which can be constructed by a back etching a silicon wafer 218 which has buried boron doped EPITAXIAL LAYER.
  • the boron doped layer can be further etched so as to define a nozzle hole 213 and rim 214.
  • the nozzle arrangement 210 includes a nozzle chamber 216 which can be constructed by utilisation of an anisotropic crystallographic etch of the silicon portions 218 of the wafer.
  • a glass layer 220 which can comprise CMOS drive circuitry including a two level metal layer (not shown) so as to provide control and drive circuitry for the thermal actuator.
  • CMOS glass layer 220 On top of the CMOS glass layer 220 is provided a nitride layer 221 which includes side portions 222 which act to passivate lower layers from etching that is utilised in construction of the nozzle arrangement 210.
  • the nozzle arrangement 210 includes a paddle actuator 224 which is constructed on a nitride base 225 which acts to form a rigid paddle for the overall actuator 224.
  • an aluminium layer 227 is provided with the aluminium layer 227 being interconnected via the vias 228 to the lower CMOS circuitry so as to form a first portion of a circuit.
  • the aluminium layer 227 is interconnected at a point 230 to an Indium Tin Oxide (ITO) layer 229 which provides for resistive heating on demand.
  • ITO layer 229 includes a number of etch holes 231 for allowing the etching away of a lower level sacrificial layer which is formed between the layers 227, 229.
  • the ITO layer is further connected to the lower glass CMOS circuitry layer via the via 232.
  • a polytetrafluoroethylene layer which provides for insulation and the further form of rapid expansion of the top layer 229 upon heating as a result of passing a current through the bottom layer 227 and ITO layer 229 (not shown).
  • the back surface of the nozzle arrangement 210 is placed in an ink reservoir so as to allow ink to flow into nozzle chamber 216.
  • a current is passed through the aluminium layer 227 and
  • the aluminium layer 227 provides a very low resistance path to the current whereas the ITO layer 229 provides a high resistance path to the current.
  • Each of the layers 227, 229 are passivated by means of coating by a thin nitride layer (not shown) so as to insulate and passivate the layers from the surrounding ink.
  • the top of the actuator 224 expands more rapidly than the bottom portions of the actuator 224. This results in a rapid bending of the actuator 224, particularly around the point 235 due to the utilisation of the rigid nittide paddle arrangement 225. This accentuates the downward movement of the actuator 224 which results in the ejection of ink from ink ejection nozzle 213.
  • a gap 228 which can be constructed via utilisation of etching of sacrificial layers so as to dissolve away sacrificial material between the two layers.
  • ink is allowed to enter this area and thereby provides a further cooling of the lower surface of the actuator 224 so as to assist in accentuating the bending.
  • the actuator 224 Upon de-activation of the actuator 224, it returns to its quiescent position above the nozzle chamber 216.
  • the nozzle chamber 216 refills due to the surface tension of the ink through the gaps between the actuator 224 and the nozzle chamber 216.
  • the PTFE layer has a high coefficient of thermal expansion and therefore further assists in accentuating any bending of the actuator 224.
  • a current is passed through the planar layers 227, 229 resulting in resistive heating of the top layer 229 which further results in a general bending down of the actuator 224 resulting in the ejection of ink.
  • the nozzle arrangement 210 is mounted on a second silicon chip wafer which defines an ink reservoir channel to the back of the nozzle arrangement 210 for resupply of ink.
  • Fig. 2 there is illustrated an exploded perspective view illustrating the various layers of a nozzle arrangement 210.
  • the arrangement 210 can, as noted previously, be constructed from back etching to the boron doped layer.
  • the actuator 224 can further be constructed through the utilisation of a sacrificial layer filling the nozzle chamber 216 and the depositing of the various layers 225, 227, 229 and optional PTFE layer before sacrificially etching the nozzle chamber 216 in addition to the sacrificial material in area 228.
  • the nittide layer 221 includes side portions 222 which act to passivate the portions of the lower glass layer 220 which would otherwise be attacked as a result of sacrificial etching.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps: 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
  • CMOS process Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 4. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 3 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. 4. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the bend actuator electrode contact vias. This step is shown in Fig. 5.
  • sacrificial layer e.g. low stress glass
  • thermal blanket This material should be a non-conductive material with a very low Young's modulus and a low thermal conductivity, such as an elastomer or foamed polymer.
  • ITO indium tin oxide
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
  • each nozzle chamber having a nozzle ejection portal further includes two thermal actuators.
  • the first thermal actuator is utilised for the ejection of ink from the nozzle chamber while a second thermal actuator is utilised for pumping ink into the nozzle chamber for rapid ejection of subsequent drops.
  • ink chamber refill is a result of surface tension effects of drawing ink into a nozzle chamber.
  • the nozzle chamber refill is assisted by an actuator which pumps ink into the nozzle chamber so as to allow for a rapid refill of the chamber and therefore a more rapid operation of the nozzle chamber in ejecting ink drops.
  • Fig. 20 to Fig. 25 which represent various schematic cross sectional views of the operation of a single nozzle chamber, the operation of an embodiment will now be discussed.
  • a single nozzle chamber is schematically illustrated in section.
  • the nozzle arrangement 810 includes a nozzle chamber 811 filled with ink and a nozzle ink ejection port 812 having an ink meniscus 813 in a quiescent position.
  • the nozzle chamber 811 is interconnected to an ink reservoir 815 for the supply of ink to the nozzle chamber.
  • Two paddle-type thermal actuators 816, 817 are provided for the control of the ejection of ink from nozzle portal 812 and the refill of chamber 811. Both of the thermal actuators 816, 817 are controlled by means of passing an electrical current through a resistor so as to actuate the actuator.
  • the structure of the thermal actuators 816, 817 will discussed further herein after.
  • the arrangement of Fig. 20 illustrates the nozzle arrangement when it is in its quiescent or idle position.
  • the actuator 816 When it is desired to eject a drop of ink via the portal 812, the actuator 816 is activated, show in Fig. 21. The activation of activator 816 results in it bending downwards forcing the ink within the nozzle chamber out of the portal 812, thereby resulting in a rapid growth of the ink meniscus 813. Further, ink flows into the nozzle chamber 81 1 as indicated by arrow 819. The main actuator 816 is then retracted as illustrated in Fig. 22, which results in a collapse of the ink meniscus so as to form ink drop 820. The ink drop 820 eventually breaks off from the main body of ink within the nozzle chamber 811.
  • the actuator 817 is activated so as to cause rapid refill in the area around the nozzle portal 812.
  • the refill coming generally from ink flows 821, 822.
  • two alternative procedures are utilised depending on whether the nozzle chamber is to be fired in a next ink ejection cycle or whether no drop is to be fired.
  • the case where no drop is to be fired is illusttated in Fig. 24 and basically comprises the return of actuator 817 to its quiescent position with the nozzle portal area 812 refilling by means of surface tension effects drawing ink into the nozzle chamber 811.
  • the actuator 816 is activated simultaneously which is illustrated in Fig. 25 with the return of the actuator 817 to its quiescent position. This results in more rapid refilling of the nozzle chamber 811 in addition to simultaneous drop ejection from the ejection nozzle 812.
  • FIG. 26 there is a illustrated a section perspective view of an single nozzle arrangement 810 of an embodiment.
  • An embodiment can be constructed on a silicon wafer with a large number of nozzles 810 being constructed at any one time.
  • the nozzle chambers can be constructed through back edging a silicon wafer to a boron doped epitaxial layer 830 advising the boron doping as an etchant stop.
  • the boron doped layer is then further etched utilising the relevant masks to form the nuzzle portal 812 and nozzle rim 831.
  • the nozzle chamber proper is formed from a crystallographic etch of the portion of the silicon wafer 832.
  • the silicon wafer can include a two level metal standard CMOS layer 833 which includes the interconnect and drive circuitry for the actuator devices.
  • the CMOS layer 833 being interconnected to the actuators via appropriate vias.
  • On top of the CMOS layer 833 is placed a nittide layer 834.
  • the nitride layer is provided to passivate the lower CMOS layer 833 from any sacrificial etchant which is utilised to etch sacrificial material in construction of the actuators 816, 817.
  • the actuators 816, 817 can be constructed by filling the nozzle chamber 811 with a sacrificial material, such as sacrificial glass and depositing the actuator layers utilising standard micro-electro-mechanical systems (MEMS) processing techniques.
  • MEMS micro-electro-mechanical systems
  • a first PTFE layer 835 Following a copper layer 836 and a secondary PTFE layer 837. These layers are utilised with appropriate masks so as to form the actuators 816, 817.
  • the copper layer 836 is formed near the top surface of the corresponding actuators and is in a se ⁇ entine shape. Upon passing a current through the copper layer 836, the copper layer is heated. The copper layer 836 is encased in the PTFE layers 835, 837.
  • ptfe has a much greater coefficient of thermal expansion than copper (77010-6) and hence is caused to expand more rapidly than the copper layer 836, such that, upon heating, the copper se ⁇ entine shaped layer 836 expands via concertinaing at the same rate as the surrounding teflon layers.
  • the copper layer 836 is formed near the top of each actuator and hence, upon heating of the copper element, the lower PTFE layer 835 remains cooler than the upper PTFE layer 837. This results in a bending of the actuator so as to achieve its actuation effects.
  • the copper layer 837 is interconnected to the lower CMOS layer via means of vias eg 839. Further, the PTFE layers
  • Fig. 27 there is illusttated an exploded perspective of the various layers of any ink jet nozzle 810 as constructed in accordance with a single nozzle arrangement 810 of an embodiment.
  • the layers include the lower boron layer 830, the silicon and anisofropically etched layer 832, CMOS glass layer 833, nitride passivation layer 834, copper heater layer 836 and PTFE layers 835/837, which are illustrated in one layer but formed with an upper and lower teflon layer embedding copper layer 836.
  • CMOS process a poly, 2 metal CMOS process.
  • the metal layers are copper instead of aluminum, due to high current densities and subsequent high temperature processing.
  • Fig. 29 For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 28 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • sacrificial layer e.g. low stress glass
  • PTFE polytetrafluoroethylene
  • the print heads are mounted in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer. 21. Connect the print heads to their interconnect systems.
  • an array of ink jet nozzles is provided with each of the nozzles being under the influence of a outside pulsed magnetic field.
  • the outside pulsed magnetic field causes selected nozzles to eject ink from their ink nozzle chambers.
  • Fig. 42 and Fig. 43 there is illustrated a side perspective view, partly in section, of a single inkjet nozzle 910.
  • Fig. 42 illustrates a nozzle in a quiescent position
  • Fig. 43 illustrates a nozzle in an ink ejection position.
  • the ink jet nozzle 910 has an ink ejection port 911 for the ejection of ink on demand.
  • the ink jet ejection port 91 1 is connected to an ink nozzle chamber 912 which is usually filled with ink and supplied from an ink reservoir
  • a magnetic actuation device 925 is included and comprises a magnetic soft core 917 which is surrounded by a nittide coating eg. 918.
  • the nitride coating includes an end protuberance 927.
  • the magnetic core 917 operates under the influence of an external pulsed magnetic field. Hence, when the external magnetic field is very high, the actuator 925 is caused to move rapidly downwards and to thereby cause the ejection of ink from the ink ejection port 911.
  • Adjacent the actuator 920 is provided a locking mechanism 920 which comprises a thermal actuator which includes a copper resistive circuit having two arms 922, 924. A current is passed through the connected arms 922, 924 thereby causing them to be heated.
  • the arm 922 being of a thinner construction undergoes more resistive heating than the arm 924 which has a much thicker structure.
  • the arm 922 is also of a se ⁇ entine nature and is encased in polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion, thereby increasing the degree of expansion upon heating.
  • PTFE polytetrafluoroethylene
  • the copper portions expand with the PTFE portions by means of concertinaing.
  • the arm 924 has a thinned portion 929 (Fig. 44) which becomes the concentrated bending region in the resolution of the various forces activated upon heating. Hence, any bending of arm 924 is accentuated in the region 929 and upon heating, the region 929 bends so that end portion 926 (Fig. 42) moves out to block any downward movement of the edge 927 of the actuator 925.
  • the locking mechanism 920 when it is desired to eject an ink drop from a current nozzle chamber, the locking mechanism 920 is not activated and as a result ink is ejected from the ink ejection port during the next external magnetic pulse phase.
  • the locking mechanism 920 is activated to block any movement of the actuator 925 and therefore stop the ejection of ink from the chamber.
  • the actuator 920 is located within a cavity 928 such that the volume of ink flowing past arm 922 is extremely low whereas the arm 924 receives a much larger volume of ink flow during operation.
  • Fig. 44 there is illusttated an exploded perspective view of a single ink jet nozzle 910 illustrating the various layers which make up the nozzle.
  • the nozzle 910 can be constructed on a semiconductor wafer utilising standard semiconductor processing techniques in addition to those techniques commonly used for the construction of micro-electromechanical systems (MEMS).
  • MEMS micro-electromechanical systems
  • SPIE International Society for Optical Engineering
  • volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
  • the bottom level 930 is constructed the nozzle plate including the ink ejection port 911.
  • the nozzle plate 930 can be constructed from a buried boron doped epitaxial layer of a silicon wafer which has been back etched to the point of the epitaxial layer. The epitaxial layer itself is then etched utilising a mask so as to form the nozzle rim (not shown) and the nozzle hole 911.
  • the silicon wafer layer 932 which is etched so as to include the nozzle chamber 912.
  • the silicon layer 932 can be etched to contain substantially vertical side walls through the utilisation of high density, low pressure plasma etching such as that available from Surface Technology Systems and subsequently filled with sacrificial material which will be later etched away.
  • CMOS circuitry layer 933 On top of the silicon layer is deposited a two level CMOS circuitry layer 933 which comprises substantially glass in addition to the usual metal and poly layers.
  • the layer 933 includes the formation of the heater element contacts which can be constructed from copper.
  • the PTFE layer 935 can be provided as a departure from normal construction with a bottom PTFE layer being first deposited followed by the copper layer 934 and a second PTFE layer to cover the copper layer 934.
  • a nittide passivation layer 936 which acts to provide a passivation surface for the lower layers in addition to providing a base for a soft magnetic Nickel Ferrous layer 917 which forms the magnetic actuator portion of the actuator 925.
  • the nitride layer 936 includes bending portions 940 utilised in the bending of the actuator.
  • a nitride passivation layer 939 is provided so as to passivate the top and side surfaces of the nickel iron (NiFe) layer 917.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps: 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
  • Fig. 46 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 46. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 45 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations. 4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges of the print head chips. This step is shown in Fig. 47.
  • TiN titanium nitride
  • an oscillating ink reservoir pressure is utilised to eject ink from ejection nozzles.
  • Each nozzle has an associated shutter which normally blocks the nozzle. The shutter is moved away from the nozzle by an actuator whenever an ink drop is to be fired.
  • Fig. 61 there is illustrated an exploded perspective view of a single inkjet nozzle 1610 as constructed in accordance with the principles of the present invention.
  • the exploded perspective illustrates a single ink jet nozzle 1610.
  • the nozzles are formed as an array at a time on a bottom silicon wafer 1612.
  • the silicon wafer 1612 is processed so as to have two level metal CMOS circuitry which includes metal layers and glass layers 1613 and which are planarised after construction.
  • the CMOS metal layer has a reduced aperture 1614 for the access of ink from the back of silicon wafer 1612 via the larger radius portal 1615.
  • a bottom nitride layer 1616 is constructed on top of the CMOS layer 1613 so as to cover, protect and passivate the CMOS layer 1613 from subsequent etching processes. Subsequently, there is provided a copper heater layer 1618 which is sandwiched between two polytettafluoroethylene (PTFE) layers 1619,1620.
  • the copper layer 1618 consists of a circuit having two arms e.g. 1622,1623.
  • the copper layer 1618 is connected to lower CMOS layer 1613 through vias 1625,1626.
  • the copper layer 1618 and PTFE layers 1619,1620 are encapsulated within nitride borders e.g.
  • FIG. 1628 and nitride top layer 1629 which includes an ink ejection portal 1630 in addition to a number of sacrificial etched access holes 1632 which are of a smaller dimension than the ejection portal 1630 and are provided for allowing access of a etchant to lower sacrificial layers thereby allowing the utilisation of a the etchant in the construction of layers, 1618,1619,1620 and 1628.
  • Fig. 62 there is shown a cut-out perspective view of a fully constructed ink jet nozzle 1610.
  • the ink jet nozzle uses an oscillating ink pressure to eject ink from ejection port 1630.
  • Each nozzle has an associated shutter 1631 which normally blocks it.
  • the shutter 1631 is moved away from the ejection port 1630 opening by an actuator 1635 whenever an ink drop is to be fired.
  • the nozzles 1630 are connected to ink chambers which contain the actuators 1635. These chambers are connected to ink supply channels 1636 which are etched through the silicon wafer.
  • the ink supply channels 1636 are substantially wider than the nozzles 1630, to reduce the fluidic resistance to the ink pressure wave.
  • the ink channels 1636 are connected to an ink reservoir.
  • An ultrasonic transducer (for example, a piezoelectric transducer) is positioned in the reservoir. The transducer oscillates the ink pressure at approximately 100 KHz. The ink pressure oscillation is sufficient that ink drops would be ejected from the nozzle were it not blocked by the shutter 1631.
  • the shutters are moved by a thermoelastic actuator 1635.
  • the actuators are formed as a coiled se ⁇ entine copper heater 1623 embedded in polytettafluoroethylene (PTFE) 1619/1620.
  • PTFE polytettafluoroethylene
  • the current return trace 1622 from the heater 1623 is also embedded in the PTFE actuator 1635, the current return trace 1622 is made wider than the heater trace 1623 and is not se ⁇ entine. Therefore, it does not heat the PTFE as much as the se ⁇ entine heater 1623 does.
  • the se ⁇ entine heater 1623 is positioned along the inside edge of the PTFE coil, and the return trace is positioned on the outside edge. When actuated, the inside edge becomes hotter than the outside edge, and expands more. This results in the actuator 1635 uncoiling.
  • the heater layer 1623 is etched in a se ⁇ entine manner both to increase its resistance, and to reduce its effective tensile strength along the length of the actuator. This is so that the low thermal expansion of the copper does not prevent the actuator from expanding according to the high thermal expansion characteristics of the PTFE.
  • the shutter 1631 can be positioned between the fully on and fully off positions. This may be used to vary the volume of the ejected drop. Drop volume control may be used either to implement a degree of continuous tone operation, to regulate the drop volume, or both.
  • the actuator 1635 When data signals distributed on the print head indicate that a particular nozzle is turned on, the actuator 1635 is energised, which moves the shutter 1631 so that it is not blocking the ink chamber.
  • the peak of the ink pressure variation causes the ink to be squirted out of the nozzle 1630. As the ink pressure goes negative, ink is drawn back into the nozzle, causing drop break-off.
  • the shutter 1631 is kept open until the nozzle is refilled on the next positive pressure cycle. It is then shut to prevent the ink from being withdrawn from the nozzle on the next negative pressure cycle.
  • Each drop ejection takes two ink pressure cycles.
  • Preferably half of the nozzles 1610 should eject drops in one phase, and the other half of the nozzles should eject drops in the other phase. This minimises the pressure variations which occur due to a large number of nozzles being actuated.
  • the amplitude of the ultrasonic transducer can be altered in response to the viscosity of the ink (which is typically affected by temperature), and the number of drops which are to be ejected in the current cycle. This amplitude adjustment can be used to maintain consistent drop size in varying environmental conditions.
  • the drop firing rate can be around 50 KHz.
  • the ink jet head is suitable for fabrication as a monolithic page wide print head.
  • Fig. 62 shows a single nozzle of a 1600 dpi print head in "up shooter" configuration.
  • the wafer is processed so as to add CMOS layers 1613 with an aperture 1614 being inserted.
  • the nitride layer 1616 is laid down on top of the CMOS layers so as to protect them from subsequent etchings.
  • a thin sacrificial glass layer is then laid down on top of nitride layers 1616 followed by a first PTFE layer 1619, the copper layer 1618 and a second PTFE layer 1620. Then a sacrificial glass layer is formed on top of the PTFE layer and etched to a depth of a few microns to form the nittide border regions 1628. Next the top layer 1629 is laid down over the sacrificial layer utilising the mask for forming the various holes including the processing step of forming the rim 1640 on nozzle 1630. The sacrificial glass is then dissolved away and the channel 1615 formed through the wafer by means of utilisation of high density low pressure plasma etching such as that available from Surface Technology Systems.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
  • Fig. 64 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • the heater which is a 1 micron layer of a conductor with a low Young's modulus, for example aluminum or gold.
  • the print heads Mount the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • the package also includes a piezoelecfric actuator attached to the rear of the ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the inkjet operation.
  • an inkjet nozzle includes a shutter mechanism which interconnects the nozzle chamber with an ink supply reservoir, the reservoir being under an oscillating ink pressure. Hence, when the shutter is open, ink is forced through the shutter mechanism and out of the nozzle chamber. Closing of the shutter mechanism results in the nozzle chamber remaining in a stable state and not ejecting any ink from the chamber.
  • a single nozzle chamber 1710 as constructed in accordance with the principles of an embodiment.
  • the nozzle chamber 1710 can be constructed on a silicon wafer 171 1, having an electrical circuitry layer 1712 which contains the control circuitry and drive transistors.
  • the layer 1712 can comprise a two level metal CMOS layer or another suitable form of semi conductor processing layer.
  • Fig. 77 illustrates the shutter in a closed state while Fig. 78 illustrates the shutter when in an open state.
  • Fig. 79 illustrates an exploded perspective view of the various layers of the inkjet nozzle when the shutters are in an open state as illustrated in Fig. 78.
  • the nitride layer 1713 includes a series of slots e.g. 1715, 1716 and 1717 which allow for the flow of ink from an ink channel 1719 etched through the silicon wafer 171 1.
  • the nitride layer 1713 also preferably includes bottom portion 1720 which acts to passivate those exposed portions of lower layer 1712 which may be attacked in any sacrificial etch utilised in the construction of the nozzle chamber 1710.
  • the next layers include a polytettafluoroethylene (PTFE) layer 1722 having an internal copper structure 1723.
  • PTFE polytettafluoroethylene
  • the PTFE layers 1722 and internal copper portions 1723 comprise the operational core of the nozzle chamber arrangement 1710.
  • the copper layer 1723 includes copper end posts, e.g. 1725-1727, interconnecting se ⁇ entine copper portions 1730,1731.
  • the se ⁇ entine copper portions 1730,1731 are designed for concertinaing greatly upon heating.
  • the heating circuit is provided by means of interconnecting vias (not shown) between the end portions, e.g. 1725-1727, and lower level CMOS circuitry at CMOS level 1712. Hence when it is desired to open the shutter, a current is passed through the two portions 1730,1731 thereby heating up portions 1734,1735 of the PTFE layer 1722.
  • the PTFE layer has a very high co-efficient of the thermal expansion (approximately 77010 "6 ) and hence expands more rapidly than the copper portions 1730,1731.
  • the copper portions 1730,1731 are constructed in a se ⁇ entine manner which allows the se ⁇ entine structure to concertina to accommodate the expansion of the PTFE layer. This results in a buckling of the PTFE layer portions 1734,1735 which in turn results in a movement of the shutter portions e.g. 1737 generally in the direction 1738. The movement of the shutter 1737 in direction 1738 in turn results in an opening of the nozzle chamber
  • Fig. 77 there is illusttated the shutter in a closed position whereas in Fig. 78, there is illustrated an open shutter after activation by means of passing a current through the two copper portions 1730,1731.
  • the portions 1730,1731 are positioned along one side within the portions 1734,1735 so as to ensure buckling in the correct direction.
  • Nitride layers, including side walls 1740 and top portion 1741, are constructed to form the rest of a nozzle chamber 1710.
  • the top surface includes an ink ejection nozzle 1742 in addition to a number of smaller nozzles 1743 which are provided for sacrificial etching pu ⁇ oses.
  • the nozzles 1743 are much smaller than the nozzle 1742 such that, during operation, surface tension effects restrict any ejection of ink from the nozzles 1743.
  • the ink supply channel 1719 is driven with an oscillating ink pressure.
  • the oscillating ink pressure can be induced by means of driving a piezoelectric actuator in an ink chamber.
  • the shutter is opened forcing the drop of ink out of the nozzle 1742 during the next high pressure cycle of the oscillating ink pressure.
  • the ejected ink is separated from the main body of ink within the nozzle chamber 1710 when the pressure is reduced. The separated ink continues to the paper.
  • the shutter is kept open so that the ink channel may refill during the next high pressure cycle. Afterwards it is rapidly shut so that the nozzle chamber remains full during subsequent low cycles of the oscillating ink pressure. The nozzle chamber is then ready for subsequent refiring on demand.
  • the inkjet nozzle 1710 can be constructed as part of an array of inkjet nozzles through MEMS depositing of the various layers utilising the required masks, starting with a CMOS layer 1712 on top of which the nittide layer 1713 is deposited having the requisite slots.
  • a sacrificial glass layer can then be deposited followed by a bottom portion of the PTFE layer 1722, followed by the copper layer 1723 with the lower layers having suitable vias for interconnecting with the copper layer.
  • an upper PTFE layer is deposited so as to encase to the copper layer 1723 within the PTFE layer 1722.
  • a further sacrificial glass layer is then deposited and etched, before a nitride layer is deposited forming side walls 1740 and nozzle plate 1741.
  • the nozzle plate 1741 is etched to have suitable nozzle holes 1742 and sacrificial etching holes 1743 with the plate also being etched to form a rim around the nozzle hole 1742.
  • the sacrificial glass layers can be etched away, thereby releasing the structure of the actuator of the PTFE and copper layers.
  • the wafer can be through etched utilising a high density low pressure plasma etching process such as that available from Surface Technology Systems.
  • nozzles can be formed on a single wafer with the nozzles grouped into their desired width heads and the wafer diced in accordance with requirements.
  • the diced print heads can then be interconnected to a print head ink supply reservoir on the back portion thereof, for operation, producing a drop on demand ink jet printer.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
  • Fig. 81 For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 80 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • sacrificial material e.g. aluminum or photosensitive polyimide
  • the print heads Mount the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • the package also includes a piezoelectric actuator attached to the rear of the ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the inkjet operation.
  • FIG. 94 there is illustrated two ink nozzle arrangements 1820, 1821 as constructed in accordance with an embodiment.
  • the ink nozzle arrangement 1820 is shown in an open position with the ink nozzle arrangement 1821 shown in a closed position.
  • the ink nozzle arrangement of Fig. 94 can be constructed as part of a large array of nozzles or print heads on a silicon wafer utilising micro-electro mechanical technologies (MEMS).
  • MEMS micro-electro mechanical technologies
  • MEMS micro-electro mechanical system
  • each of the ink nozzle arrangements 1820, 1821 covers an ink nozzle eg. 1822 from which ejection of ink occurs when the ink nozzle arrangement is in an open state and the pressure wave is at a maximum.
  • Each of the ink nozzle arrangements of Fig. 94 utilises a thermocouple actuator device 1809 having two arms.
  • the ink nozzle arrangement 1820 utilises arms 1824, 1825 and the ink apparatus 1821 utilising thermocouple arms 1826, 1827.
  • the thermocouple arms 1824, 1825 are responsible for movement of a grated shutter device within a shutter cage 1829.
  • thermocouple arms 1824, 1825 and shutter 1830 of Fig. 94 without the cage.
  • the shutter 1830 includes a number of apertures 1831 for the passage of ink through the shutter 1830 when the shutter is in an open state.
  • the thermocouple arms 1824, 1825 are responsible for movement of the shutter 1830 upon activation of the thermocouple via means of an electric current flowing through bonding pads 1832, 1833 (Fig. 94).
  • the thermal actuator of Fig. 95 operates along similar principles to that disclosed in the aforementioned proceedings by the authors J. Robert Reid, Victor M. Bright and John. H. Comtois with a number of significant differences in operation which will now be discussed.
  • the arm 1824 can comprise an inner core of poly-silicon surrounded by an outer jacket of thermally insulating material.
  • the cross-section of the arm 1824 is illustrated in Fig. 95 and includes the inner core 1840 and the outer core 1841.
  • the arm 1824 includes an inner resistive element 1840, preferably comprising polysilicon or the like which heats up upon a current being passed through it.
  • the thermal jacket 1841 is provided to isolate the inner core 1840 from the ink chamber 181 1 in which the arms 1824, 1825 are immersed.
  • the arm 1824 contains a thermal jacket whereas the arm 1825 does not include a thermal jacket. Hence, the arm 1825 will be generally cooler than the arm 1824 and undergo a different rate of thermal expansion.
  • the two arms acting together to form a thermal actuator.
  • the thermocouple comprising arms 1824, 1825 results in movement of the shutter 1830 generally in the direction 1834 upon a current being passed through the two arms.
  • the arm 1825 includes a thinned portion 1836 (in Fig. 94) which amplifies the radial movement of shutter 1830 around a central axis near the bonding pads 1832, 1833 (in Fig. 94).
  • thermocouples 1824, 1825 can be activated to move the shutter 1830 from the closed position as illusttated generally 1821 in Fig. 94 to an open position as illustrated 1820 in Fig. 94.
  • a second thermocouple actuator 1850 is also provided having first and second arms
  • the actuator 1850 operates on the same physical principals as the arm associated with the shutter system 1830.
  • the arm 1850 is designed to be operated so as to lock the shutter 1830 in an open or closed position.
  • the arm 1850 locking the shutter 1830 in an open position Is illustrated in Fig. 94.
  • the arm 1850 locks the shutter by means of engagement of knob 1838 with a cavity on shutter 1830 (not shown).
  • the shutter 1830 is deactivated, and the hot arm 1824 (Fig. 95) of the actuator begins to cool.
  • Fig. 96 there is illustrated generally 1855 a first pressure plot which illusttates the pressure fluctuation around an ambient pressure within the ink chamber (181 1 of Fig. 95) as a result of the driving of the piezo-electric actuator in a substantially sinusoidal manner.
  • the pressure fluctuation 1870 is also substantially sinusoidal in nature and the printing cycle is divided into four phases being a drop formation phase 1871, a drop separation phase 1872, a drop refill phase
  • FIG. 96 Also shown in Fig. 96 are clock timing diagrams 1856 and 1857.
  • the first diagram 1856 illustrates the control pulses received by the shutter thermal actuator of a single ink nozzle so as to open and close the shutter.
  • the second clock timing diagram 1857 is directed to the operation of the second thermal actuator or latch (eg. 1850 of Fig. 94).
  • the latch 1850 is actuated 1859 to an open state. Subsequently, the shutter is also actuated 1860 so that it also moves from a closed to an open position. Next, the latch 1850 is deactivated 1861 thereby locking the shutter in an open position with the head 1863 (Fig. 94) of the latch 1850 locking against one side of the shutter 1830. Simultaneously, the shutter 1830 is deactivated 1862 to reduce the power consumption in the nozzle.
  • the ink meniscus will be expanding out of the ink nozzle.
  • the drop separation phase 1872 is entered wherein the chamber undergoes a negative pressure causing a portion of the flowing ink flowing out of the ink nozzle back into the chamber. This rapid flow causes ink bubble separation from the main body of ink. The ink bubble or jet then passes to the print media while the surface meniscus of the ink collapses back into the ink nozzle. Subsequently, the pressure cycle enters the drop refill stage
  • the latch 1850 is opened 1863 causing the now cold shutter to spring back to a closed position. Subsequently, the latch is closed 1864 locking the shutter in the closed position, thereby completing one cycle of printing.
  • the closed shutter allows a drop settling stage 1874 to be entered which allows for the dissipation of any resultant ringing or transient in the ink meniscus position while the shutter is closed.
  • the state has returned to the start of the drop formation stage 1871 and another drop can be ejected from the ink nozzle.
  • a number of refinements of operation are possible.
  • the pressure wave oscillation which is shown to be a constant oscillation in magnitude and frequency can be altered in both respects.
  • the size and period of each cycle can be scaled in accordance with such pre-calculated factors such as the number of nozzles ejecting ink and the tuned pressure requirements for nozzle refill with different inks.
  • the clock periods of operation can be scaled to take into account differing effects such as actuation speeds etc.
  • the inkjet nozzles are constructed on a buried boron-doped layer 1881 of a silicon wafer 1871 which includes fabricated nozzle rims, e.g. 1883 which form part of the layer 1881 and limit any hydrophilic spreading of the meniscus on the bottom end of the layer 1881.
  • the nozzle rim, e.g. 1883 can be dispensed with when the bottom surface of layer 1881 is suitably treated with a hydrophobosizing process.
  • CMOS layer 1885 On top of the wafer 1882 is constructed a CMOS layer 1885 which contains all the relevant circuitry required for driving of the two nozzles. This CMOS layer is finished with a silicon dioxide layer 1886. Both the CMOS layer 1885 and the silicon dioxide 1886 include triangular apertures 1887 and 1888 allowing for the fluid communication with the nozzle ports, e.g. 1884.
  • a first shutter layer 1890 to 1892 On top of the Si0 2 layer 1886 are constructed the various shutter layers 1890 to 1892.
  • a first shutter layer 1890 to 1892 On top of the Si0 2 layer 1886 are constructed the various shutter layers 1890 to 1892.
  • a first shutter layer 1890 to 1892 On top of the Si0 2 layer 1886 are constructed the various shutter layers 1890 to 1892.
  • a second shutter layer 1891 can be constructed from a polymer, for example, polyamide and acts as a thermal insulator on one arm of each of the thermocouple devices.
  • a final covering cage layer 1892 is constructed from a second layer of polysilicon.
  • nozzles 1880 relies upon standard semi-conductor fabrication processes and MEMS process known to those skilled in the art.
  • MEMS micro-electro mechanical system
  • nozzle arrangement 1880 would be to utilise a silicon wafer containing a boron doped epitaxial layer which forms the final layer 1881.
  • the silicon wafer layer 1882 is formed naturally above the boron doped epitaxial 1881.
  • the layer 1885 is formed on top of this layer.
  • the apertures 1887, 1888 can be formed within the layers by means of plasma etching utilising an appropriate mask. Subsequently, these layers can be passivated by means of a nitride covering and then filled with a sacrificial material such as glass which will be subsequently etched.
  • a sacrificial material with an appropriate mask can also be utilised as a base for the moveable portions of the layer 1890 which are again deposited utilising appropriate masks. Similar procedures can be carried out for the layers 1891, 1892.
  • the wafer can be thinned by means of back etching of the wafer to the boron doped epitaxial layer 1891 which is utilised as an etchant stop.
  • the nozzle rims and nozzle apertures can be formed and the internal portions of the nozzle chamber and other layers can be sacrificially etched away releasing the shutter structure.
  • the wafer can be diced into appropriate print heads attached to an ink chamber wafer and tested for operational yield.
  • each layer many other materials can be utilised to form the construction of each layer.
  • the shutter and actuators could be constructed from tantalum or a number of other substances known to those skilled in the art of construction of MEMS devices.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps: 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
  • Fig. 99 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • CMOS process begins fabrication of the drive transistors, data distribution, and timing circuits using a CMOS process.
  • the MEMS processes which form the mechanical components of the inkjet are interleaved with the CMOS device fabrication steps.
  • the example given here is of a 1 micron, 2 poly, 1 metal retrograde P-well process.
  • the mechanical components are formed from the CMOS polysilicon layers. For clarity, the CMOS active components are omitted.
  • the MEMS features of this step are shown in Fig. 102.
  • this layer includes the lower layer of MEMS components. This includes the shutter, the shutter actuator, and the catch actuator. It is preferable that this layer be thicker than the normal CMOS thickness. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 103.
  • MEMS portion of the chip is on thermal budget for diffusion of this implant.
  • CMOS mask for this level also contains the pattern for the MEMS inter-poly sacrificial oxide.
  • MEMS features of this step are shown in Fig. 104.
  • this layer includes the upper layer of MEMS components. This includes the grill and the catch second layer (which exists to ensure that the catch does not 'slip off the shutter. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 105. 17. Deposit 1 micron of glass as the second interlevel dielectric and etch using the CMOS via 1 mask. The
  • CMOS mask for this level also contains the pattern for the MEMS actuator contacts.
  • Fig. 106 The MEMS features of this step are shown in Fig. 106. 19. Deposit 0.5 microns of silicon nitride (Si3N4) and etch using MEMS Mask 2. This mask defines the region of sacrificial oxide etch performed in step 24. The silicon nittide aperture is substantially undersized, as the sacrificial oxide etch is isotropic. The CMOS devices must be located sufficiently far from the MEMS devices that they are not affected by the sacrificial oxide etch. The MEMS features of this step are shown in Fig. 107.
  • the print heads Mount the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
  • the package also includes a piezoelectric actuator attached to the rear of the ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the inkjet operation.
  • an ink jet print head is constructed from an array of ink nozzle chambers which utilise a thermal actuator for the ejection of ink having a shape reminiscent of the calyx arrangement of a flower.
  • the thermal actuator is activated so as to close the flower arrangement and thereby cause the ejection of ink from a nozzle chamber formed in the space above the calyx arrangement.
  • the calyx arrangement has particular advantages in allowing for rapid refill of the nozzle chamber in addition to efficient operation of the thermal actuator.
  • the nozzle arrangement 1910 is based around a calyx type structure 1911 which includes a plurality of petals eg. 1913 which are constructed from polytetrafluoroethylene (PTFE).
  • the petals 1913 include an internal resistive element 1914 which can comprise a copper heater.
  • the resistive element 1914 is generally of a se ⁇ entine structure, such that, upon heating, the copper heater 1914 can concertina and thereby expand at the rate of expansion of the PTFE petals, e.g. 1913.
  • the PTFE petal 1913 has a much higher coefficient thermal expansion
  • the copper portions 1914 are constructed nearer to the lower surface of the PTFE petal 1913 and as a result, the bottom surface of PTFE petal 1913 is heated more rapidly than the top surface.
  • the difference in thermal grading results in a bending upwards of the petals 1913 upon heating.
  • Each petal eg. 1913 is heated together which results in a combined upward movement of all the petals at the same time which in turn results in the imparting of momentum to the ink within chambers 1916 such that ink is forced out of the ink nozzle 1914.
  • the forcing out of ink out of ink nozzle 1917 results in an expansion of the meniscus 1918 and subsequently results in the ejection of drops of ink from the nozzle 1917.
  • PTFE is normally hydrophobic.
  • the bottom surface of petals 1913 comprises untreated PTFE and is therefore hydrophobic. This results in an air bubble 1920 forming under the surface of the petals. The air bubble contracts on upward movement of petals
  • Fig. 115 which illusttates a cross-sectional perspective view of the form of the nozzle after activation of the petal heater arrangement.
  • the top of the petals is treated so as to reduce its hydrophobic nature. This can take many forms, including plasma damaging in an ammonia atmosphere.
  • the top of the petals 1913 is treated so as to generally make it hydrophilic and thereby attract ink into nozzle chamber 1916.
  • the nozzle chamber 1916 is constructed from a circular rim 1921 of an inert material such as nitride as is the top nozzle plate 1922.
  • the top nozzle plate 1922 can include a series of the small etchant holes 1923 which are provided to allow for the rapid etching of sacrificial material utilised in the construction of the nozzle chamber 1910.
  • the etchant holes 1923 are large enough to allow the flow of etchant into the nozzle chamber 1916 however, they are small enough so that surface tension effects retain any ink within the nozzle chamber 1916.
  • a series of posts 1924 are further provided for support of the nozzle plate 1922 on a wafer 1925.
  • the wafer 1925 can comprise a standard silicon wafer on top of which is constructed data drive circuitry which can be constructed in the usual manner such as two level metal CMOS with portions one level of metal (aluminium) being utilised 1926 for providing interconnection with the copper circuitry portions 1927.
  • data drive circuitry which can be constructed in the usual manner such as two level metal CMOS with portions one level of metal (aluminium) being utilised 1926 for providing interconnection with the copper circuitry portions 1927.
  • the arrangement 1910 of Fig. 114 has a number of significant advantages in that, in the petal open position, the nozzle chamber 1916 can experience rapid refill, especially where a slight positive ink pressure is utilised. Further, the petal arrangement provides a degree of fault tolerance in that, if one or more of the petals is non-functional, the remaining petals can operate so as to eject drops of ink on demand.
  • a nozzle arrangement 1910 is constructed on a base wafer 1925 which can comprise a silicon wafer suitably diced in accordance with requirements.
  • a silicon glass layer which can include the usual CMOS processing steps to construct a two level metal CMOS drive and control circuitry layer. Part of this layer will include portions 1927 which are provided for interconnection with the drive transistors.
  • CMOS layer 1926 On top of the CMOS layer 1926, 1927 is constructed a nitride passivation layer 1929 which provides passivation protection for the lower layers during operation and also should an etchant be utilised which would normally dissolve the lower layers.
  • the PTFE layer 1930 really comprises a bottom PTFE layer below a copper metal layer 1931 and a top PTFE layer above it, however, they are shown as one layer in Fig. 116. Effectively, the copper layer 1931 is encased in the PTFE layer 1930 as a result. Finally, a nittide layer 1932 is provided so as to form the rim 1921 of the nozzle chamber and nozzle posts 1924 in addition to the nozzle plate.
  • the arrangement 1910 can be constructed on a silicon wafer by utilisation of micro-electro-mechanical systems techniques.
  • MEMS micro-electro mechanical system
  • the PTFE layer 1930 can be constructed on a sacrificial material base such as glass, wherein a via for stem 1933 of layer 1930 is provided.
  • the layer 1932 is constructed on a second sacrificial etchant material base so as to form the nitride layer 1932.
  • the nozzle plate 1932 includes the aforementioned etchant holes eg. 1923 so as to speed up the etching process, in addition to the nozzle 1917 and the nozzle rim 1934.
  • the nozzles 1910 can be formed on a wafer of print heads as required.
  • the print heads can include supply means either in the form of a "through the wafer” ink supply means which utilises high density low pressure plasma etching such as that available from Surface Technology Systems or via means of side ink channels attached to the side of the print head. Further, areas can be provided for the interconnection of circuitry to the wafer in the normal fashion as is normally utilised with MEMS processes.
  • Fig. 118 Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 118. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 117 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • TAB TAB
  • Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • Fig. 132 in an embodiment 2001, there is provided an ink chamber 2002 which is supplied from an ink supply conduit 2003.
  • a piezo electtic actuator 2004 is driven in a substantially sign waive form so as to set up pressure waves 2006 within the chamber 2002.
  • the ultrasonic ttansducer 2004 can typically comprise a piezo electric transducer positioned within the reservoir. The transducer 2004 oscillates the ink pressure within the chamber
  • Each nozzle 2008 is provided with a shutter 2010 which is opened and foreclosed on demand.
  • Fig. 133 there is illustrated a single nozzle apparatus 2012 of Fig. 132.
  • Each nozzle apparatus 2012 includes a nozzle hole 2013 for the output of ink and a nozzle chamber 2014 which is normally filled with ink. Further, each nozzle is provided with a shutter 2010 which is designed to open and close nozzle chamber 2014 on demand. The shutter 2010 is actuated by a coiled thermal actuator 2015.
  • the coiled actuator 2015 is constructed from laminated conductors of either differing resistivities, different cross-section areas, different indices of thermal expansion, different thermal conductivities to the ink, different length, or some combination thereof.
  • the coiling radius of the actuator 2015 changes when a current is passed through the conductors, as one side of the coiled beam expands differently than the other.
  • One method can be to utilise two current paths2035, 2036, which are made of electrically conductive material.
  • the current paths 2036, 2037 are connected at the shutter end 2017 of the thermal actuator 2015.
  • One current path 2036 is etched in a se ⁇ entine manner to increase its resistance. When a current is passed through paths 2035, 2036, the side of the coiled actuator 2015 that comprises the se ⁇ entine path expands more. This results in the actuator 2015 uncoiling.
  • the thermal actuator 2015 controls the position of the shutter 2010 so that it can cover none, all or part of the nozzle chamber 2014. If the shutter 2010 does not cover any of the nozzle chamber 2014 then the oscillating ink pressure will be transmitted to the nozzle chamber 2014 and the ink will be ejected out of the nozzle hole 2013. When the shutter 2010 covers the ink chamber 2014, then the oscillating ink pressure of the chamber is significantly attenuated at the nozzle hole 2013. The ink pressure within the chamber 2014 will not be entirely stopped, due to leakage around the shutter 2010 when in a closed position and fixing of the shutter under varying pressures.
  • the shutter 2010 may also be driven to be part way across the nozzle chamber 2014, resulting in a partial attenuation of the ink pressure variation. This can be used to vary the volume of the ejected drop. This can be utilised to implement a degree of continuation tone operation of the nozzle hole 2013, to regulate the drop volume, or both.
  • the shutter is normally shut, and is opened on demand.
  • the piezo electric device is driven in a sinusoidal manner which in turn causes a sinusoidal variation 2070 in the pressure within the ink chamber 2002 (Fig. 132) with respect to time.
  • the operation of the printed devices utilises four phases being an ink ejection phase 2071, an ink separation phase 2072 an ink refill phase 2073 and an idle ink nozzle phase 2074.
  • the shutter 2010 is located over the ink chamber 2014 and the ink forms a meniscus 2081 over the nozzle hole 2013.
  • the actuator coil is activated and the shutter 2010 moves away from its position over the chamber 2014 as illustrated in Fig. 136.
  • the separation phase 2072 of Fig. 134 is entered.
  • the pressure within the chamber 2014 becomes less than the ambient pressure.
  • This causes a back flow 2083 (Fig. 137) within the chamber 2014 and results in the separation of a body of ink 2084 from the nozzle hole 2013.
  • the negative pressure 2083 forcing the meniscus 2085 up the ink chamber 2014.
  • the ink chamber enters the refill phase 2073 of Fig.
  • the cycle operation as illustrated in Fig. 134 has a number of advantages.
  • the level and duration of each sinusoidal cycle can be closely controlled by means of controlling the signal to the piezo electric actuator 2004
  • nozzles 2020, 2022 and 2024 of Fig. 132 could be ejected in one phase and the other half of the nozzles e.g.,2021, 2023 could be ejected during a second phase. This allows for minimisation of the pressure variations which occurs due to large numbers of nozzles being actuated simultaneously.
  • each nozzle further includes drive circuitry which activates the actuator spiral when the shutter is to be opened.
  • the nozzle chamber should be carefully dimensioned such that the radius of the nozzle tip is carefully selected to control the drop velocity and drop size.
  • the nozzle chamber 2014 of Fig. 133 should be wide enough so that f ⁇ scous drag from the chamber walls do not significantly increase the force required from the ultrasonic oscillator.
  • the shutter 2010 is of a disk form which covers the nozzle chamber.
  • the disk preferably has a honeycomb like structure to maximise strength while minimising its inertial mass.
  • a suitable passivation layer can include silicon nittide (Si 3 N 4 ), diamond like carbon (DLC), or other chemically inert, highly impermeable layer.
  • the passivation layer is obviously especially important for device lifetime, as the active device will be immersed in ink.
  • Fig. 140 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
  • a single crystal silicon wafer 2120 which has a buried epitaxial layer 2121 of silicon which his heavily doped with boron.
  • the boron should be doped to preferably 10 20 atoms per cm 3 of boron or more, and be approximately 2 micron thick.
  • the lightly doped silicon epitaxial layer on top of the boron doped layer should be approximately 8 micron thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is hereinafter called the "Sopij" wafer.
  • the wafer diameter should be the same as the ink channel wafer.
  • CMP Chemical Mechanical Planarisation
  • the sacrificial layer may be Si0 2 , for example, spin or glass (SOG).
  • Etch he Sopij wafer to entirely remove the backside silicon to the level of the boron doped epitaxial layer.
  • This etch can be a batch wet etch in ethylene-diamine pyrocatechol (EPD).
  • sacrificial material e.g. aluminum or photosensitive polyimide
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
  • each nozzle includes an actuator device comprising a plurality of actuators which actuate a series of paddles that operate in an iris type motion so as to cause the ejection of ink from a nozzle chamber.
  • an actuator device comprising a plurality of actuators which actuate a series of paddles that operate in an iris type motion so as to cause the ejection of ink from a nozzle chamber.
  • Fig. 154 to Fig. 156 there is illustrated a single nozzle arrangement 2210 for the ejection of ink from a nozzle ejection port 2211.
  • the ink is ejected out of the nozzle port 2211 from a nozzle chamber area 2212 which is formed from 2204 substantially identical iris vanes 2214.
  • Each iris vane 2214 is operated simultaneously to cause the ink within the nozzle chamber 2212 to be squeezed out of the nozzle chamber, thereby ejected the ink from ink ejection port 2211.
  • Each nozzle vane 2214 is actuated by means of a thermal actuator 2215 in its base.
  • the thermal actuator 2215 has two arms, an expanding, flexible arm 2225 and a rigid arm 2226. Both arms are fixed at one end 2227 and are joined at the other end 2228.
  • the expanding arm 2225 can be constructed from a polytetrafluoroethylene (PTFE) layer 2229, inside of which is constructed a se ⁇ entine copper heater 2216.
  • the rigid arm 2226 of the thermal actuator 2215 comprises the return trays of the copper heater 2216 and the vane 2214.
  • the result of the heating of the expandable arm 2225 of the thermal actuator 2215 is that the outer PTFE layer 2229 is caused to bend around thereby causing the vane 2214 to push ink towards the centre of nozzle chamber 2212.
  • the se ⁇ entine trays of copper layer 2216 concertinas in response to the high thermal expansion of the PTFE layer 2229.
  • the other vanes 2218-2220 are operated simultaneously. The four vanes therefore cause a general compression of the ink within the nozzle chamber 2212 resulting in a subsequent ejection from the ink ejection port 2211.
  • the roof of the nozzle arrangement is formed from a nitride layer 2222 and is supported by posts 2223.
  • the nittide top layer 2222 includes a series of holes 2224 which are provided in order to facilitate more rapid etching of sacrificial materials within the lower layers during construction.
  • the nittide layer etchant holes 2224 are provided of a small diameter such that surface tension effects are sufficient to stop any ink being ejected from the nitride holes 2224 as opposed to ink ejection port 2211 upon activation of the iris vanes.
  • Fig. 154 can be constructed on a silicon wafer utilising standard semi-conductor fabrication and micro-electro-mechanical systems (MEMS) techniques.
  • MEMS micro-electro mechanical system
  • SPIE International Society for Optical Engineering
  • the nozzle arrangement 2210 can be constructed on a silicon wafer and built up from utilising various sacrificial materials where necessary as is common practice with MEMS constructions.
  • Fig. 156 there is illustrated an exploded perspective view of a single nozzle arrangement 2210 illustrated the various layers utilised in the construction of a single nozzle.
  • the lowest layer of the construction comprises a silicon wafer base 2230.
  • a silicon wafer base 2230 On top of the silicon wafer layer 2230 is first constructed a CMOS circuitry/glass layer 2231 which provides all the necessary interconnections and driving control circuitry for the various heater circuits.
  • a nitride passivation layer 2232 On top of the CMOS layer 2231 is constructed a nitride passivation layer 2232 which is provided for passivating the lower CMOS layer 2231 against any etchants which may be utilised. Layer 2232 having the appropriate vias (not shown) for connection of the heating elements to the relevant portion of the lower CMOS layer 2231.
  • the aluminium layer 2233 which includes the various heater element circuits in addition to vias to the lower CMOS layer.
  • a PTFE layer 2234 is provided with the PTFE layer 2234 actually comprising 2 layers which encase the lower copper layer 2233.
  • a first nitride layer 2236 is constructed for the iris vanes 2214, 2218-2220 of Fig. 154.
  • a second nittide layer 2237 which forms the posts and nozzle roof of the nozzle chamber.
  • the various layers 2233, 2234, 2236 and 2237 can be constructed utilising intermediate sacrificial layers which are, as standard with MEMS processes, subsequently etched away so as to release the functional device. Suitable sacrificial materials include glass. When necessary, such as in the construction of nitride layer 2237, various other semi-conductor processes such as dual damascene processing can be utilised.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
  • Fig. 158 Relevant features of the wafer at this step are shown in Fig. 158. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 157 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • sacrificial material e.g. aluminum or photosensitive polyimide
  • Wafer probe All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • TAB TAB 22.
  • Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • ink is ejected from a nozzle through the utilisation of the bending of a thermal actuator so as to eject the ink.
  • a thermal actuator 2302 located above a nozzle chamber 2303 and nozzle 2304.
  • the thermal actuator 2302 includes an electrical circuit comprising leads 2306, 2307 connected to a se ⁇ entine resistive element 2308.
  • the resistive element 2308 can comprise the copper layer in this respect, a copper stiffener 2309 is provided to provide support for one end of the thermal actuator 2302.
  • the copper resistive element 2308 is constructed in a se ⁇ entine manner to provide very little tensile strength along the length of the thermal actuator panel 2302.
  • the copper resistive element is embedded in a polytetrafluoroethylene (PTFE) layer 2312.
  • PTFE polytetrafluoroethylene
  • the thermal actuator 2312 has a very high coefficient of thermal expansion (approximately 770 x 10 "6 ). This layer undergoes rapid expansion when heated by the copper heater 2308.
  • the copper heater 2308 is positioned closer to the top surface of the PTFE layer 2312, thereby heating the upper level of the PTFE layer 2312 faster than the bottom level, resulting in a bending down of the thermal actuator 2302 towards the nozzle 2304 in the nozzle chamber 2303.
  • the operation of the nozzle arrangement 2301 is as follows:
  • the heater heats the PTFE layer 2312, with the top level of the PTFE layer 2312 being heated more rapidly than the bottom level. This causes the paddle to bend generally in the direction towards the nozzle 2304 in the nozzle chamber 2303, as illustrated in Fig. 171. The bending of the paddle pushes ink from the ink chamber 2303 out of the nozzle 2304.
  • the paddle 2302 begins to return to its quiescent position.
  • the paddle return 'sucks' some of the ink back into the nozzle 2304 into the nozzle chamber, causing the ink ligament connecting the ink drop to the ink in the nozzle 2304 to thin.
  • the forward velocity of the drop and backward velocity of the ink in the chamber are resolved by the ink drop breaking off from the ink in the nozzle.
  • the ink drop then continues towards the recording medium.
  • a liquid ink print head has one actuator associated with each of a multitude of nozzles.
  • the actuator has the following major parts:
  • the radius of the nozzle tip 2304 is an important determinant of drop velocity and drop size.
  • the paddle 2302 is made of a heater layer 2308 embedded into PTFE layer 2312.
  • the paddle 2302 is fixed to one end of the ink chamber, and the other end is suspended 'over' the nozzle. Approximately half of the paddle contains the copper heater 2308.
  • the heater section is at the fixed end of the paddle.
  • the nozzle chamber 2303 is slightly wider than the paddle.
  • the gap between the paddle and the nozzle chamber is determined by the fluid dynamics of the ink ejection and refill process. If the gap is too large, much of the paddle force will be wasted on pushing ink around the edges of the paddle. If the gap is too small, the ink refill time will be too long. Also, if the gap is too small, the crystallographic etch of the nozzle chamber will take too long to complete. A 2 ⁇ m gap will usually be sufficient.
  • the nozzle chamber is also deep enough so that air ingested through the nozzle tip when the plunger returns to its quiescent state does not extend to the piston. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface. If this happens, the nozzle will not refill properly. A depth of approximately 20 ⁇ m is suitable.
  • Nozzle chamber ledges 2313 As the paddle 2302 moves approximately lO ⁇ , and the crystallographic etch angle of chamber surface 2315 is 54.74 degrees, a gap of around 7 ⁇ m is required between the edge of the paddle 2 and the outermost edge of nozzle chamber. The walls of nozzle chamber must also clear the nozzle hole. This requires that the nozzle chamber 3 be approximately 52 ⁇ m wide, whereas the paddle 2302 is only 30 ⁇ m wide. Were there to be an 1 l ⁇ m gap around the paddle, too much ink would flow around to the sides of the paddle when the actuator is energised.
  • the nozzle chamber 2303 is undercut 9 ⁇ m into the silicon surrounding the paddle, leaving a 9 ⁇ m wide ledge 2313 to prevent ink flow around the paddle.
  • Example Basic Fabrication Sequence Two wafers are required: a wafer upon which the active circuitry and nozzles are fabricated (the print head wafer) and a further wafer in which the ink channels are fabricated. This is the ink channel wafer.
  • Fig. 171 illustrates an exploded perspective view of a single inkjet nozzle constructed in accordance with an embodiment.
  • the boron should be doped to preferably 10 20 atoms per cm 3 of boron or more, and be approximately 3 ⁇ m thick.
  • the lightly doped silicon epitaxial layer 2315 on top of the boron doped layer should be approximately 8 ⁇ m thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is the print head wafer.
  • the wafer diameter should preferably be the same as the ink channel wafer.
  • the drive transistors and data distribution circuitry layer 2317 is fabricated according to the process chosen, up until the oxide layer over second level metal.
  • a silicon nitride passivation layer 2318 is deposited.
  • the actuator 2302 (Fig. 170) is constructed.
  • the actuator comprises one copper layer 2319 embedded into a PTFE layer 2320.
  • the copper layer 2319 comprises both the heater portion 2308 and planar portion 2309 (of Fig. 170).
  • the corrugated resistive element can be formed by depositing a resist layer 2350 on top of the first PTFE layer 2351.
  • the resist layer 2350 is exposed utilising a mask 2352 having a half-tone pattern delineating the corrugations. After development the resist 2350 contains the corrugation pattern.
  • the resist layer 2350 and the PTFE layer 2351 are then etched utilising an etchant that erodes the resist layer 2350 at substantially the same rate as the PTFE layer 2351.
  • Fig. 174 on top of the corrugated PTFE layer 2351 is deposited the copper heater layer 2319 which takes on a corrugated form in accordance with its under layer.
  • the copper heater layer 2319 is then etched in a se ⁇ entine or concertina form.
  • Fig. 175 there is illustrated a top view of the copper layer 2319 only, comprising the se ⁇ entine heater element 2308 and stiffener 2309. Subsequently, a further PTFE layer 2353 is deposited on top of layer 2319 so as to form the top layer of the thermal actuator 2302. Finally, the second PTFE layer 2352 is planarised to form the top surface of the thermal actuator 2302 (Fig. 170).
  • the etch can be a batch wet etch in ethylenediamine pyrocatechol (EDP).
  • EDP ethylenediamine pyrocatechol
  • the etch proceeds until the paddles are entirely undercut whereby forming nozzle chamber 2303.
  • the backside of the wafer need not be protected against this etch, as the wafer is to be subsequently thinned. Approximately 60 ⁇ m of silicon will be etched from the wafer backside during this process.
  • This etch can be a batch wet etch in ethylenediamine pyrocatechol (EDP).
  • the thermal actuator could be operated in a reverse mode wherein passing current through the actuator results in movement of the paddle to an ink loading position when the subsequent cooling of the paddle results in the ink being ejected.
  • CMOS process This step is shown in Fig. 177.
  • Fig. 177 For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 176 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • This mask is a gray-scale mask which defines the heater vias as well as the corrugated PTFE surface that the heater is subsequently deposited on. 10. Etch the PTFE and resist at substantially the same rate. The corrugated resist thickness is transferred to the
  • the PTFE is completely etched in the heater via positions.
  • the resultant PTFE thickness nominally varies between 0.25 micron and 0.75 micron, though exact values are not critical. This step is shown in Fig. 181.
  • Steps 231 1 and 2312 form a lift-off process. This step is shown in Fig. 182.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
  • an inkjet nozzle having a thermally based actuator which is highly energy efficient.
  • the thermal actuator is located within a chamber filled with ink and relies upon the thermal expansion of materials when an electric current is being passed through them to activate the actuator thereby causing the ejection of ink out of a nozzle provided in the nozzle chamber.
  • Fig. 189 there are illustrated two adjoining inkjet nozzles 2410 constructed in accordance with an embodiment, with Fig. 190 showing an exploded perspective and Fig. 192 and 2404 showing various sectional views.
  • Each nozzle 2410 can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • MEMS micro machining and micro fabrication process technology
  • the nozzle chamber 2410 includes a ink ejection port 2411 for the ejection of ink from within the nozzle chamber.
  • Ink is supplied via an inlet port 2412 which has a grill structure fabricated from a series of posts 2414, the grill acting to filter out foreign bodies within the ink supply and also to provide stability to the nozzle chamber structure.
  • a thermal actuator device 2416 which is interconnected to an electric circuit (not shown) which, when thermally actuated, acts as a paddle bending upwards so as to cause the ejection of ink from each ink ejection port 2411.
  • a series of etchant holes e.g.
  • nozzle chamber 2410 are also provided in the top of nozzle chamber 2410, the holes 2418 being provided for manufacturing pu ⁇ oses only so to allow a sacrificial etchant to easily etch away the internal portions of nozzle chamber 2410.
  • the etchant ports 2418 are of a sufficiently small diameter so that the resulting surface tension holds the ink within chamber 2410 such that no ink leaks out via ports 2418.
  • the thermal actuator 2416 is composed primarily of polytettafluoroethylene (PTFE) which is a generally hydrophobic material.
  • the top layer of the actuator 2416 is treated or coated so as to make it hydrophilic and thereby attract water/ink via inlet port 2412. Suitable treatments include plasma exposure in an ammonia atmosphere.
  • the bottom surface remains hydrophobic and repels the water from the underneath surface of the actuator 2416.
  • Underneath the actuator 2416 is provided a further surface 2419 also composed of a hydrophobic material such as PTFE.
  • the surface 2419 has a series of holes 2420 in it which allow for the flow of air into the nozzle chamber 2410.
  • the diameter of the nozzle holes 2420 again being of such a size so as to restrict the flow of fluid out of the nozzle chamber via surface tension interactions, out of the nozzle chamber.
  • the surface 2419 is separated from a lower level 2423 by means of a series of spaced apart posts e.g. 2422 which can be constructed when constructing the layer 2419 utilising an appropriate mask.
  • the nozzle chamber 2410 but for grill inlet port 2412, is walled on its sides by silicon nittide walls e.g. 2425,2426.
  • An air inlet port is formed between adjacent nozzle chambers such that air is free to flow between the walls 2425,2428. Hence, air is able to flow down channel 2429 and along channel 2430 and through holes e.g. 2420 in accordance with any fluctuating pressure influences.
  • the air flow acts to reduce the vacuum on the back surface of actuator 2416 during operation. As a result, less energy is required for the movement of the actuator 2416.
  • the actuator 2416 is thermally actuated so as to move upwards and cause ink ejection.
  • the actuator Upon deactivation of the actuator 2416, the actuator lowers with a corresponding airflow out of port 2420 along channel 2430 and out of channel 2429.
  • Any fluid within nozzle chamber 2410 is firstly repelled by the hydrophobic nature of the bottom side of the surface of actuator 2416 in addition to the top of the surface 2419 which is again hydrophobic.
  • the limited size holes e.g. 2420 further stop the fluid from passing the holes 2420 as a result of surface tension characteristics.
  • a further preferable feature of nozzle chamber 2410 is the utilisation of the nitride posts 2414 to also clamp one end of the surfaces 2416 and 2419 firmly to bottom surface 2420 thereby reducing the likelihood delaminating during operation.
  • Fig. 190 there is illustrated an exploded perspective view of a single nozzle arrangement 2410.
  • the exploded perspective view illustrates the form of construction of each layer of a simple nozzle arrangement 2410.
  • the nozzle arrangement can be constructed on a base silicon wafer 2434 having a top glass layer which includes the various drive and control circuitry and which, for example, can comprise a two level metal CMOS layer with the various interconnects (not shown).
  • CMOS layer two level metal CMOS layer with the various interconnects (not shown).
  • a nittide passivation layer 2423 of approximately one micron thickness which includes a number of vias (not shown) for the interconnection of the subsequent layers to the CMOS layer 2435.
  • the nittide layer is provided primarily to protect lower layers from corrosion or etching, especially where sacrificial etchants are utilized.
  • a one micron PTFE layer 2419 is constructed having the aforementioned holes e.g. 2420 and posts 2422.
  • the structure of the PTFE layer 2419 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2419 is deposited.
  • the PTFE layer 2419 includes various features, for example, a lower ridge portion 2438 in addition to a hole 2439 which acts as a via for the subsequent material layers.
  • the actuator proper is formed from two PTFE layers 2440,2441.
  • the lower PTFE layer 2440 is made conductive.
  • the PTFE layer 2440 can be made conductive utilising a number of different techniques including:
  • the PTFE layer 2440 includes certain cut out portions e.g. 2443 so that a complete circuit is formed around the PTFE actuator 2440.
  • the cut out portions can be optimised so as to regulate the resistive heating of the layer 2440 by means of providing constricted portions so as to thereby increase the heat generated in various "hot spots" as required.
  • a space is provided between the PTFE layer 2419 and the PTFE layer 2440 through the utilisation of an intermediate sacrificial glass layer (not shown).
  • a second PTFE layer 2441 which can be a standard non conductive PTFE layer and can include filling in those areas in the lower PTFE layer e.g. 2443 which are not conductive.
  • the top of the PTFE layer is further treated or coated to make it hydrophilic.
  • a nitride layer can be deposited to form the nozzle chamber proper.
  • the nittide layer can be formed by first laying down a sacrificial glass layer and etching the glass layer to form walls e.g. 2425, 2426 and grilled portion e.g. 2414.
  • the mask utilised results a first anchor portion 2445 which mates with the hole 2439 in layer 2419 so as to fix the layer 2419 to the nitride layer 2423.
  • the bottom surface of the grill 2414 meets with a corresponding step 2447 in the PTFE layer 2441 so as to clamp the end portion of the PTFE layers 2441,2440 and 2439 to the wafer surface so as to guard against delamination.
  • a top nitride layer 2450 can be formed having a number of holes e.g. 2418 and nozzle hole 2411 around which a rim can be etched through etching of the nitride layer 2450. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.
  • inkjet nozzles 2410 can be created side by side on a single wafer.
  • the ink can be supplied via ink channels etched through the wafer utilising a high density low pressure plasma etching system such as that supplied by Surface Technology Systems of the United Kingdom.
  • a high density low pressure plasma etching system such as that supplied by Surface Technology Systems of the United Kingdom.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps: 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a
  • Fig. 194 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • sacrificial material e.g. polyimide
  • sacrificial material e.g. polyimide
  • Conductive PTFE can be formed by doping the PTFE with a conductive material, such as extremely fine metal or graphitic filaments, or fine metal particles, and so forth.
  • the PTFE should be doped so that the resistance of the PTFE conductive heater is sufficiently low so that the correct amount of power is dissipated by the heater when the drive voltage is applied.
  • the conductive material should be a small percentage of the PTFE volume, so that the coefficient of thermal expansion is not significantly reduced. Carbon nanotubes can provide significant conductivity at low concentrations. This step is shown in Fig. 198.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • TAB TAB
  • Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • a "roof shooting" ink jet print head is constructed utilising a buckle plate actuator for the ejection of ink.
  • the buckle plate actuator is constructed from polytetrafluoroethylene (PTFE) which provides superior thermal expansion characteristics.
  • PTFE polytetrafluoroethylene
  • the PTFE is heated by an integral, se ⁇ entine shaped heater, which preferably is constructed from a resistive material, such as copper.
  • the inkjet head includes a nozzle chamber 2702 in which ink is stored to be ejected.
  • the chamber 2702 can be independently connected to an ink supply (not shown) for the supply and refilling of the chamber.
  • a buckle plate 2703 which comprises a heater element 2704 which can be an electrically resistive such as copper.
  • the heater element 2704 is encased in a polytetrafluoroethylene layer 2705.
  • the utilisation of the PTFE layer 2705 allows for high rates of thermal expansion and therefore more effective operation of the buckle plate 2703.
  • PTFE has a high coefficient of thermal expansion (77010 "6 ) with the copper having a much lower degree of thermal expansion.
  • the copper layer 2704 is therefore fabricated in a se ⁇ entine pattern so as to allow the expansion of the PTFE layer to proceed unhindered.
  • the se ⁇ entine fabrication of the heater means that the two coefficients of thermal expansion of the PTFE and the heater material need not be closely matched.
  • the PTFE is primarily chosen for its high thermal expansion properties. Current can be supplied to the buckle plate 2703 via means of connectors 2707, 2708 which inter-connect the buckle plate 2703 with a lower drive circuitry and logic layer 2709. Hence, to operate the inkjet head 2701, the heater coil 2704 is energised thereby heating the PTFE 2705.
  • the PTFE 2705 expands and buckles between end portions
  • the buckle causes initial ejection of ink out of a nozzle 2715 located at the top of the nozzle chamber
  • An air vent 2717 connects the air bubble to the ambient air through a channel 2718 formed between a nitride layer 2719 and an additional PTFE layer
  • the air vent 2717 allows the buckle plate 2703 to move without being held back by a reduction in air pressure as the buckle plate 2703 expands.
  • the nozzle chamber 2702 is ready for refiring. It has been found in simulations of an embodiment that the utilization of the PTFE layer and se ⁇ entine heater arrangement allows for a substantial reduction in energy requirements of operation in addition to a more compact design.
  • Fig. 209 there is provided an exploded perspective view partly in sections illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
  • the nozzle arrangement 2701 is fabricated on top of a silicon wafer 2725.
  • the nozzle arrangement 2701 can be constructed on the semi-conductor wafer 2725 utilising standard semi-conductor processing techniques in addition to those techniques commonly used for the construction of micro-electro-mechanical systems (MEMS).
  • MEMS micro-electro-mechanical systems
  • CMOS circuitry layer 2726 On top of the silicon layer 2725 is deposited a two level CMOS circuitry layer 2726 which substantially comprises glass, in addition to the usual metal layers.
  • a nittide layer 2719 is deposited to protect and passivate the underlying layer 2726.
  • the nittide layer 2719 also includes vias for the interconnection of the heater element 2704 to the CMOS layer 2726.
  • a PTFE layer 2720 is constructed having the aforementioned holes, e.g. 2722, and posts, e.g. 2721.
  • the structure of the PTFE layer 2720 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2720 is deposited.
  • the PTFE layer 2720 includes various features, for example, a lower ridge portion 2727 in addition to a hole 2728 which acts as a via for the subsequent material layers.
  • the buckle plate 2703 (Fig. 208) comprises a conductive layer 2731 and a PTFE layer 2732.
  • a first, thicker PTFE layer is deposited onto a sacrificial layer (not shown).
  • a conductive layer 2731 is deposited including contacts 2729, 2730.
  • the conductive layer 2731 is then etched to form a se ⁇ entine pattern.
  • a thinner, second PTFE layer is deposited to complete the buckle plate 2703 (Fig. 208) structure.
  • a nittide layer can be deposited to form the nozzle chamber proper.
  • the nitride layer can be formed by first laying down a sacrificial glass layer and etching this to form walls, e.g. 2733, and grilled portions, e.g. 2734.
  • the mask utilised results in a first anchor portion 2735 which mates with the hole 2728 in layer 2720.
  • the bottom surface of the grill, for example 2734 meets with a corresponding step 2736 in the PTFE layer 2732.
  • a top nitride layer 2737 can be formed having a number of holes, e.g.
  • Fig. 211 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • sacrificial material e.g. polyimide.
  • Steps 10 and 11 form a lift-off process. This step is shown in Fig. 215.
  • This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig.221. 22. Back-etch the CMOS oxide layers and subsequently deposited nittide layers and sacrificial layer through to PTFE using the back-etched silicon as a mask.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • a thermal actuator is utilised to activate a set of "vanes” so as to compress a volume of ink and thereby forcing ink out of an ink nozzle.
  • An embodiment fundamentally comprises a series of readily positioned vane chambers, e.g. 2802 which are normally filled with ink.
  • the vane chambers include side walls made up of a first static vane 2803 having a first radial surface 2805 and a second circumferential surface 2806.
  • a second set of "impeller vanes” e.g. 2807 is also provided which has a radially aligned surface and is attached to rings 2809, 2810 with the inner ring 2809 being pivotally mounted around a pivot unit 2812.
  • the outer ring 2810 is also rotatable about the pivot point 2812 and is interconnected with a thermal actuator 2813.
  • the thermal actuator 2813 is of a circumferential form and undergoes expansion and contraction thereby rotating the impeller vane 2807 towards the radial surface 2805 of the static vane
  • the vane chamber 2802 undergoes a rapid reduction in volume thereby resulting in a substantial increase in pressure resulting in the expulsion of ink from the chamber 2802.
  • the static vane 2803 is attached to a nozzle plate 2815.
  • the nozzle plate 2815 includes a nozzle rim 2816 defining an aperture 2814 into the vane chambers 2802.
  • the aperture 2814 defined by rim 2816 allows for the injection of ink from the vane chambers 2802 onto the relevant print media.
  • Fig. 225 plan view taken from above of relevant portions of an inkjet nozzle 2801, constructed in accordance with an embodiment.
  • the outer ring 2810 is interconnected at the points 2820, 2821 to thermal actuators 2813, 2822.
  • the thermal actuators 2813, 2822 include inner resistive elements 2824, 2825 which are constructed from copper or the like. Copper has a low coefficient of thermal expansion and therefore constructed in a se ⁇ entine manner, so as to allow for greater expansion in the radial direction 2828.
  • the inner resistive element is encased in an outer jacket, e.g. 2826 of a material having a high coefficient of thermal expansion.
  • Suitable material includes polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion (770 x 10 '6 ).
  • the thermal actuators eg. 2822 is anchored at the point 2827 to a lower layer of the wafer. The anchor point also forms an electrical connection with the relevant drive line of the lower layer.
  • the resistive element, e.g. 2825 is also electronically connected 2821 at the other end to the outer ring 2810.
  • the outer jacket 2826 undergoes rapid expansion which includes the expansion of the se ⁇ entine inner resist element 2825.
  • the rapid expansion and subsequent contraction on de-energising the resistive element 2825 results in a rotational force in direction 2828 being induced in the ring 2810.
  • FIG. 226 there is illusttated a cross-sectional view through a single nozzle arrangement.
  • the illustration of Fig. 227 illusttates a drop 2831 being ejected out of the nozzle aperture 2814 as a result of utilisation of the impeller vanes e.g. 2807 (Fig. 224).
  • the arrangement of Fig. 224 is constructed on a silicon wafer 2833.
  • Electronic drive circuitry eg. 2834 is first constructed for control and driving of the thermal actuators 2813, 2822 (not shown).
  • a silicon dioxide layer 2835 is provided for defining the nozzle chamber which includes channel walls separating one color ink from an adjacent ink reservoirs (not shown).
  • the nozzle plate 2815 in addition to being mounted at channel walls 2836, is also interconnected to the wafer 2833 via nozzle plate stand off posts, e.g. 2837 so as to provide for stable separation from the wafer 2833.
  • the static vanes, e.g. 2805 are constructed from silicon nitrate as is the nozzle plate 2815.
  • the static vane 2803 and nozzle plate 2815 can be constructed utilizing a dual damascene process utilizing a sacrificial layer as discussed further hereinafter.
  • Fig. 228 Relevant features of the wafer at this step are shown in Fig. 228. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 227 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 238. 21. Back-etch the CMOS oxide layers and subsequently deposited nitride layers through to the sacrificial layer using the back-etched silicon as a mask.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • TAB TAB
  • Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • a new form of thermal actuator is utilized for the ejection of drops of ink on demand from an ink nozzle.
  • Fig. 241 to Fig. 244 there will be illusttated the basis of operation of the inkjet printing device utilising the actuator.
  • Fig. 241 there is illustrated 2901, the quiescent position of a thermal actuator 2902 in a nozzle chamber 2903 filled with ink and having a nozzle 2904 for the ejection of ink.
  • the nozzle 2904 has an ink meniscus 2905 in a state of surface tension ready for the ejection of ink.
  • the thermal actuator 2902 is coated on a first surface 2906, facing the chamber 2903, with a hydrophilic material.
  • a second surface 2907 is coated with a hydrophobic material which causes an air bubble 2908 having a meniscus 2909 underneath the actuator 2902.
  • the air bubble 2908 is formed over time by outgassing from the ink within chamber 2903 and the meniscus 2909 is shown in an equilibrium position between the hydrophobic 2907 and hydrophilic 2906 surfaces.
  • the actuator 2902 is fixed at one end 2911 to a substrate 2912 from which it also derives an electrical connection.
  • the actuator 2902 When it is desired to eject a drop from the nozzle 2904, the actuator 2902 is activated as shown in Fig. 242, resulting in a movement in direction 2914, the movement in direction 2914 causes a substantial increase in the pressure of the ink around the nozzle 2904. This results in a general expansion of the meniscus 2905 and the passing of momentum to the ink so as to form a partial drop 2915. Upon movement of the actuator 2902 in the direction 2914, the ink meniscus 2909 collapses generally in the indicated direction 2916.
  • the thermal actuator 2902 is deactivated as illustrated in Fig. 243, resulting in a return of the actuator 2902 in the direction generally indicated by the arrow 2917.
  • the movement back of the actuator 2917 results in a low pressure region being experienced by the ink within the nozzle area 2904.
  • the forward momentum of the drop 2915 and the low pressure around the nozzle 2904 results in the ink drop 2915 being broken off from the main body of the ink.
  • the drop 2915 continues to the print media as required.
  • the movement of the actuator 2902 in the direction 2917 further causes ink to flow in the direction 2919 around the actuator 2902 in addition to causing the meniscus 2909 to move as a result of the ink flow 2919. Further, further ink 2920 is sucked into the chamber 2903 to refill the ejected ink 2915.
  • the actuator 2902 returns to its quiescent with the meniscus 2905 also returning to a state of having a slight bulge.
  • the actuator 2902 is then in a state for refiring of another drop on demand as required.
  • Fig. 245 there is illustrated a cross-section through one form of suitable nozzle chamber.
  • One end 2911 of the actuator 2902 is connected to the substrate 2912 and the other end includes a stiff paddle 2925 for utilisation in ejecting ink.
  • the actuator itself is constructed from four a layer MEMs processing technique. The layers are as follows:
  • a polytettafluoroethylene (PTFE) lower layer 2926 PTFE has a very high coefficient of thermal expansion (approximately 770 x 10 "6 , or around 380 times that of silicon). This layer expands when heated by a heater layer.
  • a heater layer 2927 A se ⁇ entine heater 2927 is etched in this layer, which may be formed from nichrome, copper or other suitable material with a resistivity such that the drive voltage for the heater is compatible with the drive transistors utilized. The se ⁇ entine heater 2927 is arranged to have very little tensile strength in the direction 2929 along the length of the actuator.
  • a PTFE upper layer 2930 This layer 2930 expands when heated by the heater layer.
  • a silicon nitride layer 2932 This is a thin layer 2932 is of high stiffness and low coefficient of thermal expansion. Its pu ⁇ ose is to ensure that the actuator bends, instead of simply elongating as a result of thermal expansion of the PTFE layers. Silicon nitride can be used simply because it is a standard semi-conductor material, and Si0 2 cannot easily be used if it is also the sacrificial material used when constructing the device. Operation of the ink jet actuator 2902 will then be as follows:
  • the drive transistor for that nozzle is turned on. This energises the heater 2927 in the paddle for that nozzle.
  • the heater is energised for approximately 2 ⁇ s, with the actual duration depending upon the exact design chosen for the actuator nozzle and the inks utilized.
  • the heater 2927 heats the PTFE layers 2926, 2930 which expand at a rate many times that of the
  • Si 3 N 4 layer 2932 This expansion causes the actuator 2902 to bend, with the PTFE layer 2926 being the convex side. The bending of the actuator moves the paddle, pushing ink out of the nozzle.
  • the cold side of the actuator including SiN layer 2932 will still be water cooled.
  • the air bubble will also expand slightly when heated, helping to move the paddle.
  • the presence of the air bubble also means that less ink is required to move under the paddle when the actuator is energised.
  • the paddle 2925 begins to return to its quiescent position.
  • the paddle return 'sucks' some of the ink back into the nozzle, causing the ink ligament connecting the ink drop to the ink in the nozzle to thin.
  • the forward velocity of the drop and the backward velocity of the ink in the chamber are resolved by the ink drop breaking off from the ink in the nozzle.
  • the ink drop then continues towards the recording medium.
  • Fig. 246 there is illustrated an exploded perspective view of a single ink jet nozzle as constructed in accordance with an embodiment.
  • the construction of a print-head can proceed as follows:
  • CMOS circuitry layer 2983 including an oxide layer (not shown) and passivation layer 2982 for passivation of the wafer.
  • the passivation layer must be highly impervious.
  • a layer of high density silicon nittide (Si 3 N 4 ) is suitable.
  • Another alternative is diamond-like carbon (DLC).
  • PSG phophosilicate glass
  • PTFE polytetrafluoroethylene
  • the PTFE may be roughened to promote adhesion.
  • the PTFE may be deposited as a spin-on nanoemulsion. [T. Rosenmayer, H. Wu, "PTFE nanoemulsions as spinon, low dielectric constant materials for ULSI applications", PP463-468, Advanced Metallisation for Future ULSI, MRS vol. 427,1996].
  • heater material layer 2985 This may be Nichrome (an alloy of 80% nickel and 20% chromium) which may be deposited by sputtering. Many other heater materials may be used. The principal requirements are a resistivity which results in a drive voltage which is suitable for the CMOS drive circuitry layer, a melting point above the temperature of subsequent process steps, electromigration resistance, and appropriate mechanical properties.
  • the PTFE may be spun on as a nanoemulsion, and may be roughened to promote adhesion. (This layer forms part of layer 2984 in Fig. 246.)
  • the wafer may be tilted slightly and rotated during etching to prevent the formation of microglass. Both layers of PTFE can be etched simultaneously.
  • Si0 2 This may be deposited as spin-on glass (SOG) and will be used as a sacrificial layer (not shown). 13. Etch through the glass layer using a mask defining the nozzle chamber and ink channel walls, e.g.
  • the etched areas are subsequently filled by depositing silicon nittide through the mask. 14. Deposit 2 ⁇ m of silicon nittide layer 2987. This forms the front surface of the print-head. Many other materials could be used. A suitable material should have a relatively high Young's modulus, not corrode in water, and have a low etch rate in hydrofluoric acid (HF). It should also be hydrophilic.
  • Mask and etch nozzle rims (not shown). These are l ⁇ m annular protrusions above the print-head surface around the nozzles, e.g. 2904, which help to prevent ink flooding the surface of the print-head. They work in conjunction with the hydrophobising of the print-head front surface.
  • This mask also includes smaller holes, e.g. 2947, which are placed to allow the ingress of the etchant for the sacrificial layers. These holes should be small enough to that the ink surface tension ensures that ink is not ejected from the holes when the ink pressure waves from nearby actuated nozzles is at a maximum. Also, the holes should be small enough to ensure that air bubbles are not ingested at times of low ink pressure. These holes are spaced close enough so that etchant can easily remove all of the sacrificial material even though the paddle and actuator are fairly large and flexible, stiction should not be a problem for this design. This is because the paddle is made from PTFE.
  • Etch ink access holes (not shown) through the wafer 2980. This can be done as an anisotropic crystallographic silicon etch, or an anisottopic dry etch.
  • a dry etch system capable of high aspect ratio deep silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended for volume production, as the chip size can be reduced over wet etch.
  • STS Surface Technology Systems
  • ASE Advance Silicon Etch
  • the wet etch is suitable for small volume production, as the chip size can be reduced over wet etch.
  • the wet etch is suitable for small volume production where a suitable plasma etch system is not available.
  • ink access can be around the sides of the print-head chips.
  • ink access is through the wafer higher ink flow is possible, and there is less requirement for high accuracy assembly. If ink access is around the edge of the chip, ink flow is severely limited, and the print-head chips must be carefully assembled onto ink channel chips. This latter process is difficult due to the possibility of damaging the fragile nozzle plate. If plasma etching is used, the chips can be effectively diced at the same time. Separating the chips by plasma etching allows them to be spaced as little as 35 ⁇ m apart, increasing the number of chips on a wafer. At this stage, the chips must be handled carefully, as each chip is a beam of silicon 100mm long by 0.5mm wide and 0.7mm thick.
  • print-head chips into print-head carriers. These are mechanical support and ink connection mouldings.
  • the print-head carriers can be moulded from plastic, as the minimum dimensions are 0.5mm.
  • Fig. 248 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • sacrificial material e.g. polyimide
  • Steps 7 and 8 form a lift-off process. This step is shown in Fig. 252.
  • 21. Mount the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer. 22. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • an ink jet printer having ink ejection nozzles from which ink is ejected with the ink ejection being actuated by means of a thermal actuator which includes a "corrugated" copper heating element encased in a polytettafluoroethylene (PTFE) layer.
  • a thermal actuator which includes a "corrugated" copper heating element encased in a polytettafluoroethylene (PTFE) layer.
  • PTFE polytettafluoroethylene
  • the thermal paddle actuator 3013 comprises an inner copper heating portion 3014 and paddle 3015 which are encased in an outer PTFE layer 3016.
  • the outer PTFE layer 3016 has an extremely high coefficient of thermal expansion (approximately 77010 "6 , or around 380 times that of silicon).
  • the PTFE layer 3016 is also highly hydrophobic which results in an air bubble 3017 being formed under the actuator 3013 due to out-gassing etc.
  • the top PTFE layer is treated so as to make it hydrophilic.
  • the heater 3014 is also formed within the lower portion of the actuator 3013.
  • the heater 3014 is connected at ends 3020,3021 (see also Fig. 267) to a lower CMOS drive layer 3018 containing drive circuitry (not shown).
  • a current is passed through the copper heater element 3014 which heats the bottom surface of actuator 3013.
  • the bottom surface of actuator 3013, in contact with air bubble 3017 remains heated while any top surface heating is carried away by the exposure of the top surface of actuator 3013 to the ink within chamber 3012.
  • the bottom PTFE layer expands more rapidly resulting in a general rapid bending upwards of actuator 3013 (as illustrated in Fig. 262) which consequentially causes the ejection of ink from ink ejection port 301 1.
  • An air inlet channel 3028 is formed between two nitride layers 3042, 3026 such that air is free to flow 3029 along channel 3028 and through holes, e.g. 3025, in accordance with any fluctuating pressure influences.
  • the air flow 3029 acts to reduce the vacuum on the back surface of actuator 3013 during operation. As a result less energy is required for the movement of the actuator 3013.
  • the actuator 3013 can be deactivated by turning off the current to heater element 3014. This will result in a return of the actuator 3013 to its rest position.
  • the actuator 3013 includes a number of significant features.
  • Fig. 263 there is illustrated a schematic diagram of the conductive layer of the thermal actuator 3013.
  • the conductive layer includes paddle 3015, which can be constructed from the same material as heater 3014, i.e. copper, and which contains a series of holes e.g. 3023.
  • the holes are provided for interconnecting layers of PTFE both above and below panel 3015 so as to resist any movement of the PTFE layers past the panel 3015 and thereby reducing any opportunities for the delamination of the PTFE and copper layers.
  • Fig. 264 there is illusttated a close up view of a portion of the actuator 3013 of Fig. 261 illustrating the corrugated nature 3022 of the heater element 3014 within the PTFE nature of actuator 3013 of Fig. 261.
  • the corrugated nature 3022 of the heater 3014 allows for a more rapid heating of the portions of the bottom layer surrounding the corrugated heater. Any resistive heater which is based upon applying a current to heat an object will result in a rapid, substantially uniform elevation in temperature of the outer surface of the current carrying conductor.
  • the surrounding PTFE volume is therefore heated by means of thermal conduction from the resistive element.
  • This thermal conduction is known to proceed, to a first approximation, at a substantially linear rate with respect to distance from a resistive element.
  • a corrugated resistive element By utilising a corrugated resistive element the bottom surface of actuator 3013 is more rapidly heated as, on average, a greater volume of the bottom PTFE surface is closer to a portion of the resistive element. Therefore, the utilisation of a corrugated resistive element results in a more rapid heating of the bottom surface layer and therefore a more rapid actuation of the actuator 3013. Further, a corrugated heater also assists in resisting any delamination of the copper and PTFE layer.
  • the corrugated resistive element can be formed by depositing a resist layer 3050 on top of the first PTFE layer 3051.
  • the resist layer 3050 is exposed utilising a mask 3052 having a half-tone pattern delineating the corrugations. After development the resist 3050 contains the corrugation pattern.
  • the resist layer 3050 and the PTFE layer 3051 are then etched utilising an etchant that erodes the resist layer 3050 at substantially the same rate as the PTFE layer 3051. This transfers the corrugated pattern into the PTFE layer 3051.
  • Fig. 266 on top of the corrugated PTFE layer 3051 is deposited the copper heater layer 3014 which takes on a corrugated form in accordance with its under layer.
  • the copper heater layer 3014 is then etched in a se ⁇ entine or concertina form. Subsequently, a further PTFE layer 3053 is deposited on top of layer 3014 so as to form the top layer of the thermal actuator 3013. Finally, the second PTFE layer 3052 is planarised to form the top surface of the thermal actuator 3013 (Fig. 261).
  • an ink supply can be supplied through a throughway for channel 3038 which can be constructed by means of deep anisotropic silicon trench etching such as that available from STS Limited ("Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology).
  • the ink supply flows from channel 3038 through the side grill portions e.g. 3040 (see also Fig. 267) into chamber 3012.
  • the grill portions e.g. 3040 which can comprise silicon nitride or similar insulating material acts to remove foreign bodies from the ink flow.
  • the grill 3040 also helps to pinch the PTFE actuator 3013 to a base CMOS layer 3018, the pinching providing an important assistance for the thermal actuator 3013 so as to ensure a substantially decreased likelihood of the thermal actuator layer 3013 separating from a base CMOS layer 3018.
  • a series of sacrificial etchant holes, e.g. 3019, are provided in the top wall 3048 of the chamber 3012 to allow sacrificial etchant to enter the chamber 3012 during fabrication so as to increase the rate of etching.
  • the small size of the holes, e.g. 3019, does not affect the operation of the device 3010 substantially as the surface tension across holes, e.g. 3019, stops ink being ejected from these holes, whereas, the larger size hole 3011 allows for the ejection of ink.
  • nozzles 3010 can be formed in layers starting with a silicon wafer device 3041 having a CMOS layer 3018 on top thereof as required.
  • the CMOS layer 3018 provides the various drive circuitry for driving the copper heater elements 3014.
  • a nittide layer 3042 is deposited, providing primarily protection for lower layers from corrosion or etching.
  • a PTFE layer 3026 is constructed having the aforementioned holes, e.g. 3025, and posts, e.g. 3027.
  • the structure of the PTFE layer 3026 can be formed by first laying down a sacrificial glass layer
  • the PTFE layer 3026 includes various features, for example, a lower ridge portion 3030 in addition to vias for the subsequent material layers.
  • the process of creating a first PTFE layer proceeds by laying down a sacrificial layer on top of layer 3026 in which the air bubble underneath actuator 3013 (Fig. 261) subsequently forms. On top of this is formed a first PTFE layer utilising the relevant mask.
  • the PTFE layer includes vias for the subsequent copper interconnections.
  • a copper layer 3043 is deposited on top of the first PTFE layer 3042 and a subsequent PTFE layer is deposited on top of the copper layer 3043, in each case, utilising the required mask.
  • the nitride layer 3046 can be formed by the utilisation of a sacrificial glass layer which is masked and etched as required to form the side walls and the grill 3040. Subsequently, the top nittide layer 3048 is deposited again utilising the appropriate mask having considerable holes as required. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.
  • FIG. 268 there is illustrated a section of an ink jet print head configuration 3090 utilising ink jet nozzles constructed in accordance with an embodiment, e.g. 3091.
  • the configuration 3090 can be utilised in a three color process 1600dpi print-head utilising 3 sets of 2 rows of nozzle chambers, e.g. 3092,3093, which are interconnected to one ink supply channel, e.g. 3094, for each set.
  • the 3 supply channels 3094, 3095, 3096 are interconnected to cyan coloured, magenta coloured and yellow coloured ink reservoirs respectively.
  • Fig. 270 Relevant features of the wafer at this step are shown in Fig. 270. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
  • Fig. 269 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced inkjet configurations.
  • sacrificial material e.g. polyimide
  • This mask is a gray-scale mask which defines the heater vias as well as the corrugated PTFE surface that the heater is subsequently deposited on.
  • Steps 12 and 13 form a lift-off process. This step is shown in Fig. 275. 14.
  • the print heads in their packaging, which may be a molded plastic former inco ⁇ orating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
  • TAB may be used for a low profile connection with minimum disruption of airflow. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
  • a drop on demand inkjet printer which allows for the ejection of ink on demand by means of a thermal actuator which operates to eject the ink from a nozzle chamber.
  • the nozzle chamber is formed directly over an ink supply channel thereby allowing for an extremely compact form of nozzle chamber.
  • the extremely compact form of nozzle chamber allows for minimal area to be taken up by the printer head device thereby resulting in an improved economics fabrication.
EP98933352A 1997-07-15 1998-07-15 Jet d'encre a commande thermique Expired - Lifetime EP0999934B1 (fr)

Priority Applications (7)

Application Number Priority Date Filing Date Title
ES05109756T ES2302134T3 (es) 1997-07-15 1998-07-15 Boquilla de inyeccion de tinta con ranuras laterales y panel desplazable.
EP05109733A EP1647402B1 (fr) 1997-07-15 1998-07-15 Dispositif de buse de jet d'encre avec mécanisme d'actuation dans la chambre entre buse et ravitaillement d'encre
EP05109763A EP1652671B1 (fr) 1997-07-15 1998-07-15 Chambre de buse de jet d'encre avec deux trous d'éjection de liquide et avec pagaie mobile
EP05109700A EP1637330B1 (fr) 1997-07-15 1998-07-15 Élément thermique d'actuation avec ondulations
EP05109707A EP1650030B1 (fr) 1997-07-15 1998-07-15 Chambre de buse avec pagaie et actuateur thermique en dehors
EP05109756A EP1650031B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec fente dans une paroi de côté et aile mobile
EP05109701A EP1640162B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec des pagaies formant une part du mur

Applications Claiming Priority (143)

Application Number Priority Date Filing Date Title
AUPO794497 1997-07-15
AUPO8002A AUPO800297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ20)
AUPO805197 1997-07-15
AUPO803897 1997-07-15
AUPO794697 1997-07-15
AUPO087397 1997-07-15
AUPO7944A AUPO794497A0 (en) 1997-07-15 1997-07-15 A device (MEMS09)
AUPO939097 1997-07-15
AUPO850397 1997-07-15
AUPO793797 1997-07-15
AUPO803397 1997-07-15
AUPO7946A AUPO794697A0 (en) 1997-07-15 1997-07-15 A device (MEMS10)
AUPO805697 1997-07-15
AUPO8057A AUPO805797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ09)
AUPO800697 1997-07-15
AUPO8051A AUPO805197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM27)
AUPO8056A AUPO805697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ10)
AUPO807597 1997-07-15
AUPO794397 1997-07-15
AUPO8074A AUPO807497A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM23)
AUPO8062A AUPO806297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ22)
AUPO7947A AUPO794797A0 (en) 1997-07-15 1997-07-15 A device (MEMS07)
AUPO800197 1997-07-15
AUPO938997 1997-07-15
AUPO8010A AUPO801097A0 (en) 1997-07-15 1997-07-15 A device (MEMS05)
AUPO8079A AUPO807997A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM18)
AUPO800797 1997-07-15
AUPO8040A AUPO804097A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ03)
AUPO939197 1997-07-15
AUPO800297 1997-07-15
AUPO8034A AUPO803497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ23)
AUPO8006A AUPO800697A0 (en) 1997-07-15 1997-07-15 A device (MEMS02)
AUPO804097 1997-07-15
AUPO089397 1997-07-15
AUPO8042A AUPO804297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ29)
AUPO801097 1997-07-15
AUPO800897 1997-07-15
AUPP088897 1997-07-15
AUPO7945A AUPO794597A0 (en) 1997-07-15 1997-07-15 A device (MEMS08)
AUPO8050A AUPO805097A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM19)
AUPO805097 1997-07-15
AUPO795297 1997-07-15
AUPO805297 1997-07-15
AUPO804397 1997-07-15
AUPP089197 1997-07-15
AUPO8011A AUPO801197A0 (en) 1997-07-15 1997-07-15 A device (MEMS06)
AUPO8001A AUPO800197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ17)
AUPO806497 1997-07-15
AUPO804597 1997-07-15
AUPO794897 1997-07-15
AUPO807997 1997-07-15
AUPO793397 1997-07-15
AUPO7941A AUPO794197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM24)
AUPO804697 1997-07-15
AUPO8007A AUPO800797A0 (en) 1997-07-15 1997-07-15 A device (MEMS03)
AUPO807497 1997-07-15
AUPO7948A AUPO794897A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM21)
AUPO807897 1997-07-15
AUPO8045A AUPO804597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM28)
AUPO8039A AUPO803997A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ24)
AUPO939297 1997-07-15
AUPO8043A AUPO804397A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ28)
AUPO939397 1997-07-15
AUPO805797 1997-07-15
AUPO8078A AUPO807897A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM09)
AUPO8037A AUPO803797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ27)
AUPO7943A AUPO794397A0 (en) 1997-07-15 1997-07-15 A device (MEMS01)
AUPO801197 1997-07-15
AUPO803497 1997-07-15
AUPO8033A AUPO803397A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ19)
AUPO803797 1997-07-15
AUPO8008A AUPO800897A0 (en) 1997-07-15 1997-07-15 A device (MEMS04)
AUPO8052A AUPO805297A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM20)
AUPO7933A AUPO793397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation_apparatus (IJM10)
AUPO795197 1997-07-15
AUPO8046A AUPO804697A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM30)
AUPO7952A AUPO795297A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM29)
AUPO8038A AUPO803897A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ18)
AUPO8075A AUPO807597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM17)
AUPO794797 1997-07-15
AUPO8064A AUPO806497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ30)
AUPO794597 1997-07-15
AUPO806897 1997-07-15
AUPO804297 1997-07-15
AUPO794197 1997-07-15
AUPO803997 1997-07-15
AUPO806297 1997-07-15
AUPO8068A AUPO806897A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ21)
AUPO7937A AUPO793797A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM03)
AUPO7951A AUPO795197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM22)
AUPO8503A AUPO850397A0 (en) 1997-08-11 1997-08-11 A method of manufacture of an image creation apparatus (ijm30a)
AUPO9389A AUPO938997A0 (en) 1997-09-23 1997-09-23 Image creation method and apparatus (IJ31)
AUPO9392A AUPO939297A0 (en) 1997-09-23 1997-09-23 A method of manufacture of an image creation apparatus (IJM32)
AUPO9393A AUPO939397A0 (en) 1997-09-23 1997-09-23 A device and method (MEMS11)
AUPO9390A AUPO939097A0 (en) 1997-09-23 1997-09-23 A method of manufacture of an image creation apparatus (IJM31)
AUPO9391A AUPO939197A0 (en) 1997-09-23 1997-09-23 Image creation method and apparatus (IJ32)
AUPP0888A AUPP088897A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ33)
AUPP087597 1997-12-12
AUPP088997 1997-12-12
AUPP0882A AUPP088297A0 (en) 1997-12-12 1997-12-12 A method of manufacture of an image creation apparatus (IJM37)
AUPP0891A AUPP089197A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ34)
AUPP087297 1997-12-12
AUPP0875A AUPP087597A0 (en) 1997-12-12 1997-12-12 A device (MEMS12)
AUPP0890A AUPP089097A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ35)
AUPP087497 1997-12-12
AUPP089297 1997-12-12
AUPP0872A AUPP087297A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJM36)
AUPP0892A AUPP089297A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ38)
AUPP089497 1997-12-12
AUPP088297 1997-12-12
AUPP0889A AUPP088997A0 (en) 1997-12-12 1997-12-12 A method of manufacture of an image creation apparatus (IJM35)
AUPP0874A AUPP087497A0 (en) 1997-12-12 1997-12-12 A method of manufacture of an image creation apparatus (IJM38)
AUPP0893A AUPP089397A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ37)
AUPP0873A AUPP087397A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ36)
AUPP089097 1997-12-12
AUPP0894A AUPP089497A0 (en) 1997-12-12 1997-12-12 An interconnection system (MEMS13)
AUPP139898 1998-01-19
AUPP1398A AUPP139898A0 (en) 1998-01-19 1998-01-19 An image creation method and apparatus (ij39)
AUPP1396A AUPP139698A0 (en) 1998-01-19 1998-01-19 A method of manufacture of an image creation apparatus (ijm39)
AUPP139698 1998-01-19
AUPP259398 1998-03-25
AUPP259198 1998-03-25
AUPP2593A AUPP259398A0 (en) 1998-03-25 1998-03-25 Image creation method and apparatus (IJ41)
AUPP2592A AUPP259298A0 (en) 1998-03-25 1998-03-25 Image creation method and apparatus (IJ40)
AUPP2591A AUPP259198A0 (en) 1998-03-25 1998-03-25 Image creation method and apparatus (IJM41)
AUPP259298 1998-03-25
AUPP3986A AUPP398698A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm43)
AUPP398398 1998-06-09
AUPP3987A AUPP398798A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij43)
AUPP3983A AUPP398398A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij45)
AUPP398698 1998-06-09
AUPP398598 1998-06-09
AUPP398798 1998-06-09
AUPP3984A AUPP398498A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm44)
AUPP399098 1998-06-09
AUPP3990A AUPP399098A0 (en) 1998-06-09 1998-06-09 A method of manufacture of image creation apparatus (ijm42)
AUPP399198 1998-06-09
AUPP398998 1998-06-09
AUPP3991A AUPP399198A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij42)
AUPP3989A AUPP398998A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm40)
AUPP398498 1998-06-09
AUPP3985A AUPP398598A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij44)
PCT/AU1998/000550 WO1999003681A1 (fr) 1997-07-15 1998-07-15 Jet d'encre a commande thermique

Related Child Applications (6)

Application Number Title Priority Date Filing Date
EP05109733A Division EP1647402B1 (fr) 1997-07-15 1998-07-15 Dispositif de buse de jet d'encre avec mécanisme d'actuation dans la chambre entre buse et ravitaillement d'encre
EP05109756A Division EP1650031B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec fente dans une paroi de côté et aile mobile
EP05109707A Division EP1650030B1 (fr) 1997-07-15 1998-07-15 Chambre de buse avec pagaie et actuateur thermique en dehors
EP05109701A Division EP1640162B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec des pagaies formant une part du mur
EP05109700A Division EP1637330B1 (fr) 1997-07-15 1998-07-15 Élément thermique d'actuation avec ondulations
EP05109763A Division EP1652671B1 (fr) 1997-07-15 1998-07-15 Chambre de buse de jet d'encre avec deux trous d'éjection de liquide et avec pagaie mobile

Publications (3)

Publication Number Publication Date
EP0999934A1 EP0999934A1 (fr) 2000-05-17
EP0999934A4 true EP0999934A4 (fr) 2001-06-27
EP0999934B1 EP0999934B1 (fr) 2005-10-26

Family

ID=27587066

Family Applications (5)

Application Number Title Priority Date Filing Date
EP05109701A Expired - Lifetime EP1640162B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec des pagaies formant une part du mur
EP05109700A Expired - Lifetime EP1637330B1 (fr) 1997-07-15 1998-07-15 Élément thermique d'actuation avec ondulations
EP05109756A Expired - Lifetime EP1650031B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec fente dans une paroi de côté et aile mobile
EP05109707A Expired - Lifetime EP1650030B1 (fr) 1997-07-15 1998-07-15 Chambre de buse avec pagaie et actuateur thermique en dehors
EP98933352A Expired - Lifetime EP0999934B1 (fr) 1997-07-15 1998-07-15 Jet d'encre a commande thermique

Family Applications Before (4)

Application Number Title Priority Date Filing Date
EP05109701A Expired - Lifetime EP1640162B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec des pagaies formant une part du mur
EP05109700A Expired - Lifetime EP1637330B1 (fr) 1997-07-15 1998-07-15 Élément thermique d'actuation avec ondulations
EP05109756A Expired - Lifetime EP1650031B1 (fr) 1997-07-15 1998-07-15 Buse de jet d'encre avec fente dans une paroi de côté et aile mobile
EP05109707A Expired - Lifetime EP1650030B1 (fr) 1997-07-15 1998-07-15 Chambre de buse avec pagaie et actuateur thermique en dehors

Country Status (5)

Country Link
EP (5) EP1640162B1 (fr)
JP (1) JP4160250B2 (fr)
AT (4) ATE386638T1 (fr)
ES (1) ES2302134T3 (fr)
WO (1) WO1999003681A1 (fr)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855264B1 (en) 1997-07-15 2005-02-15 Kia Silverbrook Method of manufacture of an ink jet printer having a thermal actuator comprising an external coil spring
US7328975B2 (en) * 1997-07-15 2008-02-12 Silverbrook Research Pty Ltd Injet printhead with thermal bend arm exposed to ink flow
US7527357B2 (en) * 1997-07-15 2009-05-05 Silverbrook Research Pty Ltd Inkjet nozzle array with individual feed channel for each nozzle
US6488361B2 (en) * 1997-07-15 2002-12-03 Silverbrook Research Pty Ltd. Inkjet printhead that incorporates closure mechanisms
US7468139B2 (en) 1997-07-15 2008-12-23 Silverbrook Research Pty Ltd Method of depositing heater material over a photoresist scaffold
US7360871B2 (en) * 1997-07-15 2008-04-22 Silverbrook Research Pty Ltd Inkjet chamber with ejection actuator between inlet and nozzle
AUPP654598A0 (en) 1998-10-16 1998-11-05 Silverbrook Research Pty Ltd Micromechanical device and method (ij46h)
US7556356B1 (en) 1997-07-15 2009-07-07 Silverbrook Research Pty Ltd Inkjet printhead integrated circuit with ink spread prevention
US7234795B2 (en) * 1997-07-15 2007-06-26 Silverbrook Research Pty Ltd Inkjet nozzle with CMOS compatible actuator voltage
US7337532B2 (en) 1997-07-15 2008-03-04 Silverbrook Research Pty Ltd Method of manufacturing micro-electromechanical device having motion-transmitting structure
US7195339B2 (en) 1997-07-15 2007-03-27 Silverbrook Research Pty Ltd Ink jet nozzle assembly with a thermal bend actuator
US7410243B2 (en) * 1997-07-15 2008-08-12 Silverbrook Research Pty Ltd Inkjet nozzle with resiliently biased ejection actuator
US7753491B2 (en) 1997-07-15 2010-07-13 Silverbrook Research Pty Ltd Printhead nozzle arrangement incorporating a corrugated electrode
US7334874B2 (en) * 1997-07-15 2008-02-26 Silverbrook Research Pty Ltd Inkjet nozzle chamber with electrostatically attracted plates
US7207654B2 (en) 1997-07-15 2007-04-24 Silverbrook Research Pty Ltd Ink jet with narrow chamber
US7465030B2 (en) 1997-07-15 2008-12-16 Silverbrook Research Pty Ltd Nozzle arrangement with a magnetic field generator
US6682174B2 (en) 1998-03-25 2004-01-27 Silverbrook Research Pty Ltd Ink jet nozzle arrangement configuration
US6712453B2 (en) 1997-07-15 2004-03-30 Silverbrook Research Pty Ltd. Ink jet nozzle rim
US20040130599A1 (en) * 1997-07-15 2004-07-08 Silverbrook Research Pty Ltd Ink jet printhead with amorphous ceramic chamber
US6648453B2 (en) 1997-07-15 2003-11-18 Silverbrook Research Pty Ltd Ink jet printhead chip with predetermined micro-electromechanical systems height
US6935724B2 (en) 1997-07-15 2005-08-30 Silverbrook Research Pty Ltd Ink jet nozzle having actuator with anchor positioned between nozzle chamber and actuator connection point
US6435667B1 (en) * 1997-12-12 2002-08-20 Silverbrook Research Pty Ltd. Opposed ejection ports and ink inlets in an ink jet printhead chip
US6623108B2 (en) * 1998-10-16 2003-09-23 Silverbrook Research Pty Ltd Ink jet printhead having thermal bend actuator heating element electrically isolated from nozzle chamber ink
WO2000023279A1 (fr) 1998-10-16 2000-04-27 Silverbrook Research Pty. Limited Amelioration d'imprimantes a jet d'encre
US6805435B2 (en) * 1998-10-16 2004-10-19 Silverbrook Research Pty Ltd Printhead assembly with an ink distribution arrangement
AUPP868699A0 (en) 1999-02-15 1999-03-11 Silverbrook Research Pty Ltd A method and apparatus(IJ46P1A)
US6984023B2 (en) 1999-02-15 2006-01-10 Silverbrook Research Pty Ltd Micro-electromechanical displacement device
JP4732588B2 (ja) * 1999-02-15 2011-07-27 シルバーブルック リサーチ プロプライエタリイ、リミテッド 熱アクチュエータ及び機械的アクチュエータ
US6792754B2 (en) 1999-02-15 2004-09-21 Silverbrook Research Pty Ltd Integrated circuit device for fluid ejection
US6860107B2 (en) 1999-02-15 2005-03-01 Silverbrook Research Pty Ltd Integrated circuit device having electrothermal actuators
AU775594B2 (en) * 1999-03-16 2004-08-05 Silverbrook Research Pty. Ltd. A method of manufacturing a thermal bend actuator
AUPP922399A0 (en) * 1999-03-16 1999-04-15 Silverbrook Research Pty Ltd A method and apparatus (ij46p2)
AU770756B2 (en) * 1999-04-22 2004-03-04 Memjet Technology Limited Actuator control in a micro electro-mechanical liquid ejection device
AU769819B2 (en) * 1999-04-22 2004-02-05 Memjet Technology Limited Thermal actuator shaped for more uniform temperature profile
AUPP993199A0 (en) * 1999-04-22 1999-05-20 Silverbrook Research Pty Ltd A micromechanical device and method (ij46p2a)
AU2004202252B2 (en) * 1999-04-22 2005-06-30 Silverbrook Research Pty Ltd Liquid ejection using a micro-electromechanical device
AUPP993099A0 (en) * 1999-04-22 1999-05-20 Silverbrook Research Pty Ltd A micromechancial device and method(ij46p2b)
AU2004201743B2 (en) * 1999-04-22 2005-05-19 Silverbrook Research Pty Ltd Thermal actuator with heat sinks
AU761670B2 (en) * 1999-06-30 2003-06-05 Silverbrook Research Pty Ltd Testing a micro electro-mechanical device
AU761821B2 (en) * 1999-06-30 2003-06-12 Silverbrook Research Pty Ltd Fault detection in a micro electro-mechanical device
EP1206351B1 (fr) * 1999-06-30 2008-07-09 Silverbrook Research Pty. Limited Essai d'un microdispositif electromecanique
AU761820B2 (en) * 1999-06-30 2003-06-12 Silverbrook Research Pty Ltd Calibrating a micro electro-mechanical device
AUPQ130899A0 (en) * 1999-06-30 1999-07-22 Silverbrook Research Pty Ltd A method and apparatus (IJ47V12)
AUPQ131099A0 (en) * 1999-06-30 1999-07-22 Silverbrook Research Pty Ltd A method and apparatus (IJ47V8)
US6382779B1 (en) 1999-06-30 2002-05-07 Silverbrook Research Pty Ltd Testing a micro electro- mechanical device
AUPQ130999A0 (en) 1999-06-30 1999-07-22 Silverbrook Research Pty Ltd A method and apparatus (IJ47V11)
AUPQ130799A0 (en) * 1999-06-30 1999-07-22 Silverbrook Research Pty Ltd A method and apparatus (IJ47V13)
US6995859B1 (en) 1999-09-17 2006-02-07 Silverbrook Research Pty Ltd Method and system for instruction of a computer
AUPQ595700A0 (en) 2000-03-02 2000-03-23 Silverbrook Research Pty Ltd Alignment module for printheads
US6439693B1 (en) * 2000-05-04 2002-08-27 Silverbrook Research Pty Ltd. Thermal bend actuator
US6557970B2 (en) 2000-05-23 2003-05-06 Silverbrook Research Pty Ltd Nozzle guard for a printhead
US6412904B1 (en) * 2000-05-23 2002-07-02 Silverbrook Research Pty Ltd. Residue removal from nozzle guard for ink jet printhead
US6921153B2 (en) 2000-05-23 2005-07-26 Silverbrook Research Pty Ltd Liquid displacement assembly including a fluidic sealing structure
US6428133B1 (en) 2000-05-23 2002-08-06 Silverbrook Research Pty Ltd. Ink jet printhead having a moving nozzle with an externally arranged actuator
US6526658B1 (en) 2000-05-23 2003-03-04 Silverbrook Research Pty Ltd Method of manufacture of an ink jet printhead having a moving nozzle with an externally arranged actuator
CN1198726C (zh) * 2000-05-24 2005-04-27 西尔弗布鲁克研究有限公司 具有外装控制器的移动喷嘴的喷墨打印头的制造方法
US7237873B2 (en) 2002-11-23 2007-07-03 Silverbrook Research Pty Ltd Inkjet printhead having low pressure ink ejection zone
CN100417523C (zh) * 2000-05-24 2008-09-10 西尔弗布鲁克研究有限公司 带有隔离的喷嘴控制器的喷墨打印头
CN1238192C (zh) 2000-05-24 2006-01-25 西尔弗布鲁克研究有限公司 墨水喷嘴组件
US7152962B1 (en) * 2000-05-24 2006-12-26 Silverbrook Research Pty Ltd Ink jet printhead having a moving nozzle with an externally arranged actuator
US6364460B1 (en) 2000-06-13 2002-04-02 Chad R. Sager Liquid delivery system
US6417757B1 (en) 2000-06-30 2002-07-09 Silverbrook Research Pty Ltd Buckle resistant thermal bend actuators
EP1301346B1 (fr) * 2000-06-30 2007-08-15 Silverbrook Research Pty. Limited Actionneurs a flechissement thermique resistants au voilement
AU2006225215B2 (en) * 2000-06-30 2009-04-09 Zamtec Limited An ink ejector for an inkjet printer with an arm and paddle arrangement
US7095309B1 (en) 2000-10-20 2006-08-22 Silverbrook Research Pty Ltd Thermoelastic actuator design
US6402301B1 (en) * 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US6561627B2 (en) 2000-11-30 2003-05-13 Eastman Kodak Company Thermal actuator
AUPR292301A0 (en) 2001-02-06 2001-03-01 Silverbrook Research Pty. Ltd. A method and apparatus (ART99)
GB2375185A (en) * 2001-05-04 2002-11-06 Kymata Ltd Thick wafer for MEMS fabrication
JP2003025564A (ja) * 2001-07-11 2003-01-29 Matsushita Electric Ind Co Ltd インクジェットヘッド
US7160806B2 (en) * 2001-08-16 2007-01-09 Hewlett-Packard Development Company, L.P. Thermal inkjet printhead processing with silicon etching
US6857728B2 (en) 2002-12-02 2005-02-22 Silverbrook Research Pty Ltd Pagewidth printhead chip having symmetrically actuated fluid ejection components
US7575298B2 (en) 2002-04-12 2009-08-18 Silverbrook Research Pty Ltd Inkjet printhead with ink supply passage to nozzle etched from opposing sides of wafer
US6536874B1 (en) 2002-04-12 2003-03-25 Silverbrook Research Pty Ltd Symmetrically actuated ink ejection components for an ink jet printhead chip
US7364269B2 (en) 2002-04-12 2008-04-29 Silverbrook Research Pty Ltd Inkjet printhead with non-uniform width ink supply passage to nozzle
US6885083B2 (en) 2002-10-31 2005-04-26 Hewlett-Packard Development Company, L.P. Drop generator die processing
US8091984B2 (en) 2002-12-02 2012-01-10 Silverbrook Research Pty Ltd Inkjet printhead employing active and static ink ejection structures
US7377620B2 (en) 2005-05-26 2008-05-27 Hewlett-Packard Development Company, L.P. Hydrophobic nozzle exit with improved micro fluid ejection dynamics
JP2008012911A (ja) * 2006-06-07 2008-01-24 Canon Inc 液体吐出ヘッド、及び液体吐出ヘッドの製造方法
SI2089229T1 (sl) * 2006-12-04 2012-12-31 Zamtec Limited Sestav brizgalne šobe s toplotno upogljivim aktuatorjem z aktivnim nosilcem, ki definira bistveni del strehe komore šobe
CN102202895B (zh) 2008-10-31 2014-06-25 惠普开发有限公司 静电液体喷射致动机构及静电液体喷射装置
US8152337B2 (en) * 2009-05-01 2012-04-10 Billboard Video, Inc. Electronic display panel
AU2009351617B2 (en) * 2009-08-25 2013-06-27 Memjet Technology Limited Crack-resistant thermal bend actuator
US8281482B2 (en) 2009-08-25 2012-10-09 Zamtec Limited Method of fabricating crack-resistant thermal bend actuator
US8079668B2 (en) 2009-08-25 2011-12-20 Silverbrook Research Pty Ltd Crack-resistant thermal bend actuator
US8444255B2 (en) 2011-05-18 2013-05-21 Hewlett-Packard Development Company, L.P. Power distribution in a thermal ink jet printhead
WO2014178818A1 (fr) 2013-04-29 2014-11-06 Hewlett-Packard Development Company, L.P. Enduction fente sélective
CN106362899B (zh) * 2016-11-16 2018-10-30 吉林大学 一种仿纤毛式高精度纳米微滴喷头装置
CN110970252A (zh) * 2019-12-25 2020-04-07 深圳市博微恩科技有限公司 一种键盘底板结构及底板颜色遮盖区制作方法
CN111498983A (zh) * 2020-04-22 2020-08-07 图方便(苏州)环保科技有限公司 一种基于mbbr污水处理用过滤装置及处理方法

Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB792145A (en) * 1953-05-20 1958-03-19 Technograph Printed Circuits L Improvements in and relating to devices for obtaining a mechanical movement from theaction of an electric current
DE1648322A1 (de) * 1967-07-20 1971-03-25 Vdo Schindling Mess- oder Schaltglied aus Bimetall
FR2231076A2 (en) * 1973-05-24 1974-12-20 Electricite De France Driving organ operated by thermal means - esp. for use in corrosive or dangerous environments formed by two metal strips
GB1428239A (en) * 1972-06-08 1976-03-17 Cibie Projecteurs Electrically heated assemblies folding door
DE2905063A1 (de) * 1979-02-10 1980-08-14 Olympia Werke Ag Anordnung zur vermeidung des ansaugens von luft durch die duesen eines spritzsystems
JPS58112747A (ja) * 1981-12-26 1983-07-05 Fujitsu Ltd インクジエツト記録装置
JPS58116165A (ja) * 1981-12-29 1983-07-11 Canon Inc インク噴射ヘツド
EP0092229A2 (fr) * 1982-04-21 1983-10-26 Siemens Aktiengesellschaft Dispositif d'enregistrement à gouttelettes de liquide
US4423401A (en) * 1982-07-21 1983-12-27 Tektronix, Inc. Thin-film electrothermal device
DE3245283A1 (de) * 1982-12-07 1984-06-07 Siemens AG, 1000 Berlin und 8000 München Anordnung zum ausstoss von fluessigkeitstroepfchen
US4553393A (en) * 1983-08-26 1985-11-19 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Memory metal actuator
JPS6125849A (ja) * 1984-07-17 1986-02-04 Canon Inc インクジエツト記録装置
DE3430155A1 (de) * 1984-08-16 1986-02-27 Siemens AG, 1000 Berlin und 8000 München Indirekt beheiztes bimetall
JPS61268453A (ja) * 1985-05-23 1986-11-27 Olympus Optical Co Ltd インクジエツトプリント用ヘツド
US4672398A (en) * 1984-10-31 1987-06-09 Hitachi Ltd. Ink droplet expelling apparatus
US4737802A (en) * 1984-12-21 1988-04-12 Swedot System Ab Fluid jet printing device
DE3716996A1 (de) * 1987-05-21 1988-12-08 Vdo Schindling Verformungselement
JPH01105746A (ja) * 1987-10-19 1989-04-24 Ricoh Co Ltd インクジェットヘッド
JPH01115639A (ja) * 1987-10-30 1989-05-08 Ricoh Co Ltd インクジェット記録ヘッド
JPH01128839A (ja) * 1987-11-13 1989-05-22 Ricoh Co Ltd インクジェット記録ヘッド
US4864824A (en) * 1988-10-31 1989-09-12 American Telephone And Telegraph Company, At&T Bell Laboratories Thin film shape memory alloy and method for producing
JPH01257058A (ja) * 1988-04-07 1989-10-13 Seiko Epson Corp インクジェットヘッド
JPH01306254A (ja) * 1988-06-03 1989-12-11 Seiko Epson Corp インクジェットヘッド
JPH0250841A (ja) * 1988-08-12 1990-02-20 Seiko Epson Corp インクジェットヘッド
DE3934280A1 (de) * 1988-10-14 1990-04-26 Cae Cipelletti Alberto Radialschieberpumpe
JPH02158348A (ja) * 1988-12-10 1990-06-18 Minolta Camera Co Ltd インクジェットプリンタ
JPH02162049A (ja) * 1988-12-16 1990-06-21 Seiko Epson Corp プリンタヘッド
EP0398031A1 (fr) * 1989-04-19 1990-11-22 Seiko Epson Corporation Tête à jet d'encre
JPH0365348A (ja) * 1989-08-04 1991-03-20 Matsushita Electric Ind Co Ltd インクジェットヘッド
JPH03112662A (ja) * 1989-09-27 1991-05-14 Seiko Epson Corp インクジェットプリンタ
EP0427291A1 (fr) * 1989-11-10 1991-05-15 Seiko Epson Corporation Tête d'impression par jet d'encre
EP0431338A2 (fr) * 1989-11-09 1991-06-12 Matsushita Electric Industrial Co., Ltd. Appareil d'enregistrement à encre
US5029805A (en) * 1988-04-27 1991-07-09 Dragerwerk Aktiengesellschaft Valve arrangement of microstructured components
JPH03180350A (ja) * 1989-12-08 1991-08-06 Seiko Epson Corp インクジェットヘッド
EP0478956A2 (fr) * 1990-10-04 1992-04-08 Forschungszentrum Karlsruhe GmbH Elément micromécanique
JPH04118241A (ja) * 1990-09-10 1992-04-20 Seiko Epson Corp インクジェットプリンタヘッド用振幅変換アクチュエーター
JPH04126255A (ja) * 1990-09-18 1992-04-27 Seiko Epson Corp インクジェットヘッド
JPH04141429A (ja) * 1990-10-03 1992-05-14 Seiko Epson Corp インクジェットヘッド
EP0506232A1 (fr) * 1991-03-26 1992-09-30 Videojet Systems International, Inc. Assemblage de valve pour imprimante à jet d'encre
EP0510648A2 (fr) * 1991-04-24 1992-10-28 FLUID PROPULSION TECHNOLOGIES, Inc. Mécanisme d'impression à haute fréquence
JPH04368851A (ja) * 1991-06-17 1992-12-21 Seiko Epson Corp 磁場発生基板及びそれを用いたインクジェットヘッド
GB2262152A (en) * 1991-10-15 1993-06-09 Willett Int Ltd Solenoid valve
JPH05284765A (ja) * 1992-03-31 1993-10-29 Canon Inc カンチレバー型変位素子、及びこれを用いたカンチレバー型プローブ、及びこのカンチレバー型プローブを用いた走査型トンネル顕微鏡並びに情報処理装置
US5258774A (en) * 1985-11-26 1993-11-02 Dataproducts Corporation Compensation for aerodynamic influences in ink jet apparatuses having ink jet chambers utilizing a plurality of orifices
JPH05318724A (ja) * 1992-05-19 1993-12-03 Seikosha Co Ltd インクジェット記録装置
WO1994018010A1 (fr) * 1993-02-04 1994-08-18 Domino Printing Sciences Plc Imprimante a jet d'encre
EP0627314A2 (fr) * 1993-05-31 1994-12-07 OLIVETTI-CANON INDUSTRIALE S.p.A. Tête d'impression à jet d'encre améliorée pour imprimante matricielle à points
EP0634273A2 (fr) * 1993-07-13 1995-01-18 Sharp Kabushiki Kaisha Tête à jet d'encre et procédé de fabrication de cette tête
DE4328433A1 (de) * 1993-08-24 1995-03-02 Heidelberger Druckmasch Ag Tintenstrahl-Spritzverfahren, sowie Tintenstrahl-Spritzvorrichtung
DE19516997A1 (de) * 1994-05-10 1995-11-16 Sharp Kk Tintenstrahlkopf und Verfahren zu dessen Herstellung
DE19517969A1 (de) * 1994-05-27 1995-11-30 Sharp Kk Tintenstrahlkopf
JPH07314665A (ja) * 1994-05-27 1995-12-05 Canon Inc インクジェット記録ヘッド、それを用いた記録装置及び記録方法
DE19532913A1 (de) * 1994-09-27 1996-03-28 Sharp Kk Hochintegrierter Membran-Tintenstrahlkopf mit hoher Tintenabgabewirkung
EP0737580A2 (fr) * 1995-04-14 1996-10-16 Canon Kabushiki Kaisha Tête d'éjection de liquide, dispositif d'éjection de liquide et procédé d'éjection de liquide
EP0750993A2 (fr) * 1995-06-28 1997-01-02 Canon Kabushiki Kaisha Micromachine, tête d'enregistrement par jet de liquide l'utilisant, appareil d'enregistrement par jet de liquide ayant une telle tête d'enregistrement par jet de liquide
WO1997012689A1 (fr) * 1995-09-20 1997-04-10 The Board Of Trustees Of The Leland Stanford Junior University Ejecteur de gouttes de fluide et procede correspondant
DE19639717A1 (de) * 1995-10-12 1997-04-17 Sharp Kk Tintenstrahlkopf und Verfahren zu seiner Herstellung
EP0882590A2 (fr) * 1997-06-06 1998-12-09 Canon Kabushiki Kaisha Procédé d'éjection de liquide, tête d'éjection de liquide et dispositif d'éjection de liquide

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1941001A (en) 1929-01-19 1933-12-26 Rca Corp Recorder
US3373437A (en) 1964-03-25 1968-03-12 Richard G. Sweet Fluid droplet recorder with a plurality of jets
US3596275A (en) 1964-03-25 1971-07-27 Richard G Sweet Fluid droplet recorder
US3946398A (en) 1970-06-29 1976-03-23 Silonics, Inc. Method and apparatus for recording with writing fluids and drop projection means therefor
US3683212A (en) 1970-09-09 1972-08-08 Clevite Corp Pulsed droplet ejecting system
SE349676B (fr) 1971-01-11 1972-10-02 N Stemme
CA1127227A (fr) 1977-10-03 1982-07-06 Ichiro Endo Procede d'enregistrement a jet liquide et appareil d'enregistrement
US4459601A (en) 1981-01-30 1984-07-10 Exxon Research And Engineering Co. Ink jet method and apparatus
US4490728A (en) 1981-08-14 1984-12-25 Hewlett-Packard Company Thermal ink jet printer
DE3378966D1 (en) 1982-05-28 1989-02-23 Xerox Corp Pressure pulse droplet ejector and array
DE8802281U1 (fr) * 1988-02-22 1988-05-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen, De
US5208604A (en) 1988-10-31 1993-05-04 Canon Kabushiki Kaisha Ink jet head and manufacturing method thereof, and ink jet apparatus with ink jet head
US4899181A (en) 1989-01-30 1990-02-06 Xerox Corporation Large monolithic thermal ink jet printhead
JPH08142323A (ja) * 1994-11-24 1996-06-04 Sharp Corp インクジェットヘッドおよびその製造方法
JPH08336965A (ja) * 1995-06-14 1996-12-24 Sharp Corp インクジェットヘッド

Patent Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB792145A (en) * 1953-05-20 1958-03-19 Technograph Printed Circuits L Improvements in and relating to devices for obtaining a mechanical movement from theaction of an electric current
DE1648322A1 (de) * 1967-07-20 1971-03-25 Vdo Schindling Mess- oder Schaltglied aus Bimetall
GB1428239A (en) * 1972-06-08 1976-03-17 Cibie Projecteurs Electrically heated assemblies folding door
FR2231076A2 (en) * 1973-05-24 1974-12-20 Electricite De France Driving organ operated by thermal means - esp. for use in corrosive or dangerous environments formed by two metal strips
DE2905063A1 (de) * 1979-02-10 1980-08-14 Olympia Werke Ag Anordnung zur vermeidung des ansaugens von luft durch die duesen eines spritzsystems
JPS58112747A (ja) * 1981-12-26 1983-07-05 Fujitsu Ltd インクジエツト記録装置
JPS58116165A (ja) * 1981-12-29 1983-07-11 Canon Inc インク噴射ヘツド
EP0092229A2 (fr) * 1982-04-21 1983-10-26 Siemens Aktiengesellschaft Dispositif d'enregistrement à gouttelettes de liquide
US4423401A (en) * 1982-07-21 1983-12-27 Tektronix, Inc. Thin-film electrothermal device
DE3245283A1 (de) * 1982-12-07 1984-06-07 Siemens AG, 1000 Berlin und 8000 München Anordnung zum ausstoss von fluessigkeitstroepfchen
US4553393A (en) * 1983-08-26 1985-11-19 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Memory metal actuator
JPS6125849A (ja) * 1984-07-17 1986-02-04 Canon Inc インクジエツト記録装置
DE3430155A1 (de) * 1984-08-16 1986-02-27 Siemens AG, 1000 Berlin und 8000 München Indirekt beheiztes bimetall
US4672398A (en) * 1984-10-31 1987-06-09 Hitachi Ltd. Ink droplet expelling apparatus
US4737802A (en) * 1984-12-21 1988-04-12 Swedot System Ab Fluid jet printing device
JPS61268453A (ja) * 1985-05-23 1986-11-27 Olympus Optical Co Ltd インクジエツトプリント用ヘツド
US5258774A (en) * 1985-11-26 1993-11-02 Dataproducts Corporation Compensation for aerodynamic influences in ink jet apparatuses having ink jet chambers utilizing a plurality of orifices
DE3716996A1 (de) * 1987-05-21 1988-12-08 Vdo Schindling Verformungselement
JPH01105746A (ja) * 1987-10-19 1989-04-24 Ricoh Co Ltd インクジェットヘッド
JPH01115639A (ja) * 1987-10-30 1989-05-08 Ricoh Co Ltd インクジェット記録ヘッド
JPH01128839A (ja) * 1987-11-13 1989-05-22 Ricoh Co Ltd インクジェット記録ヘッド
JPH01257058A (ja) * 1988-04-07 1989-10-13 Seiko Epson Corp インクジェットヘッド
US5029805A (en) * 1988-04-27 1991-07-09 Dragerwerk Aktiengesellschaft Valve arrangement of microstructured components
JPH01306254A (ja) * 1988-06-03 1989-12-11 Seiko Epson Corp インクジェットヘッド
JPH0250841A (ja) * 1988-08-12 1990-02-20 Seiko Epson Corp インクジェットヘッド
DE3934280A1 (de) * 1988-10-14 1990-04-26 Cae Cipelletti Alberto Radialschieberpumpe
US4864824A (en) * 1988-10-31 1989-09-12 American Telephone And Telegraph Company, At&T Bell Laboratories Thin film shape memory alloy and method for producing
JPH02158348A (ja) * 1988-12-10 1990-06-18 Minolta Camera Co Ltd インクジェットプリンタ
JPH02162049A (ja) * 1988-12-16 1990-06-21 Seiko Epson Corp プリンタヘッド
EP0398031A1 (fr) * 1989-04-19 1990-11-22 Seiko Epson Corporation Tête à jet d'encre
JPH0365348A (ja) * 1989-08-04 1991-03-20 Matsushita Electric Ind Co Ltd インクジェットヘッド
JPH03112662A (ja) * 1989-09-27 1991-05-14 Seiko Epson Corp インクジェットプリンタ
EP0431338A2 (fr) * 1989-11-09 1991-06-12 Matsushita Electric Industrial Co., Ltd. Appareil d'enregistrement à encre
EP0427291A1 (fr) * 1989-11-10 1991-05-15 Seiko Epson Corporation Tête d'impression par jet d'encre
JPH03180350A (ja) * 1989-12-08 1991-08-06 Seiko Epson Corp インクジェットヘッド
JPH04118241A (ja) * 1990-09-10 1992-04-20 Seiko Epson Corp インクジェットプリンタヘッド用振幅変換アクチュエーター
JPH04126255A (ja) * 1990-09-18 1992-04-27 Seiko Epson Corp インクジェットヘッド
JPH04141429A (ja) * 1990-10-03 1992-05-14 Seiko Epson Corp インクジェットヘッド
EP0478956A2 (fr) * 1990-10-04 1992-04-08 Forschungszentrum Karlsruhe GmbH Elément micromécanique
EP0506232A1 (fr) * 1991-03-26 1992-09-30 Videojet Systems International, Inc. Assemblage de valve pour imprimante à jet d'encre
EP0510648A2 (fr) * 1991-04-24 1992-10-28 FLUID PROPULSION TECHNOLOGIES, Inc. Mécanisme d'impression à haute fréquence
JPH04368851A (ja) * 1991-06-17 1992-12-21 Seiko Epson Corp 磁場発生基板及びそれを用いたインクジェットヘッド
GB2262152A (en) * 1991-10-15 1993-06-09 Willett Int Ltd Solenoid valve
JPH05284765A (ja) * 1992-03-31 1993-10-29 Canon Inc カンチレバー型変位素子、及びこれを用いたカンチレバー型プローブ、及びこのカンチレバー型プローブを用いた走査型トンネル顕微鏡並びに情報処理装置
JPH05318724A (ja) * 1992-05-19 1993-12-03 Seikosha Co Ltd インクジェット記録装置
WO1994018010A1 (fr) * 1993-02-04 1994-08-18 Domino Printing Sciences Plc Imprimante a jet d'encre
EP0627314A2 (fr) * 1993-05-31 1994-12-07 OLIVETTI-CANON INDUSTRIALE S.p.A. Tête d'impression à jet d'encre améliorée pour imprimante matricielle à points
EP0634273A2 (fr) * 1993-07-13 1995-01-18 Sharp Kabushiki Kaisha Tête à jet d'encre et procédé de fabrication de cette tête
DE4328433A1 (de) * 1993-08-24 1995-03-02 Heidelberger Druckmasch Ag Tintenstrahl-Spritzverfahren, sowie Tintenstrahl-Spritzvorrichtung
DE19516997A1 (de) * 1994-05-10 1995-11-16 Sharp Kk Tintenstrahlkopf und Verfahren zu dessen Herstellung
DE19517969A1 (de) * 1994-05-27 1995-11-30 Sharp Kk Tintenstrahlkopf
JPH07314665A (ja) * 1994-05-27 1995-12-05 Canon Inc インクジェット記録ヘッド、それを用いた記録装置及び記録方法
DE19532913A1 (de) * 1994-09-27 1996-03-28 Sharp Kk Hochintegrierter Membran-Tintenstrahlkopf mit hoher Tintenabgabewirkung
EP0737580A2 (fr) * 1995-04-14 1996-10-16 Canon Kabushiki Kaisha Tête d'éjection de liquide, dispositif d'éjection de liquide et procédé d'éjection de liquide
EP0750993A2 (fr) * 1995-06-28 1997-01-02 Canon Kabushiki Kaisha Micromachine, tête d'enregistrement par jet de liquide l'utilisant, appareil d'enregistrement par jet de liquide ayant une telle tête d'enregistrement par jet de liquide
WO1997012689A1 (fr) * 1995-09-20 1997-04-10 The Board Of Trustees Of The Leland Stanford Junior University Ejecteur de gouttes de fluide et procede correspondant
DE19639717A1 (de) * 1995-10-12 1997-04-17 Sharp Kk Tintenstrahlkopf und Verfahren zu seiner Herstellung
EP0882590A2 (fr) * 1997-06-06 1998-12-09 Canon Kabushiki Kaisha Procédé d'éjection de liquide, tête d'éjection de liquide et dispositif d'éjection de liquide

Non-Patent Citations (25)

* Cited by examiner, † Cited by third party
Title
ATAKA M ET AL: "FABRICATION AND OPERATION OF POLYIMIDE BIMORPH ACTUATORS FOR A CILIARY MOTION SYSTEM", JOURNAL OF MICROELECTROMECHANICAL SYSTEMS,US,IEEE INC. NEW YORK, vol. 2, no. 4, 1 December 1993 (1993-12-01), pages 146 - 150, XP000443412, ISSN: 1057-7157 *
NOWOROLSKI J M ET AL: "Process for in-plane and out-of-plane single-crystal-silicon thermal microactuators", SENSORS AND ACTUATORS A,CH,ELSEVIER SEQUOIA S.A., LAUSANNE, vol. 55, no. 1, 15 July 1996 (1996-07-15), pages 65 - 69, XP004077979, ISSN: 0924-4247 *
PATENT ABSTRACTS OF JAPAN vol. 007, no. 217 (M - 245) 27 September 1983 (1983-09-27) *
PATENT ABSTRACTS OF JAPAN vol. 007, no. 221 (M - 246) 30 September 1983 (1983-09-30) *
PATENT ABSTRACTS OF JAPAN vol. 010, no. 176 (M - 491) 20 June 1986 (1986-06-20) *
PATENT ABSTRACTS OF JAPAN vol. 011, no. 125 (M - 582) 18 April 1987 (1987-04-18) *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 320 (M - 853) 20 July 1989 (1989-07-20) *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 340 (M - 857) 31 July 1989 (1989-07-31) *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 376 (M - 862) 21 August 1989 (1989-08-21) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 010 (M - 917) 10 January 1990 (1990-01-10) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 097 (M - 0940) 22 February 1990 (1990-02-22) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 217 (M - 0970) 8 May 1990 (1990-05-08) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 410 (M - 1020) 5 September 1990 (1990-09-05) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 418 (M - 1022) 10 September 1990 (1990-09-10) *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 222 (M - 1121) 6 June 1991 (1991-06-06) *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 307 (M - 1143) 6 August 1991 (1991-08-06) *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 427 (M - 1174) 30 October 1991 (1991-10-30) *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 370 (M - 1292) 10 August 1992 (1992-08-10) *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 384 (M - 1296) 17 August 1992 (1992-08-17) *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 416 (M - 1304) 2 September 1992 (1992-09-02) *
PATENT ABSTRACTS OF JAPAN vol. 017, no. 248 (M - 1411) 18 May 1993 (1993-05-18) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 073 (E - 1503) 7 February 1994 (1994-02-07) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 133 (M - 1571) 4 March 1994 (1994-03-04) *
PATENT ABSTRACTS OF JAPAN vol. 1996, no. 04 30 April 1996 (1996-04-30) *
YAMAGATA Y ET AL: "A MICRO MOBILE MECHANISM USING THERMAL EXPANSION AND ITS THEORITICAL ANALYSIS A COMPARISON WITH IMPACT DRIVE MECHANISM USING PIEZOELECTRIC ELEMENTS", PROCEEDING OF THE WORKSHOP ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS),US,NEW YORK, IEEE, vol. WORKSHOP 7, 25 January 1994 (1994-01-25), pages 142 - 147, XP000528408, ISBN: 0-7803-1834-X *

Also Published As

Publication number Publication date
EP0999934B1 (fr) 2005-10-26
EP1650030A1 (fr) 2006-04-26
WO1999003681A1 (fr) 1999-01-28
EP1650030B1 (fr) 2008-09-24
EP1640162A1 (fr) 2006-03-29
ATE409119T1 (fr) 2008-10-15
JP4160250B2 (ja) 2008-10-01
EP1640162B1 (fr) 2007-03-28
JP2003521389A (ja) 2003-07-15
EP1637330B1 (fr) 2007-04-18
ES2302134T3 (es) 2008-07-01
ATE359915T1 (de) 2007-05-15
EP1637330A1 (fr) 2006-03-22
EP1650031B1 (fr) 2008-02-20
ATE386638T1 (de) 2008-03-15
EP0999934A1 (fr) 2000-05-17
EP1650031A1 (fr) 2006-04-26
ATE358019T1 (de) 2007-04-15

Similar Documents

Publication Publication Date Title
EP1650031A1 (fr) Buse de jet d'encre avec fente dans une paroi de côté et pagaie mobile
US7441879B2 (en) Unit cell of a printhead for an inkjet printer
JP2003521389A5 (fr)
EP0999933A1 (fr) Buse de jet d'encre, actionne par un champ magnetique
US20010045969A1 (en) Shutter ink jet
US7984975B2 (en) Printhead nozzle cell having photoresist chamber
US7331651B2 (en) Inkjet printhead having isolated nozzles
AU2005242159B2 (en) Inkjet nozzle arrangement having paddle forming a portion of a wall
US7771015B2 (en) Printhead nozzle arrangement having a looped heater element
EP1647402A1 (fr) Dispositif de buse de jet d'encre avec mécanisme d'actuation dans la chambre entre buse et ravitaillement d'encre
EP1652671A1 (fr) Chambre de buse de jet d'encre avec deux trous d'éjection de liquide et avec pagaie mobile

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20000214

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

RIC1 Information provided on ipc code assigned before grant

Free format text: 7B 41J 2/045 A, 7B 41J 2/055 B, 7B 41J 2/14 B, 7B 41J 2/16 B, 7B 41J 2/165 B, 7B 41J 2/19 B, 7H 01H 1/00 B

A4 Supplementary search report drawn up and despatched

Effective date: 20010510

AK Designated contracting states

Kind code of ref document: A4

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

17Q First examination report despatched

Effective date: 20030219

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20051026

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20051026

Ref country code: CH

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20051026

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20051026

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20051026

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REF Corresponds to:

Ref document number: 69832073

Country of ref document: DE

Date of ref document: 20051201

Kind code of ref document: P

REG Reference to a national code

Ref country code: CH

Ref legal event code: PK

Free format text: EIN PRIORITAETSAKTENZEICHEN WURDE BERICHTIGT:

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20060126

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20060126

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20060126

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20060206

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20060327

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

ET Fr: translation filed
PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20060727

REG Reference to a national code

Ref country code: GB

Ref legal event code: 732E

Free format text: REGISTERED BETWEEN 20140619 AND 20140625

REG Reference to a national code

Ref country code: DE

Ref legal event code: R082

Ref document number: 69832073

Country of ref document: DE

Representative=s name: LEINWEBER & ZIMMERMANN, DE

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: IE

Payment date: 20140728

Year of fee payment: 17

Ref country code: NL

Payment date: 20140726

Year of fee payment: 17

Ref country code: DE

Payment date: 20140729

Year of fee payment: 17

REG Reference to a national code

Ref country code: DE

Ref legal event code: R082

Ref document number: 69832073

Country of ref document: DE

Representative=s name: K & H BONAPAT, DE

Effective date: 20141016

Ref country code: DE

Ref legal event code: R082

Ref document number: 69832073

Country of ref document: DE

Representative=s name: LEINWEBER & ZIMMERMANN, DE

Effective date: 20141016

Ref country code: DE

Ref legal event code: R081

Ref document number: 69832073

Country of ref document: DE

Owner name: MEMJET TECHNOLOGY LIMITED, IE

Free format text: FORMER OWNER: SILVERBROOK RESEARCH PTY. LTD., BALMAIN, NEW SOUTH WALES, AU

Effective date: 20141016

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20140729

Year of fee payment: 17

Ref country code: FR

Payment date: 20140717

Year of fee payment: 17

REG Reference to a national code

Ref country code: FR

Ref legal event code: TP

Owner name: MEMJET TECHNOLOGY LIMITED, IE

Effective date: 20141118

Ref country code: FR

Ref legal event code: CD

Owner name: MEMJET TECHNOLOGY LIMITED, IE

Effective date: 20141118

Ref country code: FR

Ref legal event code: CA

Effective date: 20141118

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: IT

Payment date: 20140724

Year of fee payment: 17

REG Reference to a national code

Ref country code: NL

Ref legal event code: TD

Effective date: 20150127

Ref country code: NL

Ref legal event code: SD

Effective date: 20150127

REG Reference to a national code

Ref country code: DE

Ref legal event code: R082

Ref document number: 69832073

Country of ref document: DE

Representative=s name: K & H BONAPAT, DE

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 69832073

Country of ref document: DE

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20150715

REG Reference to a national code

Ref country code: NL

Ref legal event code: MM

Effective date: 20150801

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20160202

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150715

Ref country code: IT

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150715

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20160331

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150731

Ref country code: NL

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150801

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150715