EP1086481A1 - Chamber having improved process monitoring window - Google Patents

Chamber having improved process monitoring window

Info

Publication number
EP1086481A1
EP1086481A1 EP99924170A EP99924170A EP1086481A1 EP 1086481 A1 EP1086481 A1 EP 1086481A1 EP 99924170 A EP99924170 A EP 99924170A EP 99924170 A EP99924170 A EP 99924170A EP 1086481 A1 EP1086481 A1 EP 1086481A1
Authority
EP
European Patent Office
Prior art keywords
window
process chamber
gas
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP99924170A
Other languages
German (de)
French (fr)
Inventor
Michael N. Grimbergen
Xue-Yu Qian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP1086481A1 publication Critical patent/EP1086481A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Definitions

  • the present invention relates to a process chamber and process monitoring window.
  • layers of semiconductor, dielectric, and conductor mate ⁇ als such as for example, polysilicon, silicon dioxide, aluminum and copper layers are deposited on a substrate and subsequently processed, for example, by etching with an etchant plasma, to form active devices Tne layers are deposited on the substrate in a process chamber by processes such as cnemical vapor deposition (CVD) , physical vapor deposition (PVD) , thermal oxidation, ion implantation and ion diffusion
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • thermal oxidation ion implantation
  • a resist layer of photoresist or hard mask is applied on the deposited layer and patterned by photolithography Portions of the deposited layers lying between the resist features are etched using RF or microwave energized halogen and other reactive gases to form etched features.
  • a process monitoring system For example, in CVD and PVD processes, it is desirable to stop the deposition process after a desired thickness of a layer is deposited.
  • endpoint detection methods are used to prevent overetching of layers that are being etched.
  • Typical process monitoring methods include for example, plasma emission analysis, eiiipsometry, and interferometry.
  • plasma emission analysis an emission spectra of a plasma is measured to determine a change in chemical composition that corresponds to a change in the layer being processed, as for example, taught in U.S. Patent No.
  • a polarized light beam is reflected off a layer on the substrate and analyzed to determine a phase shift and a change in magnitude of the reflected light that occurs with changes in the thickness of the layer, as for example disclosed in U.S. Patent Nos. 3,874,797 and 3,824,017, both of which are incorporated herein by reference.
  • a non-polarized light beam is reflected off the layer and analyzed to determine a change in magnitude of the reflected light that occurs due to interference of reflected light components from the top and bottom surfaces of the layer on the substrate, as for example, described in U.S. Patent No. 4,953,982, issued September 4, 1 990, which is incorporated herein by reference.
  • These process monitoring methods require a high strength optical transmission signal through the window and also require viewing or signal sampling of relatively large surface area of the substrate.
  • a typical process monitoring system comprises an optical sensor system for detecting and measuring light emissions or light reflections through a window in a wall of the process chamber.
  • the window is transparent to particular light wavelengths to allow light to be transmitted in and out of the chamber while maintaining a vacuum seal with the chamber.
  • the transparent window is positioned in the chamber wall in direct line of sight of the substrate.
  • Process monitoring windows are typically constructed from quartz which is resistant to high temperatures and are sealed to the chamber surface with 0-r ⁇ ng seals positioned along their edges.
  • a thin cloudy film of residue deposits and byproducts are deposited on the process monitoring window as substrates are being processed in the chamber.
  • the process residues are deposited on the window at rates often in excess of 1 micron in 25 to 50 hours of process operation.
  • the deposited film of process residue changes the properties or intensity of the light transmissions passing through the window.
  • the residue deposits selectively filter out particular wavelengths of light from the optical emission spectra of the plasma resulting in errors in process monitoring measurements.
  • the residue deposits change the state of polarization of the light beam transmitted or reflected through the window causing erroneous ellipsomet ⁇ c measurements.
  • the deposits absorb and lower the intensity of the light passing through the window resulting in a lower signal-to-noise ratio.
  • processing monitoring windows are periodically replaced or cleaned to remove the residue deposits formed on the windows.
  • the chamber is opened to the atmosphere and cleaned in a "wet-cleaning" process, in which an operator uses an acid or solvent to scrub off and dissolve the deposits accumulated on the window and chamber walls.
  • a "wet-cleaning" process in which an operator uses an acid or solvent to scrub off and dissolve the deposits accumulated on the window and chamber walls.
  • the chamber is pumped down for 2 to 3 hours to outgas volatile acid or solvent species, and a series of etching runs are performed on dummy wafers.
  • the downtime of the chamber during such cleaning processes can substantially reduce process throughput and increase processing costs per substrate.
  • manually performed wet cleaning processes are often hazardous, and the quality of cleaning varies from one session to another.
  • One approach to solving the residue deposition problem uses a recessed window positioned in a long tube that opens into the chamber Because the process gas or plasma in the chamber has to travel though the length of the tube before reaching the recessed window, the deposition of process residues on the surface of the recessed window inside the tube is markedly reduced.
  • the high aspect ratio (length/diameter) of the elongated tube makes it difficult to monitor a sufficiently large sampling area inside the chamber, and reduces the total light flux. This limits the accuracy of the process monitoring systems during processing of a batch of substrates or sometimes even for a single substrate.
  • the elongated tube takes up a large amount of space outside the chamber, which is undesirable in tight clean room spaces, and the tube is also difficult to fit in-between other components of the process chamber.
  • the process monitoring window is selectively heated to prevent deposition of process residue deposits, as described in commonly assigned U.S. Patent No. 5, 1 29,994, to Ebbing et al., issued on July 14, 1 992.
  • heating does not prevent all forms of residues from condensing and depositing on the window, and in certain processes, heating can actually increase the rate of deposition of process residue on the window.
  • photosensitive equipment is used to sample signals of the light emissions or reflections from the chamber/substrate and mathematically manipulate the sampled data to increase the signal to noise ratio of the light signal passing through a cloudy window, as for example, described in U.S. Patent No. 5,738,756 to Liu, issued on April 14, 1998.
  • complex mathematical manipulations can delay process response times. In etching processes, even a small time delay can result in undesirable charging or lattice damage of the underlying layers, especially for underlying polysilicon layers.
  • these processes are not always able to increase the signal to noise ratio by a sufficient amount to provide a discernible signal. If the signal is too small, the fabrication process may never be terminated, and if it is too large, the process may be prematurely terminated.
  • the process residues deposited on windows are a particular problem when monitoring etching processes in which etching of a thick overlayer has to be stopped before etching through a relatively thin underlayer
  • the aggressive halogen containing gases etchant gases that are used to etch a relatively thick layer will often uncontrollably etch through or damage any thin underlayers, without an accurate and reliable process monitoring system This is especially a problem when etching a polysilicon overlayer to expose a thin gate oxide underlayer.
  • it is desirable for the remaining thickness of the gate oxide layer to be very close to a nominal and predetermined thickness.
  • a chamber and process monitoring system that allows monitoring of processing of substrates in the chamber without excessive signal loss during continued processing of the substrate It is further desirable to have a process monitoring window that prevents or reduces deposition of process residue on its surfaces and exhibits a low rate of erosion in reactive halogen gases and plasmas. It is also desirable to have a method of monitoring processing of a substrate that provides accurate and repeatable processing results, especially for etching thick overlayers on thin underlayers.
  • the present invention provides a process chamber for processing a substrate and monitoring the process being conducted on the substrate with a high degree of accuracy and repeatability.
  • the chamber comprises a support, a process gas distributor, and an exhaust system.
  • the chamber has a wall comprising a window that allows light to be transmitted therethrough.
  • the window comprises a transparent plate covered by a mask having at least one aperture extending through the mask so that light can be transmitted through the aperture of the mask and the transparent plate to monitor the process being conducted on the substrate.
  • the mask covering the transparent plate reduces deposition of process gas byproducts and other deposits on the window during a process in which a substrate is held on the support and processed by process gas that is distributed by the gas distributor and is exhausted by the exhaust system.
  • the present invention comprises a process chamber comprising a support having a receiving surface that is adapted to support a substrate.
  • a gas distributor provides process gas in the process chamber to process the substrate and form process gas byproducts.
  • First means are provided for transmitting light into and from the process chamber during processing of a substrate in the process chamber.
  • Second means are provided for masking the first means to reduce deposition of process gas byproducts formed in the process chamber.
  • An exhaust comprising pumps exhaust the process gas and process gas byproducts from the process chamber.
  • the present invention comprises a method of processing a substrate, comprising the steps of placing the substrate in a process zone and maintaining first process conditions in the process chamber to process the substrate, the first process conditions including providing an energized process gas to the process zone.
  • An incident light beam is directed through a window adjacent to the process zone to be incident on the substrate.
  • a measurable intensity of the reflected light beam passing through the window is measured by holding a mask having apertures against the window to reduce deposition of process gas byproducts on the window.
  • a property of a reflected light beam that is reflected from the substrate is measured.
  • the first process conditions are changed to second process conditions in relation to the measurement of the property of the reflected light beam.
  • the present invention comprises a method of etching a layer on a substrate substantially without etching or damaging an underlayer.
  • the method comprises the steps of placing the substrate in a process zone and maintaining process conditions in the process zone to etch a layer on the substrate and form process gas byproducts, the process conditions comprising one or more of process gas composition and flow rates, power levels of process gas energizers, process gas pressure, and substrate temperature
  • An etching endpoint is detected immediately prior to etching through the layer on the substrate by the steps of (1 ) directing an incident light beam through a win ⁇ ow adjacent to the process zone to be incident on the substrate, (2) maintaining a measurable intensity of the reflected light beam through the window by holding a mask having apertures against the window to reduce deposition of process gas byproducts on the window, and (3) measuring a property of a reflected light beam that is reflected from the substrate immediately prior to etching through the layer on the substrate.
  • the process conditions in the chamber are changed in relation to the measurement of the property of the reflected light beam
  • the invention is directed to a process chamber having a window in a wall of the process chamber for monitoring the process being conducted on a substrate and a magnetic field source adapted to provide a magnetic flux across the window
  • the chamber comprises a support, a process gas distributor, and an exhaust system by which a substrate held on the support is processed by the energized process gas, forming process residues in the process chamber, and the magnetic field source provides magnetic flux across the window to reduce the deposition of process residues on the window
  • the present invention comprises a process chamber for processing a semiconductor substrate, the process chamber comprising a window and means for maintaining a magnetic flux across the window.
  • the process chamber further comprises a support, a process gas distributor, and an exhaust system.
  • a substrate held on the support is processed by the energized process gas thereby forming process residues in the process chamber.
  • the means for maintaining magnetic flux across the window reduces deposition of process residues on the window.
  • the present invention also comprises a method of processing a substrate, comprising the steps of placing the substrate in a process chamber and maintaining first process conditions in the process chamber to process the substrate, the first process conditions including providing an energized process gas to the process chamber. Maintaining a magnetic flux across a window in a wall of the process chamber. Directing an incident light beam through the window, and changing the first process conditions are changed to second process conditions in relation to the measurement of the property of the reflected light beam
  • the invention is directed to a process chamber having a window in a wall of the process chamber for monitoring the process being conducted on a substrate, and an electrical field source adapted to couple electrical energy to the window.
  • the chamber further comprises a support, a process gas distributor, and an exhaust system By which a substrate held on the support is processed by the energized process gas, forming process residues in the process chamber, and the electrical energy coupled to the window reduces deposition of the process residues on the window
  • the present invention comprises a process chamber for processing a semiconductor substrate, the process chamber comprising a window, and means for electrically biasing the window
  • the process chamber further comprises a support, a process gas distributor, and an exhaust system By which a substrate held on the support is processed by the energized process gas, forming process residues in the process chamber, and the means for electrically biasing the window reduces deposition of process residues on the window
  • the present invention comprises a method of processing a substrate, comprising the steps of placing the substrate in a process chamber and maintaining process conditions in the process chamber to process the substrate, the process conditions including providing an energized process gas in the process chamber.
  • the electrical flux having an electrical field component that is perpendicular to the plane of the window.
  • Figure 1 is a schematic sectional view of an embodiment of a process chamber according to the present invention showing a window and overlying mask;
  • Figure 2 is a schematic sectional view of another process chamber according to the present invention.
  • Figure 3a is a schematic sectional view of yet another process chamber having a tilted window and overlying mask according to the present invention
  • Figure 3b is a schematic top view of one embodiment of a mask according to the present invention.
  • Figure 4a is a schematic side view of another embodiment of a window and overlying mask
  • Figure 4b is a schematic top view of the window and overlying mask of Figure 4a;
  • Figure 5 is a graph showing the net deposition of process residue as a function of the aspect ratio of different sized apertures in a test mask
  • Figure 6a is a partial schematic side view of another process chamber embodiment showing a magnetic field source for maintaining a magnetic flux across the window;
  • Figure 6b is a top view of the window of Figure 6a showing a permanent magnet having a pair of facing magnetic poles with an aperture therebetween;
  • Figure 6c is a schematic top view of the window of Figure 6b showing the magnetic flux lines across the aperture;
  • Figure 6d is a schematic top view of window and a magnetic field source comprising a plurality of magnetic poles around an aperture;
  • Figure 7 is a schematic sectional view of another process chamber embodiment with a window and an electrical field source comprising an electrode behind the window;
  • Figure 8a is a partial schematic side view of another process chamber embodiment with a window and an electrode behind the window;
  • Figure 8b is a schematic too view of the electrode of Figure 8a showing an array of eddy current reducing slots;
  • Figure 8c is a schematic top view of another embodiment of the electrode and eddy current slots.
  • Figure 8d is a schematic top view of another embodiment of the electrode and eddy current slots.
  • the semiconductor processing apparatus 20 and process monitoring system 25 of the present invention is useful for fabricating integrated circuits on a semiconductor substrate 30.
  • the processing apparatus 20, as schematically illustrated in Figure 1 comprises a process chamber 35 having a process zone 40 for processing the substrate 30, and a support 45 for supporting the substrate 30 in the process zone 40.
  • An electrostatic chuck 50 holds the substrate 30 on the support 45 during processing of the substrate 30.
  • the process zone 40 surrounds the substrate 30 and typically comprises a volume of about 1 0,000 to about 50,000 cm 3 .
  • the process chamber 35 can comprise a flat rectangular shaped ceiling 55, or a ceiling which is arcuate, conical, dome-shaped, or multi-radius dome-shaped.
  • the ceiling 55 is dome-shaped to enable a gas energizer 60 to uniformly couple power across the entire volume of the process zone 40 thereby providing a more uniform density of energized gaseous species across the substrate surface than a flat ceiling 55.
  • Process gas is introduced into the process chamber 35 through a gas distribution system 65 that includes a process gas source 70, a gas flow control valve 75, and a process gas distributor 80
  • the gas distributor 80 can comprise gas outlets located at or around the periphery of the substrate 30 (as shown) , or a showerhead mounted on the ceiling 55 of the process chamber 35 with outlets therein (not shown) .
  • Spent process gas and etchant byproducts are exhausted from the process chamber 35 through an exhaust system 85 (typically including vacuum pumps 90 such as a 1 000 liter/sec roughing pump and a 1 000 to 2000 liter/sec turbomolecular pump) capable of achieving a minimum pressure of about 1 0 3 mTorr in the process chamber 35.
  • a throttle valve 95 is provided in the exhaust system 85 to control the flow of spent process gas and the pressure of process gas in the process chamber 35.
  • the gas energizer 60 couples electromagnetic energy to the process gas to form energized gaseous species.
  • the gas energizer 60 comprises an inductor antenna 100 encircling the process chamber 35 to energize the process gas directly in the process zone 40 through inductive coupling by applying an RF current to the inductor antenna 100.
  • the process gas is energized by capacitive coupling by applying an RF voltage to a gas energizer 60 comprising process electrodes formed by the support 45 and the ceiling 55 of the process chamber 35.
  • the ceiling 55 comprises a semiconducting material to function both as a process electrode for capacitively coupling RF energy into the process chamber 35, and as a window for inductively coupling RF energy into the process chamber 35.
  • the frequency of the RF energy applied to the inductor antenna 100, or process electrodes 45,55 is typically from about 50 KHz to about 60 MHZ, and more typically about 13.56 MHZ.
  • the RF voltage applied to the process electrodes 45,55 by an electrode power supply 102 is at a bias power level of from about 1 to about 500 Watts; and the RF current applied to the inductor antenna 100 by a coil power supply 104 is at a source power level of from about 500 to about 2000 Watts.
  • FIG. 2 shows an alternative embodiment of the processing apparatus 20 in which the process gas is energized or activated by the gas energizer 60 in a remote chamber 105, such as a tube or cylinder adjacent to the process chamber 35.
  • a remote chamber 105 such as a tube or cylinder adjacent to the process chamber 35.
  • the remote chamber 105 comprises a gas energizer 60 that couples microwaves or other frequencies of electromagnetic energy from a suitable source into a remote zone 1 10, to activate process gas introduced into the remote chamber 105
  • a suitable microwave source comprises a microwave applicator 1 15, a microwave tuning assembly 120, and a magnetron microwave generator 125 and is typically operated at a power level of from about 200 to about 3000 Watts, and at a frequency of from about 800 MHZ to about 3000 MHZ.
  • the uniformity and density of ions in the energized process gas can be enhanced using electron cyclotron resonance or a magnetic field generator 127, such as permanent magnets or electromagnetic coils 129.
  • a magnetic field generator 127 such as permanent magnets or electromagnetic coils 129.
  • a MxP -i- OXIDE ETCH chamber commercially available from Applied Materials Inc. , Santa Clara, California, and generally described in commonly assigned U.S. Patent No. 4,842,683, issued June 27, 1 989, which is incorporated herein by reference.
  • process gas is introduced into the process zone 40 and energized by an electric field generated by applying a RF power to the support 45 and the ceiling 55 or sidewalls of the process chamber 35.
  • the magnetic field comprises a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate 30.
  • the magnetic field in the process chamber 35 should be sufficiently strong to increase the density of the ions formed in the energized process gas, and sufficiently uniform to reduce charge-up damage to features formed on the substrate 30 such as CMOS gates.
  • the magnetic field as measured on a surface of the substrate 30 is less than about 500 Gauss, more typically from about 10 to about 1 00 Gauss, and most typically from about 10 Gauss to about 30 Gauss.
  • the process monitoring system 25 monitors the progress of a process being performed in the process chamber 35 thorough a window 130 in the process chamber ceiling 55 or a wall that is transparent to light that is emitted from, or directed into, the process chamber 35.
  • the process monitoring system 25 is particularly useful for monitoring the progress of a layer being etched, and to prevent damaging an underlying layer on the substrate 30.
  • Suitable process monitoring systems 25 include detectors based on optical emission, eiiipsometry, and interferometry. Optical emission detectors detect changes in the spectral lines of light spectra emitted by species in the energized process gas to detect changes in chemistry that would indicate the beginning of etching of the underlying layer.
  • Eliipsometers project a light beam at an acute angle to the surface of the substrate 30 and detect a phase shift between portions of the light beam reflected off the top and bottom surfaces of a transparent film on the substrate 30.
  • An interferometer also reflects a beam of light off the top and the bottom surface of a transparent film on the substrate 30.
  • an interferometer determines the thickness of the film on the substrate 30, by measuring the magnitude of constructive or destructive interference between the reflected light beams, and does not need to project the incident light beam at an acute angle relative to the surface of the substrate 30.
  • typically the interferometer directs the light beam at nearly a right angle relative to the surface of the substrate 30, i.e., at an angle of close to 90° .
  • a window 130 according to the present invention comprises a transparent plate 135 and an overlying mask 140 having at least one aperture 145 extending therethrough.
  • the mask 140 covers the surface of the transparent plate 135 facing the inside of the process chamber 35 so that light can be transmitted through the transparent plate 135 and the aperture 145 of the mask 140 to monitor the process being conducted on the substrate 30.
  • the mask 140 covering the transparent plate 135 serves to reduce deposition of process residue, byproducts, and other deposits on the window 130, thereby allowing accurate and reproducible monitoring of processes conducted on the substrate 30.
  • the light transmitted through the window 130 comprises plasma spectra for plasma emission analysis or light reflected from the substrate 30 that is used for process monitoring systems 25 based on interferometry or eiiipsometry principles.
  • the window 130 is shaped, sized, and located to allow an incident light beam 148a transmitted therethrough to be incident on the substrate 30 at an angle that is sufficiently large to provide a near vertical incidence of the light near the center of the substrate 30.
  • the window 130 can comprise any shape including circular, oval, or polygonal shape
  • the transparent plate 135 of the window is made of solid transparent material that is transparent to selected frequencies of electromagnetic radiation used in the process monitoring system 25.
  • the transparent plate 135 is transparent to ultraviolet, visible, and infrared light from a light source 1 50 used to provide the incident light beam 148a for the endpoint detection system.
  • both surfaces of the transparent plate 135 are polished smooth with a peak-to-peak RMS roughness (i.e., the vertical distance between the peaks and valleys of the roughness on the polished crystal face) that is sufficiently small to allow light to be transmitted therethrough
  • a peak-to-peak RMS roughness of the transparent plate 135 is less than about 1 ⁇ m, and more preferably less than about 0.1 um.
  • the surface of the transparent plate 135 can be polished smooth by any suitable means, for example, by flame polishing or conventional lapping and/or ablating methods.
  • the transparent plate 135 is made of a ceramic monocrystalline material that is a single crystal material or one that comprises a few (typically 1 0 or fewer) large crystals that are oriented in the same crystallographic direction, and is transparent to particular wavelengths of light or visible radiation.
  • the monocrystalline material comprises a ceramic, such as for example, one or more of Al 2 0 3 , Si, Si0 2 , Ti0 2 , Zr0 2 , or mixtures and compounds thereof .
  • the monocrystalline ceramic material is selected to exhibit high corrosion resistance in a particular plasma or other process environment.
  • the transparent plate 135 comprises polished sapphire, which is a monocrystalline form of alumina that exhibits high chemical and erosion resistance in halogen plasma environments, especially fluorine containing environments.
  • Monocrystalline sapphire also has a high melting temperature that allows use of the window 130 at temperatures exceeding 1 000°C, and preferably in excess of 2000°C.
  • the transparent plate 135 is tilted at a small angle relative to the plane of a surface substrate 30, so that light reflected from the transparent plate 135 is not reflected back into the process monitoring system 25, thereby allowing greater signal gain in process monitoring.
  • a suitable angle of tilt is at least about 2 degrees, and more preferably, from about 2 to about 1 5 degrees.
  • Figure 3a shows a tilted transparent plate 135 that is angled about 3 degrees relative to the plane of the substrate 30.
  • the tilted plate 135 is angled by raising one side or edge of the transparent plate 135 higher than the opposing side/edge of the transparent plate by providing a raised step 1 52 below an edge of the transparent plate 135.
  • the step is sized depending on the angle of elevation or tilt that is desired and is typically from about 0.5 to about 5 mm depending on the diameter of the transparent plate 135.
  • the mask 140 covering the transparent plate 135 serves to reduce deposition of process gas byproducts formed in the process chamber 35 on the transparent plate 135.
  • the mask 140 is made of a material that is resistant to erosion by the process gas and/or the plasma formed from the process gas.
  • the mask 140 is made from a plasma resistant material comprising one or more of Al 2 0 3 , Si0 2 , AIN, BN, Si, SiC, Si 3 N 4 , Ti0 2 , or Zr0 2 .
  • one embodiment of the mask comprises a thick disc of aluminum oxide that is shaped to cover substantially the entire exposed portion of the transparent plate 135 of the window.
  • the mask 140 comprises a right cylinder that is shaped and sized to cover the surface of the disc. More preferably, the mask 140 comprises disc having a raised central portion 153 with a surrounding annular lip 154.
  • the thickness of the raised central disc portion is preferably from about 0.5 mm to about 500 mm.
  • the diameter of the raised central portion 153 of the mask is from about 50 mm to about 200 mm.
  • the thickness of the annular lip 154 is from about 0.5 mm to about 10 mm, and a smooth rounded edge forms the transition between the raised central disc and the annular lip 154
  • the mask 140 comprises at least one aperture 145 that allows a sufficient intensity of light to pass through the aperture to operate the process monitoring system 25, and that controls the access of energized process gas species to the transparent plate 135 of the window 130
  • the cross-sectional area of the aperture 145 sufficiently large to allow a sufficiently large amount of light flux to ingress and egress from the process chamber 35
  • the aperture 145 can be cylindrical, or polygonal in shape, including triangular, hexagonal, square, and rectangular, of which hexagonal is preferred since it enables a plurality of apertures 145 to be more closely spaced allowing improved transmission of light into and out of the process chamber 35
  • the aspect ratio of the aperture 145 controls the access of energized process gas species to the transparent plate 135 of the window 130.
  • the aspect ratio of the aperture 145 is from about 0.25.1 to about 1 2: 1
  • the aperture 145 is shaped and sized to limit or reduce access of the process gas to the transparent plate 135 and thereby prevent deposition of process gas byproducts and other deposits on the transparent plate 135. This accomplished by making the aspect ratio of the aperture 145 (which is the ratio of the aperture's height to its diameter/width) sufficiently large or high to limit access of the neutral flux of process gas, and therefore access of the volatilized process gas byproducts that condense to form process residues, into the aperture 145 and onto the underlying transparent plate 135 of the window 130
  • the aspect ratio is from about 1 : 1 to about 1 2: 1 , and more preferably from about 3: 1 to about 7.5: 1 .
  • the shape and size of the aperture 145 in the mask 140 is selected to reduce the accumulation of process residue on the underlying transparent plate 135 of the window 130 by a different mechanism.
  • the aspect ratio of the aperture 145 is sufficiently small to allow ions of the energetic process gas to enter the aperture and etch away the process residues formed on a sidewali of the aperture 145 and/or on the surface of the transparent plate 135.
  • the aperture 145 in this embodiment generally has a larger sized diameter or width relative to its height to provide a relatively low aspect ratio.
  • the low aspect ratio preferentially filters out energetic plasma species to allow a higher percentage of highly directional and energetic plasma species to enter into the aperture 145 and sputter-etch away the process residue deposited on the sidewalls of the aperture 145 and on the surface of the transparent plate 135.
  • a suitable aspect ratio is from about 0.25: 1 to about 3.1 , and more preferably from about 0.5 - 1 to about 2.1
  • the mask 140 can also comprise a plurality of apertures 145, and more preferably, an array of apertures as already described Preferably, the total area of the aperture 145 is sufficiently large to transmit both an incident light beam 148a and a reflected light beam 148b or the desired level or intensity of plasma spectra flux for plasma emission analysis.
  • the total area of the transparent plate 135 exposed by the aperture 145 is sized to enable the incident light beams 148a to be moved or scanned across the surface of the substrate 30 to find a particular feature, such as a via or a deep narrow trench, or a suitably flat and/or transparent point at which to make a process endpoint measurement
  • the area of the aperture 145 should be preferably from about 200 to about 2000 mm 2 (0.3 to about 3 in 2 ) and more preferably from about 400 about 600 mm 2 (0.6 to about 0.9 in 2 )
  • the mask 140 can also comprise a plurality of apertures 145 that are spaced apart from one another.
  • the mask can comprise an array of apertures 145 sized and arranged to have a total opening area that provides a sufficient intensity of light to pass through to operate the process monitoring system 25.
  • the actual size, number or arrangement of apertures 145 depends upon the particular process chamber 35, the substrate diameter, the process, and the type of process monitoring system 25.
  • the mask 140 comprises apertures 145 having an opening dimension, such as a diameter or a width of about 0.1 to about 50 mm, and a height of about 0.5 to about 500 nm.
  • the array preferably consists of from about 3 to about 800 apertures 145, and more preferably from about 7 to about 200 apertures 145, as shown in Figure 4b.
  • the apertures 145 are spaced apart from one another by a distance of about 0.25 to about 1 5 mm.
  • the array can comprise different sized apertures 145, for example, first apertures 145a in the central portion that have an average diameter of about 3.5 to 5 mm, and second apertures 145b at its circumferential edge having a diameter of 2 to 3 mm.
  • the mask 140 overlying the window 130 substantially reduces deposition of process residue on the transparent plate 135 of the window 130.
  • the overlying mask 140 and window 130 has been found to reduce deposition of etchant residue in polysilicon etching processes down to about 3 to about 1 0 A/hr, which is about 1 00 times lower than that occurring on conventional unprotected windows.
  • the mask 140 protects the window 130 from erosion by highly chemically reactive process gases, and has been found to extend the lifetime of the window 130.
  • the "footprint" (occupied area of clean room) of a process chamber 35 comprising a window 130 having a mask 140 according to the present invention is much smaller than that of other process chambers 35 having conventional clean-window systems.
  • the process chamber 35 and window 130 of the present invention allows use of process monitoring methods such as interferometry, eiiipsometry, or plasma emission analysis.
  • process monitoring methods such as interferometry, eiiipsometry, or plasma emission analysis.
  • the reduced residue deposition on the process monitoring window 130 increases the signal to noise ratio of the process monitoring systems 25 to levels that provide accurate and reliable readings even after processing a large number of substrates 30.
  • the accuracy of these measurement techniques provides the necessary process control for the deposition and etching of thinner films on the substrate 30, to provide faster and higher operating frequency integrated circuits.
  • the process chamber efficiency and the substrate throughput is also enhanced. Operation of a process chamber and process monitoring system 25 using a window 130 according to the present invention will now be described.
  • the process monitoring system 25 can be an interferometry or eiiipsometry system that compares a property of the reflected light beam 148b, such as its intensity and/or phase angle, to known or stored characteristic values to calculate the endpoint of the etching process.
  • the process monitoring system 25 comprises a computer controller 155 that adjusts the process conditions in the process chamber 35.
  • the computer controller 155 changes the first process conditions to second process conditions to change the rate of etching of the layer on the substrate 30 before the entire layer is etched through or to stop the etching process.
  • the etch rate can be reduced by changing the composition of the process gas to remove aggressive etchant gases, the RF power coupled to the process gas can be lowered, or the substrate temperature can be lowered.
  • a suitable computer controller 1 55 comprises a computer program code product that operates the process chamber 35, and comprises one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, California.
  • the CPUs of the computer controller 155 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the process chamber 35.
  • the interface between an operator and the computer controller 155 can comprise a CRT monitor and a light pen (not shown) , or other devices, such as a keyboard, mouse or pointing communication device.
  • the light source 150 comprises a monochromatic or polychromatic light source 150 that generates an incident light beam 148a having an intensity sufficiently high to provide a reflected light beam 148b that is reflected from a layer on the substrate 30, when the layer has a suitable thickness, with a measurable intensity.
  • the light source 150 provides polychromatic light, such as a Hg-Cd lamp, which generates an emission spectrum of light in wavelengths from about 200 to about 600 nanometers.
  • the polychromatic light source 150 can be filtered to provide an incident light beam having selected frequencies, or particular emission spectra wavelengths can be used, or color filters (not show) can be placed in front of a light detector 160 for detecting the reflected light beam 148b to filter out all undesirable wavelengths except the desired wavelength of light, prior to measuring the intensity of the reflected light beam 148b entering the light detector 160.
  • the light source 150 can also comprise a monochromatic light source 150 that provides a selected wavelength of light, for example, a He-Ne or ND-YAG laser.
  • One or more convex focusing lenses 165 are used to focus the incident light beam 148a from the light source 1 50 as a beam spot or collimated beam on the substrate surface and to focus the reflected light beam 148a back on an active surface of the light detector 160.
  • the size or area of the beam spot should be sufficiently large to compensate for variations in surface topography of the substrate 30 to enable etching of high aspect ratio features having small openings, such as vias or deep narrow trenches.
  • the area of the reflected light beam 148a should be sufficiently large to activate a large portion of the active light detecting surface of the light detector 160.
  • a light beam positioner 170 is used to move the incident light beam 148a across the substrate surface to locate a suitable portion of the layer being etched on which to " park" the beam spot to monitor etching process.
  • the light beam positioner 170 comprises one or more primary mirrors 175 that rotate at small angles to deflect the incident light beam 148a from the light source 1 50 onto different positions of the substrate surface, and to intercept the reflected light beam 148b and focus it on the light detector 160.
  • the light beam positioner 170 is used to scan the light beam in a raster pattern across the substrate surface during processing.
  • the light beam positioner 170 comprises a scanning assembly consisting of a movable stage (not shown) upon which the light source 150, focusing assembly, collecting lens, and detector are mounted.
  • the movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor, move the beam spot across the substrate surface.
  • the light detector 160 comprises an electronic component having a light sensitive surface, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to the intensity of the reflected light beam 148b that is incident on the light sensitive surface.
  • the signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component.
  • the reflected light beam 148b undergoes constructive and/or destructive interference which increases or decreases the intensity of the reflected light beam 148b as the thickness of the film or trench on the substrate 30 increases or decreases, and the light detector 160 provides an electrical output signal in relation to the measured intensity of the reflected light beam 148b.
  • the computer system receives the signal from the light detector 160, compares the signal to a stored value or waveform, and changes process conditions in the process chamber 35 according to programmed guidelines in relation to the signal.
  • a reflectance thickness measuring machine is used to accurately determine the initial thickness of the layer to be etched on the substrate 30, such as a model UV1050 available from KLA-TENCOR, Santa Clara, California.
  • the actual layer thickness is useful to estimate the overall operation time of the etching process and/or to calculate the thickness of the layer that should be etched to provide a predetermined thickness of the layer that remains on the substrate 30 after the etching process.
  • the substrate 30 is transferred by a robot arm (not shown) from a load- lock transfer chamber 180 through a slit valve and into the process zone 40 of the process chamber 35.
  • the substrate 30 is placed on the support 45 where it is held by the electrostatic chuck 50.
  • a heat transfer gas is supplied through holes 185 in the surface of the electrostatic chuck 50 to control the temperature of the substrate 30.
  • the process conditions in the process chamber 35 are set to process the particular layer on the substrate 30 and to form process gas byproducts, the process conditions comprising one or more of process gas composition and flow rates, power levels of gas energizers 60, gas pressure, and substrate temperature.
  • the process can also be performed in multiple stages, for example, each stage having different process conditions.
  • one or more compositions of an energized process gas comprising etchant gas for etching the substrate 30 are provided in the process chamber 35.
  • Suitable etchant gases for etching layers on the substrate 30, include for example, HCI, BCI 3 , HBr, Br 2 , Cl 2 , CCl 4 , S ⁇ CI 4 , SF 6 , F, NF 3 , HF, CF 3 , CF 4 , CH 3 F, CHF 3 , C 2 H 2 F 2 , C 2 H 4 F 6 , C 2 F 6 , C 3 F 8 , C 4 F 8 , C 2 HF 5 , C 4 F 10 , CF 2 CI 2 , CFCI 3 , 0 2 , N 2 , He, and mixtures thereof
  • the process chamber 35 is typically maintained at a pressure ranging from about 0.1 to about 400 mTorr
  • the etchant gas composition is selected to provide high etch rates and/or high etching selectivity
  • the process gas is energized and maintained at first process conditions suitable for etching the substrate 30
  • an energized process gas is provided in the process zone 40 by inductively and/or capacitively coupling energy into the process zone 40 using the gas energizer 60, or by applying microwaves to an etchant gas in the remote zone 1 10 of the remote chamber 105, as shown in Figure 2
  • energized process gas it is meant that the process gas is activated or energized so that one or more of dissociated species, non-dissociated species, ionic species, and/or neutral species are excited to higher energy states in which they are more chemically reactive
  • the process gas is energized by applying an RF source current to the inductor antenna 100 encircling the process chamber 35 or by applying an RF bias voltage to the process electrodes
  • the etchant gas ionizes in the applied electric field to form ions and neutrals that etch the layer on the substrate 30 to form volatile gaseous species that are exhausted from the process chamber 35.
  • the process monitoring system 25 is used to precisely change process conditions, after a given thickness of the layer on the substrate 30 is processed, in etching processes, the process monitoring system 25 can be used to change the process gas composition to provide particular etching rates or etching selectivity ratios
  • the process monitoring system 25 can be used to stop the etching process after a first highly aggressive etching step, which provides high etch rates due to the presence of the fiuo ⁇ nated gas in the etchant gas, to determine the starting point for a second and less reactive etching step, which uses a process gas that is absent the fluo ⁇ nated gas to etch the remaining dielectric layer at a slower etch rate to obtain more controlled etching.
  • the process monitoring system 25 is used to detect the time at which almost ail of the silicon layer is etched so that the first process conditions can be changed to less aggressive or second process conditions, or vice versa, to obtain the desired change in etching rate, etching selectivity ratio, or a change in any other property of the etching process, for example, higher/lower etching rates, or etching of underlying layers having a different composition.
  • the incident light beam 148a is transmitted through the energized process gas in the process zone 40 of the process chamber 35, to be incident on the layers covering the substrate 30 while the layers are being etched.
  • a light source 150 consisting of a Hg-Cd lamp.
  • a light beam from this light source 1 50 is directed through the window 130 to be incident on the substrate 30 at a near vertical angle, to provide a beam spot having a size sufficiently large to cover one or more of the features being etched on the substrate 30.
  • the incident light beam 148a is preferred for the incident light beam 148a to consist of substantially only non-polarized light, because polarized light is preferentially absorbed by deposition of a thin residue on the process window 130.
  • a property of the reflected light beam 148b that reflects off both the top and bottom surfaces of the layer on the substrate 30 is measured. Changes in the measured property, such as the intensity or phase of the reflected light beam, is recorded over time to form a measured waveform pattern. The measured waveform pattern is compared to a stored waveform pattern, and when the two signals are substantially the same, the endpoint of the etching process is reached. At that time, the first process conditions are changed to second process conditions in relation to the measurement of the property of the reflected light beam.
  • first process conditions are changed to second process conditions to stop the etching process, to change the rate of etching of the layer on the substrate 30, or to change its etching selectivity ratio relative to the underlayer, before the entire layer is etched through.
  • the measured intensity of the reflected light beam 148b can also be plotted over time to obtain a measured waveform pattern, and the measured waveform pattern is compared to a predetermined characteristic waveform pattern to determine an endpoint of the etching process that occurs when the two waveforms are the same or substantially identical to one another, as described in commonly assigned U.S. Patent Application No. 09/062,520, by G ⁇ mbergen et al, filed on April 17, 1 998, which is incorporated herein by reference.
  • a computer controller 155 plots the electrical output signal of the intensity of the reflected light beam 148b over time to provide a waveform spectra having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 148b.
  • the computer controller 1 55 calculates a real-time waveform spectra of light reflected from a thickness of a layer being processed on the substrate 30 and compares the waveform of the measured intensity to a stored characteristic waveform pattern and adjusts process conditions in the process chamber 35 when the two waveforms have substantially the same shape and form.
  • the computer program determines the completion of a stage of processing of the layer when the measured waveform pattern comprises a repeatable waveform oscillation that occurs immediately before a terminal peak or dip in a reflected waveform pattern, the terminal peak or dip corresponding to completion of processing of the layer
  • the computer program can also include program code to calculate in real time, the thickness of the layer being etched that remains on the substrate 30 and accordingly adjust the process conditions in the process chamber 35
  • the computer program can also count the number of maxima and minima peaks in the intensity of the reflected light beam and, after a predetermined number of peaks are reached, alter process conditions in the process chamber 35, according to programmed guidelines
  • an in-situ or dry cleaning process can be performed in conjunction with the process monitoring method of the present invention to enhance the operation of the process chamber 35.
  • a first layer on a substrate 30 is etched in a first stage of the etching process by a process gas comprising a composition of etchant gas that provides a high etching rate and a process chamber cleaning gas that removes the residue deposits and process gas byproducts as they are formed on the walls of the process chamber 35.
  • the cleaning gas is an extremely aggressive fluorine containing gas which will quickly etch through a thin underlying gate oxide layer
  • the process monitoring system 25 is used to detect the process endpoint and change the composition of the process gas to remove the cleaning gas immediately prior to etching through the first layer.
  • the cleaning gas in the first stage of the process cleans the process chamber 35 without requiring stopping etching in between processing of batches of substrates 30 to perform wet cleaning processes.
  • the etchant gas comprises one or more of Cl 2 , N 2 , 0 2 , HBr, or He-0 2 ; and the cleaning gas comprises inorganic non-hydrocarbon containing fluo ⁇ nated gas such as one or more of NF 3 , CF 4 , or SF 6 .
  • the volumetric flow ratio of cleaning gas to etchant gas is selected to remove substantially all residue deposits and process gas byproducts from process chamber surfaces upon completion of the first stage.
  • the volumetric flow ratio of cleaning gas to etchant gas is selected to remove substantially all residue deposits and process gas byproducts formed during processing of at least 2000 substrates 30 in the process chamber 35, without performing a separate cleaning step for cleaning the process chamber 35.
  • a suitable volumetric flow ratio of cleaning gas to etchant gas is from about 1 :20 to about 1 : 1 , and more preferably from about 1 : 1 0 to about 2:3, and most preferably about 2:3. It has been discovered that at these volumetric flow ratios substantially all the etchant residue on the process chamber surfaces is removed without eroding the process chamber surfaces.
  • the following examples illustrate use of a process chamber 35 having a window 130 and mask 140 according to the present invention.
  • a series of 200 mm silicon substrates 30 having a 2500 A polysilicon layer, a 45 A silicon dioxide layer, and a 2000 A patterned resist layer were etched.
  • a multi-stage process was used for etching the polysilicon layer on a substrate 30.
  • an energized process gas comprising 50 seem CF 4 and 40 seem SF e is provided in the process chamber 35 to etch through most of the thickness of the polysilicon layer exposed through openings in the resist layer.
  • the process gas is energized by applying a source power of 750 watts to the inductor antenna 100, and a bias power of 90 watts to the process electrodes 45, 55.
  • the process chamber pressure is maintained from about 2 to about 3 mTorr
  • the main etch stage was stopped and remaining polysilicon removed in a second or over-etch stage substantially without damaging the underlying silicon dioxide layer
  • a second energized process gas comprising 60 seem of SF 6 is introduced in the process chamber 35, and energized by a source power of 600 watts and a bias power of 1 watt.
  • the process chamber 35 is maintained at a pressure of about 1 0 mTorr
  • the process was performed in a process cnamber 35 having a window 130 comprising masks 140 of varied thicknesses and having apertures 145 of varying number, diameter, and aspect ratios to determine their effectiveness in reducing accumulation of process residue on the transparent plate 135.
  • the mask 140 comprised an aluminum oxide disc having a raised central portion 153 surrounded by a thin annular lip 1 54 as shown in Figure 4b
  • the mask 140 was positioned about 0.038" from the transparent plate 135, and small slides (not shown) of an etch resistant material such as sapphire or Kapton ® were positioned between the mask 140 and transparent plate 135 to shield a portion of the transparent plate 135 exposed through each aperture 145
  • the total time the process chamber 35 was in operation was recorded and after a specified times the window 130 was removed and the thickness of process residue deposited on and/or the amount of material removed from the transparent plate 135 was measured using a stylus step height measuring device such as a DekTak, or an Alpha-step.
  • the mask 140 had a raised central portion 1 53 1 9 mm (0.75") thick, and comprised a hexagonal pattern of 1 9 apertures, each 3.8 mm (0.1 5") wide and each having an aspect ratio of 5: 1
  • This example demonstrates that an array of apertures 145 having a small diameter and a large aspect ratio reduce deposition of process residue on the transparent plate 135 of the window 130.
  • the window 130 was disassembled, the sapphire slide removed, and the transparent plate 135 scanned using a stylus step height measurement instrument to determine the accumulation of process residue and the etching of the transparent plate 135.
  • the thickness of process residue on the transparent plate 135 was below the limit that could be measured, i.e. , less than 600 A.
  • the change in transmission of light through the transparent plate 135 was also found to be below detectable limits,
  • the mask 140 comprised a raised central portion 153 0.75 " thick and circular apertures 145 having varying diameters from 0.1 " to 1 " .
  • the process chamber 35 was operated for 80 minutes, the mask 140 was found to significantly reduce deposition on the transparent plate 135 as compared to conventional windows.
  • the window 130 was replaced, uncleaned, and examined again after an additional 1 8 and 25 hours of operation.
  • the accumulation of residue deposits, and etching of the transparent plate 135 for the various sized apertures 145 after 25 hours of operation is summarized in Table I.
  • the process chamber 35 comprises a magnetic field source 195 that is adapted to provide or serve as means for maintaining a magnetic flux near or across the window 130.
  • the magnetic field source 195 comprises at least one permanent magnet 200 or electromagnet (not shown) that is positioned adjacent to the window 130 to couple magnetic energy or flux across at least a portion of the surface of the window 130.
  • the magnetic field lines are generally confined to the space around the window 130, and penetrate only a shallow depth or not at all into the process chamber 35
  • a preferred magnetic field source 195 comprises a permanent magnet 200 arranged about the window to provide a magnetic field component that extends across a portion of the transparent plate 135 and in the plane of the window 130.
  • the magnetic field source 195 provides a localized magnetic flux across the window 130 that has a higher density across the window than their density at other portions of the process chamber 35, and that terminates at the edges of the window 130
  • the magnetic flux across the window 130 comprises magnetic field components that prevent charged process gas species from reaching the transparent plate 135
  • the magnetic field lines or magnetic flux has a directional vector that is parallel to the plane of the window 130
  • the field lines serve to confine charged plasma ions and electrons of the energized process gas to a circular path that is at a some fixed average distance away from the transparent plate 135 and thereby prevents deposition of process residues on the plate 135.
  • a magnetic flux that extends across a portion of the window 130 and along a plane parallel to the window causes charged ions and electrons entering the region of the magnetic flux to rotate in a circular motion in this region.
  • the magnetic field strength should be sufficiently high to confine the charged ions and electrons to the region of the magnetic field substantially without allowing the charged species to exit from this region.
  • a suitable magnetic field strength is from about 10 to about 10,000 Gauss, and more preferably from about 50 to about 2000 Gauss.
  • the magnetic field source 195 comprises a plurality of magnetic poles 205 disposed about a perimeter of the window 130.
  • the magnetic poles 205 around the perimeter of the window 130 comprise opposing magnetic polarities that are in facing relationship to one another.
  • the magnetic field source 195 can comprise at least a pair of north and south poles 205a, b that face one another
  • the magnetic field source 195 comprises a magnetic yoke 210 (by which it is meant a ferromagnetic yoke of a permanent magnet or an electromagnet) having an aperture 215 therein
  • the magnetic yoke 210 provides a symmetrical magnetic field across the aperture 215.
  • Figure 6b shows an exemplary magnetic yoke 210 comprising at least a pair of radially extending poles 205a, b that face one another and have opposing magnetic polarity
  • the magnetic yoke 210 can comprise a plurality of yokes of magnetic material that are arranged to provide a plurality of radially opposing magnetic poles 205 facing one another across the aperture 215 to provide a magnetic flux across the surface of the window 130.
  • the aperture 215 in the annular shaped or circumferentiaily disposed magnetic yoke 210 is sized to allow light to pass through the window 130
  • the facing magnetic poles 205a, b apply a magnetic field generally straight across the aperture 215 in the magnetic yoke 210
  • the aperture 215 is sized sufficiently large to allow a sufficient intensity of light to pass through to operate the process monitoring system 25.
  • the total cross-sectional area of the aperture 215 is sufficiently large to allow a sufficiently large amount of light to ingress and egress from the process chamber 35 through the aperture 215.
  • the aperture can be cylindrical, triangulated, or rectangular in shape, of which a cylinder provides good axial symmetry for the magnetic field source and smooth internal surfaces.
  • the process chamber 35 comprises a window 130 in a wail or the ceiling 55 of the process chamber 35 and an electrical field source 220 that couples electrical energy to the window 130.
  • the electrical energy coupled to the window 130 reduces the accumulation of the process residues on the window 130 by causing energized process gas ions to energetically bombard the window 130 and remove process residues deposited on the window.
  • the electric field source 220 comprises an electrode 225 adjacent to the window.
  • the electrical field source 220 comprises an electrode 225 disposed adjacent to and behind the window 130 to induce a charge in the window and to generate an electric field perpendicular to the plane of the window 130 which causes energetic plasma ions and species in the process chamber 35 accelerate toward and impinge upon on the window 130 to sputter-etch and remove the process residue deposits formed on the window.
  • the electric field source 220 comprises an electrode 225 having one or more apertures 230 therein, disposed between the transparent plate 135 and the light source 150 to provide an electric field that is perpendicular to the plane of the window 130.
  • the electrical field causes energetic plasma ions and species in the process chamber 35 accelerate toward the window 130, pass through the aperture 230, and impinge upon on the transparent plate 135 to sputter-etch and remove the process residue deposits.
  • the total cross-sectional area of the apertures 230 is sufficiently large to allow a sufficiently large amount of light flux to ingress and egress from the process chamber 35 through the aperture to operate the process monitoring system.
  • eddy current reducing slots 232 are also sized, shaped, and disposed to reduce eddy currents induced in the electrode 225 by preventing a continuous pathway of current from being forming in the electrode Eddy currents occur due to the electrical energy coupled from other process components, such as the inductor antenna 100.
  • the slots 232 reduce or eliminate the eddy currents by breaking up the circular pathway of the current in the electrode 225.
  • the electrode 225 can comprise a disc 235 having at least one radially extending cutout 240 that is in the pathway of the eddy current induced in the electrode 225.
  • the electrode 225 comprises a series of radial wedge-shaped cuts 242 or an array of circular holes 243 spaced apart from one another.
  • the electrical field source 220 is adapted to provide an electrical field or flux that extends across a portion of or substantially the entire surface of the window 130, and that terminates at or near the edges of the window 130. More preferably, the electrode 225 is sized sufficiently large to provide an electric field that covers the entire area of the transparent plate 135 of the window 130 and is shaped and sized similar to the shape of the window 130.
  • a voltage source 245 electrically biases the electrode 225 with one of a D.C. voltage, an A.C. voltage, or an RF voltage.
  • the electrode 225 can be electrically biased by a tap 250 connecting a selected coil of the inductor antenna 100 to the electrode 225.
  • coil power supply 104 provides power to both the window electrode 225 and the inductor antenna 100.
  • coil power supply 104 biases the electrode 225 with a voltage of from about 1 0 to about 1 0,000 volts, and more preferably from about 20 to about 4000 volts.
  • the mask 140 can also be used in combination with the magnetic and electrical field confinement methods.
  • the mask 140 having the aperture 145 is aligned over the aperture 215 in the magnetic yoke 210 or over the aperture 230 in the electrode 225, to align the aperture 145 to the apertures 210 or 230.
  • the aperture 145 of the mask 140 is shaped and sized to limit or reduce access of the energized process gas into the aperture 215 of the magnetic yoke 210 to prevent deposition of process gas byproducts and other deposits on the underlying transparent plate 135.
  • the aperture 145 is sized and shaped to screen out low energy plasma species and only allow highly energetic and directional plasma species into the aperture 145. The highly energetic and directional species impinge upon sidewalls of the aperture 145 and upon the surface of the transparent plate 135 to sputter-etch and remove process gas deposits that are formed thereon
  • the substrate 20 and process of the present invention allows accurate and reliable monitoring of the process being conducted in the process chamber 35 without excessive deposition of residues and deposits on the window 130 for the process monitoring system 25.
  • the improved window 130 structure further reduces flaking of deposits from window components and thereby increases substrate yields.
  • the window 130 is also much less susceptible to erosive damage from the plasma in the process chamber 35 than conventional windows 130. By reducing the need to often replace the window 130, the cost of operating the process chamber 35 and the cost per substrate 30 are also significantly reduced.
  • the masked window 130 configuration allows use of the process chamber 35 over an extended period of time without stopping processing to wet clean the process chamber walls and components including the window 130, thereby increasing etching throughput and further reducing costs per substrate 30.
  • the magnetic and electrical field confinement methods can operate separately or in combination with the masking method, to reduce to entirely eliminate process residue deposition on the window.
  • the etching and endpoint detection method of the present invention significantly improve substrate yields by reducing etching or other damage of the thin gate oxide underlayer, during etching of an overlying polysilicon layer.
  • the polysilicon etching process is stopped without etching through an ultra-thin gate oxide layer having a th ⁇ c ness of 25 to 65 angstroms, which is only a few layers of atoms of silicon dioxide, and which is 4 to 5 times thinner than prior art gate oxide layers.
  • the etching method also minimizes the damage that a high density RF bias plasma can cause by the formation of damaging electrical currents that are coupled through the thin gate oxide layer into the silicon wafer.
  • the present process provides higher yields and better quality of integrated circuits.
  • the combination etching/cleaning process of the present invention has been found to uniformly etch substrates 30 while simultaneously removing etchant residues deposited on the process chamber 35 during the etching process, irrespective of the thickness or chemical stoichiometry of the etchant residue layers.
  • Prior art etching processes required cleaning and conditioning of the process chamber 35 after processing of only 200 to 300 wafers, because of the variation in etching rates and etching selectivity ratio and the higher particle contamination levels that resulted from etchant residue deposits on the process chamber surfaces, after processing this number of wafers.
  • prior art cleaning processes particularly those performed by an operator, often fail to uniformly clean and remove the etchant residue deposits formed on process chamber surfaces, and such build-up of etchant deposits resulted in flaking off and contamination of the substrate 30.
  • the present invention is described with reference to certain preferred versions thereof; however, other versions are possible.
  • the treatment and cleaning process of the present invention can be used for treating process chambers 35 for other applications, as would be apparent to one of ordinary skill.
  • the process can be applied, as would be apparent to one of ordinary skill in the art, to treat sputtering chambers, ion implantation chambers, or deposition chambers, or in combination with other cleaning processes. Therefore, the spirit and scope of the appended ciaims should not be limited to the description of the preferred versions contained herein.

Abstract

A process chamber (35) for processing a substrate (30) and monitoring the process conducted on the substrate (30), comprises a support (45), a gas distributor, and an exhaust (85). The process chamber (35) has a wall comprising a window (130) that allows light to be transmitted therethrough. Means are provided for reducing deposition of process residue from the process gas onto the window (130) during processing of the substrate (30). In one version, the window (130) comprises a transparent plate (135) covered by an overlying mask (140) that has at least one aperture (145) extending through the mask (140) so that light can be transmitted through the aperture (145) and the transparent plate (135).

Description

CHAMBER HAVING IMPROVED PROCESS MONITORING WINDOW
BACKGROUND
The present invention relates to a process chamber and process monitoring window.
In integrated circuit fabrication, layers of semiconductor, dielectric, and conductor mateπals, such as for example, polysilicon, silicon dioxide, aluminum and copper layers are deposited on a substrate and subsequently processed, for example, by etching with an etchant plasma, to form active devices Tne layers are deposited on the substrate in a process chamber by processes such as cnemical vapor deposition (CVD) , physical vapor deposition (PVD) , thermal oxidation, ion implantation and ion diffusion After deposition, a resist layer of photoresist or hard mask is applied on the deposited layer and patterned by photolithography Portions of the deposited layers lying between the resist features are etched using RF or microwave energized halogen and other reactive gases to form etched features.
In these fabrication processes, it is often desirable to monitor in-situ the process being performed on the substrate by a process monitoring system For example, in CVD and PVD processes, it is desirable to stop the deposition process after a desired thickness of a layer is deposited. In etching processes, endpoint detection methods are used to prevent overetching of layers that are being etched. Typical process monitoring methods, include for example, plasma emission analysis, eiiipsometry, and interferometry. In plasma emission analysis, an emission spectra of a plasma is measured to determine a change in chemical composition that corresponds to a change in the layer being processed, as for example, taught in U.S. Patent No. 4,328,068 which is incorporated herein by reference In eiiipsometry, a polarized light beam is reflected off a layer on the substrate and analyzed to determine a phase shift and a change in magnitude of the reflected light that occurs with changes in the thickness of the layer, as for example disclosed in U.S. Patent Nos. 3,874,797 and 3,824,017, both of which are incorporated herein by reference. In interferometry, a non-polarized light beam is reflected off the layer and analyzed to determine a change in magnitude of the reflected light that occurs due to interference of reflected light components from the top and bottom surfaces of the layer on the substrate, as for example, described in U.S. Patent No. 4,953,982, issued September 4, 1 990, which is incorporated herein by reference. These process monitoring methods require a high strength optical transmission signal through the window and also require viewing or signal sampling of relatively large surface area of the substrate.
A typical process monitoring system comprises an optical sensor system for detecting and measuring light emissions or light reflections through a window in a wall of the process chamber. The window is transparent to particular light wavelengths to allow light to be transmitted in and out of the chamber while maintaining a vacuum seal with the chamber. When monitoring a layer on a substrate, the transparent window is positioned in the chamber wall in direct line of sight of the substrate. Process monitoring windows are typically constructed from quartz which is resistant to high temperatures and are sealed to the chamber surface with 0-rιng seals positioned along their edges.
However, in many deposition and etching processes, a thin cloudy film of residue deposits and byproducts are deposited on the process monitoring window as substrates are being processed in the chamber. The process residues are deposited on the window at rates often in excess of 1 micron in 25 to 50 hours of process operation. The deposited film of process residue changes the properties or intensity of the light transmissions passing through the window. For example, in plasma emission analysis, the residue deposits selectively filter out particular wavelengths of light from the optical emission spectra of the plasma resulting in errors in process monitoring measurements. In eiiipsometry, the residue deposits change the state of polarization of the light beam transmitted or reflected through the window causing erroneous ellipsometπc measurements. As another example, in interferometry, the deposits absorb and lower the intensity of the light passing through the window resulting in a lower signal-to-noise ratio.
To avoid these problems, conventional processing monitoring windows are periodically replaced or cleaned to remove the residue deposits formed on the windows. For example, in typical etching processes, after etching a certain number of wafers, or operating cumulatively for about 10 hours, the chamber is opened to the atmosphere and cleaned in a "wet-cleaning" process, in which an operator uses an acid or solvent to scrub off and dissolve the deposits accumulated on the window and chamber walls. After cleaning, the chamber is pumped down for 2 to 3 hours to outgas volatile acid or solvent species, and a series of etching runs are performed on dummy wafers. In the competitive semiconductor industry, the downtime of the chamber during such cleaning processes can substantially reduce process throughput and increase processing costs per substrate. Also, manually performed wet cleaning processes are often hazardous, and the quality of cleaning varies from one session to another.
One approach to solving the residue deposition problem uses a recessed window positioned in a long tube that opens into the chamber Because the process gas or plasma in the chamber has to travel though the length of the tube before reaching the recessed window, the deposition of process residues on the surface of the recessed window inside the tube is markedly reduced. However, the high aspect ratio (length/diameter) of the elongated tube makes it difficult to monitor a sufficiently large sampling area inside the chamber, and reduces the total light flux. This limits the accuracy of the process monitoring systems during processing of a batch of substrates or sometimes even for a single substrate. In addition, the elongated tube takes up a large amount of space outside the chamber, which is undesirable in tight clean room spaces, and the tube is also difficult to fit in-between other components of the process chamber.
In another solution, the process monitoring window is selectively heated to prevent deposition of process residue deposits, as described in commonly assigned U.S. Patent No. 5, 1 29,994, to Ebbing et al., issued on July 14, 1 992. However, while suitable for certain types of processes, heating does not prevent all forms of residues from condensing and depositing on the window, and in certain processes, heating can actually increase the rate of deposition of process residue on the window.
In yet another approach, photosensitive equipment is used to sample signals of the light emissions or reflections from the chamber/substrate and mathematically manipulate the sampled data to increase the signal to noise ratio of the light signal passing through a cloudy window, as for example, described in U.S. Patent No. 5,738,756 to Liu, issued on April 14, 1998. However, complex mathematical manipulations can delay process response times. In etching processes, even a small time delay can result in undesirable charging or lattice damage of the underlying layers, especially for underlying polysilicon layers. In addition, these processes are not always able to increase the signal to noise ratio by a sufficient amount to provide a discernible signal. If the signal is too small, the fabrication process may never be terminated, and if it is too large, the process may be prematurely terminated.
The process residues deposited on windows are a particular problem when monitoring etching processes in which etching of a thick overlayer has to be stopped before etching through a relatively thin underlayer For example, the aggressive halogen containing gases etchant gases that are used to etch a relatively thick layer will often uncontrollably etch through or damage any thin underlayers, without an accurate and reliable process monitoring system This is especially a problem when etching a polysilicon overlayer to expose a thin gate oxide underlayer. After the polysilicon etching process, it is desirable for the remaining thickness of the gate oxide layer to be very close to a nominal and predetermined thickness. As the gate oxide layer becomes thinner, it is more difficult to accurately etch through the polysilicon overlayer without overetching into the gate oxide layer It is further desirable to stop the etching process on the gate oxide layer without causing charge or lattice damage to underlying silicon by exposed the silicon to the energetic etchant plasma. This type of process control is only possible with a reliable and consistently performing process monitoring system.
Thus it is desirable to have a chamber and process monitoring system that allows monitoring of processing of substrates in the chamber without excessive signal loss during continued processing of the substrate It is further desirable to have a process monitoring window that prevents or reduces deposition of process residue on its surfaces and exhibits a low rate of erosion in reactive halogen gases and plasmas. It is also desirable to have a method of monitoring processing of a substrate that provides accurate and repeatable processing results, especially for etching thick overlayers on thin underlayers. SUMMARY
The present invention provides a process chamber for processing a substrate and monitoring the process being conducted on the substrate with a high degree of accuracy and repeatability. The chamber comprises a support, a process gas distributor, and an exhaust system. The chamber has a wall comprising a window that allows light to be transmitted therethrough. The window comprises a transparent plate covered by a mask having at least one aperture extending through the mask so that light can be transmitted through the aperture of the mask and the transparent plate to monitor the process being conducted on the substrate. The mask covering the transparent plate reduces deposition of process gas byproducts and other deposits on the window during a process in which a substrate is held on the support and processed by process gas that is distributed by the gas distributor and is exhausted by the exhaust system.
In another aspect, the present invention comprises a process chamber comprising a support having a receiving surface that is adapted to support a substrate. A gas distributor provides process gas in the process chamber to process the substrate and form process gas byproducts. First means are provided for transmitting light into and from the process chamber during processing of a substrate in the process chamber. Second means are provided for masking the first means to reduce deposition of process gas byproducts formed in the process chamber. An exhaust comprising pumps exhaust the process gas and process gas byproducts from the process chamber.
In yet another aspect, the present invention comprises a method of processing a substrate, comprising the steps of placing the substrate in a process zone and maintaining first process conditions in the process chamber to process the substrate, the first process conditions including providing an energized process gas to the process zone. An incident light beam is directed through a window adjacent to the process zone to be incident on the substrate. A measurable intensity of the reflected light beam passing through the window is measured by holding a mask having apertures against the window to reduce deposition of process gas byproducts on the window. A property of a reflected light beam that is reflected from the substrate is measured. The first process conditions are changed to second process conditions in relation to the measurement of the property of the reflected light beam.
In another aspect, the present invention comprises a method of etching a layer on a substrate substantially without etching or damaging an underlayer. The method comprises the steps of placing the substrate in a process zone and maintaining process conditions in the process zone to etch a layer on the substrate and form process gas byproducts, the process conditions comprising one or more of process gas composition and flow rates, power levels of process gas energizers, process gas pressure, and substrate temperature An etching endpoint is detected immediately prior to etching through the layer on the substrate by the steps of (1 ) directing an incident light beam through a winαow adjacent to the process zone to be incident on the substrate, (2) maintaining a measurable intensity of the reflected light beam through the window by holding a mask having apertures against the window to reduce deposition of process gas byproducts on the window, and (3) measuring a property of a reflected light beam that is reflected from the substrate immediately prior to etching through the layer on the substrate. The process conditions in the chamber are changed in relation to the measurement of the property of the reflected light beam
In another aspect, the invention is directed to a process chamber having a window in a wall of the process chamber for monitoring the process being conducted on a substrate and a magnetic field source adapted to provide a magnetic flux across the window The chamber comprises a support, a process gas distributor, and an exhaust system by which a substrate held on the support is processed by the energized process gas, forming process residues in the process chamber, and the magnetic field source provides magnetic flux across the window to reduce the deposition of process residues on the window
In yet another aspect, the present invention comprises a process chamber for processing a semiconductor substrate, the process chamber comprising a window and means for maintaining a magnetic flux across the window. The process chamber further comprises a support, a process gas distributor, and an exhaust system. A substrate held on the support is processed by the energized process gas thereby forming process residues in the process chamber. The means for maintaining magnetic flux across the window reduces deposition of process residues on the window.
The present invention also comprises a method of processing a substrate, comprising the steps of placing the substrate in a process chamber and maintaining first process conditions in the process chamber to process the substrate, the first process conditions including providing an energized process gas to the process chamber. Maintaining a magnetic flux across a window in a wall of the process chamber. Directing an incident light beam through the window, and changing the first process conditions are changed to second process conditions in relation to the measurement of the property of the reflected light beam
In another aspect, the invention is directed to a process chamber having a window in a wall of the process chamber for monitoring the process being conducted on a substrate, and an electrical field source adapted to couple electrical energy to the window. The chamber further comprises a support, a process gas distributor, and an exhaust system By which a substrate held on the support is processed by the energized process gas, forming process residues in the process chamber, and the electrical energy coupled to the window reduces deposition of the process residues on the window
In yet another aspect, the present invention comprises a process chamber for processing a semiconductor substrate, the process chamber comprising a window, and means for electrically biasing the window The process chamber further comprises a support, a process gas distributor, and an exhaust system By which a substrate held on the support is processed by the energized process gas, forming process residues in the process chamber, and the means for electrically biasing the window reduces deposition of process residues on the window
In yet another aspect, the present invention comprises a method of processing a substrate, comprising the steps of placing the substrate in a process chamber and maintaining process conditions in the process chamber to process the substrate, the process conditions including providing an energized process gas in the process chamber. Providing a window in a wall of the process chamber, and maintaining an electrical flux across the surface of the window. The electrical flux having an electrical field component that is perpendicular to the plane of the window.
DRAWINGS
These and other features, aspects, and advantages of the present invention will be better understood from the following drawings, description and appended claims, which illustrate examples of the invention. While the description and drawings below illustrate exemplary features of the invention, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features.
Figure 1 is a schematic sectional view of an embodiment of a process chamber according to the present invention showing a window and overlying mask;
Figure 2 is a schematic sectional view of another process chamber according to the present invention;
Figure 3a is a schematic sectional view of yet another process chamber having a tilted window and overlying mask according to the present invention;
Figure 3b is a schematic top view of one embodiment of a mask according to the present invention;
Figure 4a is a schematic side view of another embodiment of a window and overlying mask;
Figure 4b is a schematic top view of the window and overlying mask of Figure 4a;
Figure 5 is a graph showing the net deposition of process residue as a function of the aspect ratio of different sized apertures in a test mask; Figure 6a is a partial schematic side view of another process chamber embodiment showing a magnetic field source for maintaining a magnetic flux across the window;
Figure 6b is a top view of the window of Figure 6a showing a permanent magnet having a pair of facing magnetic poles with an aperture therebetween;
Figure 6c is a schematic top view of the window of Figure 6b showing the magnetic flux lines across the aperture;
Figure 6d is a schematic top view of window and a magnetic field source comprising a plurality of magnetic poles around an aperture;
Figure 7 is a schematic sectional view of another process chamber embodiment with a window and an electrical field source comprising an electrode behind the window;
Figure 8a is a partial schematic side view of another process chamber embodiment with a window and an electrode behind the window;
Figure 8b is a schematic too view of the electrode of Figure 8a showing an array of eddy current reducing slots;
Figure 8c is a schematic top view of another embodiment of the electrode and eddy current slots; and
Figure 8d is a schematic top view of another embodiment of the electrode and eddy current slots.
DESCRIPTION
The semiconductor processing apparatus 20 and process monitoring system 25 of the present invention is useful for fabricating integrated circuits on a semiconductor substrate 30. The processing apparatus 20, as schematically illustrated in Figure 1 , comprises a process chamber 35 having a process zone 40 for processing the substrate 30, and a support 45 for supporting the substrate 30 in the process zone 40. An electrostatic chuck 50 holds the substrate 30 on the support 45 during processing of the substrate 30. The process zone 40 surrounds the substrate 30 and typically comprises a volume of about 1 0,000 to about 50,000 cm3. The process chamber 35 can comprise a flat rectangular shaped ceiling 55, or a ceiling which is arcuate, conical, dome-shaped, or multi-radius dome-shaped. Preferably, the ceiling 55 is dome-shaped to enable a gas energizer 60 to uniformly couple power across the entire volume of the process zone 40 thereby providing a more uniform density of energized gaseous species across the substrate surface than a flat ceiling 55.
Process gas is introduced into the process chamber 35 through a gas distribution system 65 that includes a process gas source 70, a gas flow control valve 75, and a process gas distributor 80 The gas distributor 80 can comprise gas outlets located at or around the periphery of the substrate 30 (as shown) , or a showerhead mounted on the ceiling 55 of the process chamber 35 with outlets therein (not shown) . Spent process gas and etchant byproducts are exhausted from the process chamber 35 through an exhaust system 85 (typically including vacuum pumps 90 such as a 1 000 liter/sec roughing pump and a 1 000 to 2000 liter/sec turbomolecular pump) capable of achieving a minimum pressure of about 1 0 3 mTorr in the process chamber 35. A throttle valve 95 is provided in the exhaust system 85 to control the flow of spent process gas and the pressure of process gas in the process chamber 35.
The gas energizer 60 couples electromagnetic energy to the process gas to form energized gaseous species. In the embodiment shown in Figure 1 , the gas energizer 60 comprises an inductor antenna 100 encircling the process chamber 35 to energize the process gas directly in the process zone 40 through inductive coupling by applying an RF current to the inductor antenna 100. Alternatively, the process gas is energized by capacitive coupling by applying an RF voltage to a gas energizer 60 comprising process electrodes formed by the support 45 and the ceiling 55 of the process chamber 35. In the process chamber 35 of Figure 1 , the ceiling 55 comprises a semiconducting material to function both as a process electrode for capacitively coupling RF energy into the process chamber 35, and as a window for inductively coupling RF energy into the process chamber 35. The frequency of the RF energy applied to the inductor antenna 100, or process electrodes 45,55, is typically from about 50 KHz to about 60 MHZ, and more typically about 13.56 MHZ. Preferably, the RF voltage applied to the process electrodes 45,55 by an electrode power supply 102 is at a bias power level of from about 1 to about 500 Watts; and the RF current applied to the inductor antenna 100 by a coil power supply 104 is at a source power level of from about 500 to about 2000 Watts.
Figure 2 shows an alternative embodiment of the processing apparatus 20 in which the process gas is energized or activated by the gas energizer 60 in a remote chamber 105, such as a tube or cylinder adjacent to the process chamber 35. By " remote" it is meant that the center of the remote chamber 105 is at a fixed upstream distance from the center of the process chamber 35 The remote chamber 105 comprises a gas energizer 60 that couples microwaves or other frequencies of electromagnetic energy from a suitable source into a remote zone 1 10, to activate process gas introduced into the remote chamber 105 A suitable microwave source comprises a microwave applicator 1 15, a microwave tuning assembly 120, and a magnetron microwave generator 125 and is typically operated at a power level of from about 200 to about 3000 Watts, and at a frequency of from about 800 MHZ to about 3000 MHZ.
In yet another embodiment of the processing apparatus 20, the uniformity and density of ions in the energized process gas can be enhanced using electron cyclotron resonance or a magnetic field generator 127, such as permanent magnets or electromagnetic coils 129. For example, a MxP -i- OXIDE ETCH chamber, commercially available from Applied Materials Inc. , Santa Clara, California, and generally described in commonly assigned U.S. Patent No. 4,842,683, issued June 27, 1 989, which is incorporated herein by reference. Referring to Figure 3a, process gas is introduced into the process zone 40 and energized by an electric field generated by applying a RF power to the support 45 and the ceiling 55 or sidewalls of the process chamber 35. Preferably, the magnetic field comprises a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate 30. The magnetic field in the process chamber 35 should be sufficiently strong to increase the density of the ions formed in the energized process gas, and sufficiently uniform to reduce charge-up damage to features formed on the substrate 30 such as CMOS gates. Generally, the magnetic field as measured on a surface of the substrate 30 is less than about 500 Gauss, more typically from about 10 to about 1 00 Gauss, and most typically from about 10 Gauss to about 30 Gauss.
The process monitoring system 25 monitors the progress of a process being performed in the process chamber 35 thorough a window 130 in the process chamber ceiling 55 or a wall that is transparent to light that is emitted from, or directed into, the process chamber 35. The process monitoring system 25 is particularly useful for monitoring the progress of a layer being etched, and to prevent damaging an underlying layer on the substrate 30. Suitable process monitoring systems 25 include detectors based on optical emission, eiiipsometry, and interferometry. Optical emission detectors detect changes in the spectral lines of light spectra emitted by species in the energized process gas to detect changes in chemistry that would indicate the beginning of etching of the underlying layer. Eliipsometers project a light beam at an acute angle to the surface of the substrate 30 and detect a phase shift between portions of the light beam reflected off the top and bottom surfaces of a transparent film on the substrate 30. An interferometer also reflects a beam of light off the top and the bottom surface of a transparent film on the substrate 30. However, an interferometer determines the thickness of the film on the substrate 30, by measuring the magnitude of constructive or destructive interference between the reflected light beams, and does not need to project the incident light beam at an acute angle relative to the surface of the substrate 30. In fact, typically the interferometer directs the light beam at nearly a right angle relative to the surface of the substrate 30, i.e., at an angle of close to 90° . Unlike an optical emission detector, the interferometer detector can be used to detect and stop a semiconductor etching process before reaching an underlying layer below the layer being etched. Also, because the light beam is directed at nearly a right angle, the interferometer can be used for etching features having high aspect ratios, which would block the low angle beam of the eliipsometer. Thus it is generally preferred to use an interferometer system to detect the endpoint of an etch process performed in the process chamber 35. Referring to Figures 4a and 4b, a window 130 according to the present invention comprises a transparent plate 135 and an overlying mask 140 having at least one aperture 145 extending therethrough. The mask 140 covers the surface of the transparent plate 135 facing the inside of the process chamber 35 so that light can be transmitted through the transparent plate 135 and the aperture 145 of the mask 140 to monitor the process being conducted on the substrate 30. The mask 140 covering the transparent plate 135 serves to reduce deposition of process residue, byproducts, and other deposits on the window 130, thereby allowing accurate and reproducible monitoring of processes conducted on the substrate 30. The light transmitted through the window 130 comprises plasma spectra for plasma emission analysis or light reflected from the substrate 30 that is used for process monitoring systems 25 based on interferometry or eiiipsometry principles. The window 130 is shaped, sized, and located to allow an incident light beam 148a transmitted therethrough to be incident on the substrate 30 at an angle that is sufficiently large to provide a near vertical incidence of the light near the center of the substrate 30. Generally, the window 130 can comprise any shape including circular, oval, or polygonal shape
The transparent plate 135 of the window is made of solid transparent material that is transparent to selected frequencies of electromagnetic radiation used in the process monitoring system 25. Preferably, the transparent plate 135 is transparent to ultraviolet, visible, and infrared light from a light source 1 50 used to provide the incident light beam 148a for the endpoint detection system. To prevent attenuation of the incident light beam 148a by scattering, both surfaces of the transparent plate 135 are polished smooth with a peak-to-peak RMS roughness (i.e., the vertical distance between the peaks and valleys of the roughness on the polished crystal face) that is sufficiently small to allow light to be transmitted therethrough Preferably, the peak-to-peak RMS roughness of the transparent plate 135 is less than about 1 μm, and more preferably less than about 0.1 um. The surface of the transparent plate 135 can be polished smooth by any suitable means, for example, by flame polishing or conventional lapping and/or ablating methods.
The transparent plate 135 is made of a ceramic monocrystalline material that is a single crystal material or one that comprises a few (typically 1 0 or fewer) large crystals that are oriented in the same crystallographic direction, and is transparent to particular wavelengths of light or visible radiation. Preferably, the monocrystalline material comprises a ceramic, such as for example, one or more of Al203, Si, Si02, Ti02, Zr02, or mixtures and compounds thereof . The monocrystalline ceramic material is selected to exhibit high corrosion resistance in a particular plasma or other process environment. In a preferred embodiment, the transparent plate 135 comprises polished sapphire, which is a monocrystalline form of alumina that exhibits high chemical and erosion resistance in halogen plasma environments, especially fluorine containing environments. Monocrystalline sapphire also has a high melting temperature that allows use of the window 130 at temperatures exceeding 1 000°C, and preferably in excess of 2000°C.
In one embodiment, the transparent plate 135 is tilted at a small angle relative to the plane of a surface substrate 30, so that light reflected from the transparent plate 135 is not reflected back into the process monitoring system 25, thereby allowing greater signal gain in process monitoring. A suitable angle of tilt is at least about 2 degrees, and more preferably, from about 2 to about 1 5 degrees. For example, Figure 3a shows a tilted transparent plate 135 that is angled about 3 degrees relative to the plane of the substrate 30. The tilted plate 135 is angled by raising one side or edge of the transparent plate 135 higher than the opposing side/edge of the transparent plate by providing a raised step 1 52 below an edge of the transparent plate 135. The step is sized depending on the angle of elevation or tilt that is desired and is typically from about 0.5 to about 5 mm depending on the diameter of the transparent plate 135.
The mask 140 covering the transparent plate 135 serves to reduce deposition of process gas byproducts formed in the process chamber 35 on the transparent plate 135. The mask 140 is made of a material that is resistant to erosion by the process gas and/or the plasma formed from the process gas. Preferably, the mask 140 is made from a plasma resistant material comprising one or more of Al203, Si02, AIN, BN, Si, SiC, Si3N4, Ti02, or Zr02. Referring to Figure 4b, one embodiment of the mask comprises a thick disc of aluminum oxide that is shaped to cover substantially the entire exposed portion of the transparent plate 135 of the window. Preferably, when the transparent plate 135 comprises a disc, the mask 140 comprises a right cylinder that is shaped and sized to cover the surface of the disc. More preferably, the mask 140 comprises disc having a raised central portion 153 with a surrounding annular lip 154. The thickness of the raised central disc portion is preferably from about 0.5 mm to about 500 mm. The diameter of the raised central portion 153 of the mask is from about 50 mm to about 200 mm. The thickness of the annular lip 154 is from about 0.5 mm to about 10 mm, and a smooth rounded edge forms the transition between the raised central disc and the annular lip 154
The mask 140 comprises at least one aperture 145 that allows a sufficient intensity of light to pass through the aperture to operate the process monitoring system 25, and that controls the access of energized process gas species to the transparent plate 135 of the window 130 The cross-sectional area of the aperture 145 sufficiently large to allow a sufficiently large amount of light flux to ingress and egress from the process chamber 35 The aperture 145 can be cylindrical, or polygonal in shape, including triangular, hexagonal, square, and rectangular, of which hexagonal is preferred since it enables a plurality of apertures 145 to be more closely spaced allowing improved transmission of light into and out of the process chamber 35 The aspect ratio of the aperture 145 controls the access of energized process gas species to the transparent plate 135 of the window 130. Preferably, the aspect ratio of the aperture 145 is from about 0.25.1 to about 1 2: 1
In one embodiment, the aperture 145 is shaped and sized to limit or reduce access of the process gas to the transparent plate 135 and thereby prevent deposition of process gas byproducts and other deposits on the transparent plate 135. This accomplished by making the aspect ratio of the aperture 145 (which is the ratio of the aperture's height to its diameter/width) sufficiently large or high to limit access of the neutral flux of process gas, and therefore access of the volatilized process gas byproducts that condense to form process residues, into the aperture 145 and onto the underlying transparent plate 135 of the window 130 Preferably, the aspect ratio is from about 1 : 1 to about 1 2: 1 , and more preferably from about 3: 1 to about 7.5: 1 .
In another embodiment, as illustrated in Figure 3b, the shape and size of the aperture 145 in the mask 140 is selected to reduce the accumulation of process residue on the underlying transparent plate 135 of the window 130 by a different mechanism. In this version, the aspect ratio of the aperture 145 is sufficiently small to allow ions of the energetic process gas to enter the aperture and etch away the process residues formed on a sidewali of the aperture 145 and/or on the surface of the transparent plate 135. The aperture 145 in this embodiment generally has a larger sized diameter or width relative to its height to provide a relatively low aspect ratio. The low aspect ratio preferentially filters out energetic plasma species to allow a higher percentage of highly directional and energetic plasma species to enter into the aperture 145 and sputter-etch away the process residue deposited on the sidewalls of the aperture 145 and on the surface of the transparent plate 135. A suitable aspect ratio is from about 0.25: 1 to about 3.1 , and more preferably from about 0.5 - 1 to about 2.1
The mask 140 can also comprise a plurality of apertures 145, and more preferably, an array of apertures as already described Preferably, the total area of the aperture 145 is sufficiently large to transmit both an incident light beam 148a and a reflected light beam 148b or the desired level or intensity of plasma spectra flux for plasma emission analysis. Preferably, for process monitoring systems 25 comprising interferometry or eiiipsometry systems, the total area of the transparent plate 135 exposed by the aperture 145 is sized to enable the incident light beams 148a to be moved or scanned across the surface of the substrate 30 to find a particular feature, such as a via or a deep narrow trench, or a suitably flat and/or transparent point at which to make a process endpoint measurement For example, in a process chamber 35 used for processing 300 mm substrates, the area of the aperture 145 should be preferably from about 200 to about 2000 mm2 (0.3 to about 3 in2) and more preferably from about 400 about 600 mm2 (0.6 to about 0.9 in2)
In another embodiment, shown in Figures 4a and 4b, the mask 140 can also comprise a plurality of apertures 145 that are spaced apart from one another. For example, the mask can comprise an array of apertures 145 sized and arranged to have a total opening area that provides a sufficient intensity of light to pass through to operate the process monitoring system 25. The actual size, number or arrangement of apertures 145 depends upon the particular process chamber 35, the substrate diameter, the process, and the type of process monitoring system 25. In one embodiment which is particularly useful for interferometπc optical systems, the mask 140 comprises apertures 145 having an opening dimension, such as a diameter or a width of about 0.1 to about 50 mm, and a height of about 0.5 to about 500 nm. The array preferably consists of from about 3 to about 800 apertures 145, and more preferably from about 7 to about 200 apertures 145, as shown in Figure 4b. The apertures 145 are spaced apart from one another by a distance of about 0.25 to about 1 5 mm. Also, as shown in Figure 3b, the array can comprise different sized apertures 145, for example, first apertures 145a in the central portion that have an average diameter of about 3.5 to 5 mm, and second apertures 145b at its circumferential edge having a diameter of 2 to 3 mm.
It has been discovered that the mask 140 overlying the window 130 substantially reduces deposition of process residue on the transparent plate 135 of the window 130. For example, the overlying mask 140 and window 130 has been found to reduce deposition of etchant residue in polysilicon etching processes down to about 3 to about 1 0 A/hr, which is about 1 00 times lower than that occurring on conventional unprotected windows. In addition, the mask 140 protects the window 130 from erosion by highly chemically reactive process gases, and has been found to extend the lifetime of the window 130. Also, the "footprint" (occupied area of clean room) of a process chamber 35 comprising a window 130 having a mask 140 according to the present invention is much smaller than that of other process chambers 35 having conventional clean-window systems.
The process chamber 35 and window 130 of the present invention allows use of process monitoring methods such as interferometry, eiiipsometry, or plasma emission analysis. The reduced residue deposition on the process monitoring window 130 increases the signal to noise ratio of the process monitoring systems 25 to levels that provide accurate and reliable readings even after processing a large number of substrates 30. The accuracy of these measurement techniques provides the necessary process control for the deposition and etching of thinner films on the substrate 30, to provide faster and higher operating frequency integrated circuits. In addition, because the process chamber 35 does not have to be frequently opened to clean the surface of the window 130, the process chamber efficiency and the substrate throughput is also enhanced. Operation of a process chamber and process monitoring system 25 using a window 130 according to the present invention will now be described. As described above, the process monitoring system 25 can be an interferometry or eiiipsometry system that compares a property of the reflected light beam 148b, such as its intensity and/or phase angle, to known or stored characteristic values to calculate the endpoint of the etching process. Preferably, the process monitoring system 25 comprises a computer controller 155 that adjusts the process conditions in the process chamber 35. Upon detection of the process endpoint, the computer controller 155 changes the first process conditions to second process conditions to change the rate of etching of the layer on the substrate 30 before the entire layer is etched through or to stop the etching process. For example, the etch rate can be reduced by changing the composition of the process gas to remove aggressive etchant gases, the RF power coupled to the process gas can be lowered, or the substrate temperature can be lowered.
A suitable computer controller 1 55 comprises a computer program code product that operates the process chamber 35, and comprises one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, California. The CPUs of the computer controller 155 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the process chamber 35. The interface between an operator and the computer controller 155 can comprise a CRT monitor and a light pen (not shown) , or other devices, such as a keyboard, mouse or pointing communication device.
The light source 150 comprises a monochromatic or polychromatic light source 150 that generates an incident light beam 148a having an intensity sufficiently high to provide a reflected light beam 148b that is reflected from a layer on the substrate 30, when the layer has a suitable thickness, with a measurable intensity. In one version, the light source 150 provides polychromatic light, such as a Hg-Cd lamp, which generates an emission spectrum of light in wavelengths from about 200 to about 600 nanometers. The polychromatic light source 150 can be filtered to provide an incident light beam having selected frequencies, or particular emission spectra wavelengths can be used, or color filters (not show) can be placed in front of a light detector 160 for detecting the reflected light beam 148b to filter out all undesirable wavelengths except the desired wavelength of light, prior to measuring the intensity of the reflected light beam 148b entering the light detector 160. The light source 150 can also comprise a monochromatic light source 150 that provides a selected wavelength of light, for example, a He-Ne or ND-YAG laser.
One or more convex focusing lenses 165 are used to focus the incident light beam 148a from the light source 1 50 as a beam spot or collimated beam on the substrate surface and to focus the reflected light beam 148a back on an active surface of the light detector 160. The size or area of the beam spot should be sufficiently large to compensate for variations in surface topography of the substrate 30 to enable etching of high aspect ratio features having small openings, such as vias or deep narrow trenches. The area of the reflected light beam 148a should be sufficiently large to activate a large portion of the active light detecting surface of the light detector 160.
Optionally, a light beam positioner 170 is used to move the incident light beam 148a across the substrate surface to locate a suitable portion of the layer being etched on which to " park" the beam spot to monitor etching process. The light beam positioner 170 comprises one or more primary mirrors 175 that rotate at small angles to deflect the incident light beam 148a from the light source 1 50 onto different positions of the substrate surface, and to intercept the reflected light beam 148b and focus it on the light detector 160. In another embodiment, the light beam positioner 170 is used to scan the light beam in a raster pattern across the substrate surface during processing. In this version, the light beam positioner 170 comprises a scanning assembly consisting of a movable stage (not shown) upon which the light source 150, focusing assembly, collecting lens, and detector are mounted. The movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor, move the beam spot across the substrate surface.
The light detector 160 comprises an electronic component having a light sensitive surface, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to the intensity of the reflected light beam 148b that is incident on the light sensitive surface. The signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. Preferably, the reflected light beam 148b undergoes constructive and/or destructive interference which increases or decreases the intensity of the reflected light beam 148b as the thickness of the film or trench on the substrate 30 increases or decreases, and the light detector 160 provides an electrical output signal in relation to the measured intensity of the reflected light beam 148b. The computer system receives the signal from the light detector 160, compares the signal to a stored value or waveform, and changes process conditions in the process chamber 35 according to programmed guidelines in relation to the signal.
An example of a substrate processing method according to the present invention will now be described, with reference to an exemplary etching process, in which a polysilicon overlayer on a gate oxide (silicon dioxide) underlayer, is etched without etching or damaging the underlayer. Initially, a reflectance thickness measuring machine is used to accurately determine the initial thickness of the layer to be etched on the substrate 30, such as a model UV1050 available from KLA-TENCOR, Santa Clara, California. The actual layer thickness is useful to estimate the overall operation time of the etching process and/or to calculate the thickness of the layer that should be etched to provide a predetermined thickness of the layer that remains on the substrate 30 after the etching process.
The substrate 30 is transferred by a robot arm (not shown) from a load- lock transfer chamber 180 through a slit valve and into the process zone 40 of the process chamber 35. The substrate 30 is placed on the support 45 where it is held by the electrostatic chuck 50. Optionally, a heat transfer gas is supplied through holes 185 in the surface of the electrostatic chuck 50 to control the temperature of the substrate 30. Thereafter, the process conditions in the process chamber 35 are set to process the particular layer on the substrate 30 and to form process gas byproducts, the process conditions comprising one or more of process gas composition and flow rates, power levels of gas energizers 60, gas pressure, and substrate temperature. The process can also be performed in multiple stages, for example, each stage having different process conditions. For example, in an etching process, one or more compositions of an energized process gas comprising etchant gas for etching the substrate 30 are provided in the process chamber 35. Suitable etchant gases for etching layers on the substrate 30, include for example, HCI, BCI3, HBr, Br2, Cl2, CCl4, SιCI4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2CI2, CFCI3, 02, N2, He, and mixtures thereof The process chamber 35 is typically maintained at a pressure ranging from about 0.1 to about 400 mTorr The etchant gas composition is selected to provide high etch rates and/or high etching selectivity ratios for etching the overlayer relative to the underlayer When multiple layers are being sequential etched, first, second, third, etchant gas compositions can be sequentially introduced into the process chamber 35 to etch each particular layer
The process gas is energized and maintained at first process conditions suitable for etching the substrate 30 Referring to Figure 1 , an energized process gas is provided in the process zone 40 by inductively and/or capacitively coupling energy into the process zone 40 using the gas energizer 60, or by applying microwaves to an etchant gas in the remote zone 1 10 of the remote chamber 105, as shown in Figure 2 By energized process gas, it is meant that the process gas is activated or energized so that one or more of dissociated species, non-dissociated species, ionic species, and/or neutral species are excited to higher energy states in which they are more chemically reactive Preferably, the process gas is energized by applying an RF source current to the inductor antenna 100 encircling the process chamber 35 or by applying an RF bias voltage to the process electrodes The etchant gas ionizes in the applied electric field to form ions and neutrals that etch the layer on the substrate 30 to form volatile gaseous species that are exhausted from the process chamber 35.
The process monitoring system 25 is used to precisely change process conditions, after a given thickness of the layer on the substrate 30 is processed, in etching processes, the process monitoring system 25 can be used to change the process gas composition to provide particular etching rates or etching selectivity ratios For example, the process monitoring system 25 can be used to stop the etching process after a first highly aggressive etching step, which provides high etch rates due to the presence of the fiuoπnated gas in the etchant gas, to determine the starting point for a second and less reactive etching step, which uses a process gas that is absent the fluoπnated gas to etch the remaining dielectric layer at a slower etch rate to obtain more controlled etching. The process monitoring system 25 is used to detect the time at which almost ail of the silicon layer is etched so that the first process conditions can be changed to less aggressive or second process conditions, or vice versa, to obtain the desired change in etching rate, etching selectivity ratio, or a change in any other property of the etching process, for example, higher/lower etching rates, or etching of underlying layers having a different composition.
Generally, in the method of the present invention, the incident light beam 148a is transmitted through the energized process gas in the process zone 40 of the process chamber 35, to be incident on the layers covering the substrate 30 while the layers are being etched. These experiments were conducted using a light source 150 consisting of a Hg-Cd lamp. A light beam from this light source 1 50 is directed through the window 130 to be incident on the substrate 30 at a near vertical angle, to provide a beam spot having a size sufficiently large to cover one or more of the features being etched on the substrate 30. It is preferred for the incident light beam 148a to consist of substantially only non-polarized light, because polarized light is preferentially absorbed by deposition of a thin residue on the process window 130.
When the thickness of the layer is sufficiently low (after etching for a period of time) a property of the reflected light beam 148b that reflects off both the top and bottom surfaces of the layer on the substrate 30 is measured. Changes in the measured property, such as the intensity or phase of the reflected light beam, is recorded over time to form a measured waveform pattern. The measured waveform pattern is compared to a stored waveform pattern, and when the two signals are substantially the same, the endpoint of the etching process is reached. At that time, the first process conditions are changed to second process conditions in relation to the measurement of the property of the reflected light beam. For example, first process conditions are changed to second process conditions to stop the etching process, to change the rate of etching of the layer on the substrate 30, or to change its etching selectivity ratio relative to the underlayer, before the entire layer is etched through. The measured intensity of the reflected light beam 148b can also be plotted over time to obtain a measured waveform pattern, and the measured waveform pattern is compared to a predetermined characteristic waveform pattern to determine an endpoint of the etching process that occurs when the two waveforms are the same or substantially identical to one another, as described in commonly assigned U.S. Patent Application No. 09/062,520, by Gπmbergen et al, filed on April 17, 1 998, which is incorporated herein by reference. In this method, a computer controller 155 plots the electrical output signal of the intensity of the reflected light beam 148b over time to provide a waveform spectra having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 148b. The computer controller 1 55 calculates a real-time waveform spectra of light reflected from a thickness of a layer being processed on the substrate 30 and compares the waveform of the measured intensity to a stored characteristic waveform pattern and adjusts process conditions in the process chamber 35 when the two waveforms have substantially the same shape and form. The computer program determines the completion of a stage of processing of the layer when the measured waveform pattern comprises a repeatable waveform oscillation that occurs immediately before a terminal peak or dip in a reflected waveform pattern, the terminal peak or dip corresponding to completion of processing of the layer The computer program can also include program code to calculate in real time, the thickness of the layer being etched that remains on the substrate 30 and accordingly adjust the process conditions in the process chamber 35 The computer program can also count the number of maxima and minima peaks in the intensity of the reflected light beam and, after a predetermined number of peaks are reached, alter process conditions in the process chamber 35, according to programmed guidelines
In another aspect of the present invention, an in-situ or dry cleaning process can be performed in conjunction with the process monitoring method of the present invention to enhance the operation of the process chamber 35. In this method, a first layer on a substrate 30 is etched in a first stage of the etching process by a process gas comprising a composition of etchant gas that provides a high etching rate and a process chamber cleaning gas that removes the residue deposits and process gas byproducts as they are formed on the walls of the process chamber 35. Because the cleaning gas is an extremely aggressive fluorine containing gas which will quickly etch through a thin underlying gate oxide layer, the process monitoring system 25 is used to detect the process endpoint and change the composition of the process gas to remove the cleaning gas immediately prior to etching through the first layer. The cleaning gas in the first stage of the process cleans the process chamber 35 without requiring stopping etching in between processing of batches of substrates 30 to perform wet cleaning processes. In a preferred embodiment, the etchant gas comprises one or more of Cl2, N2, 02, HBr, or He-02; and the cleaning gas comprises inorganic non-hydrocarbon containing fluoπnated gas such as one or more of NF3, CF4, or SF6. Preferably, the volumetric flow ratio of cleaning gas to etchant gas is selected to remove substantially all residue deposits and process gas byproducts from process chamber surfaces upon completion of the first stage. More preferably, the volumetric flow ratio of cleaning gas to etchant gas is selected to remove substantially all residue deposits and process gas byproducts formed during processing of at least 2000 substrates 30 in the process chamber 35, without performing a separate cleaning step for cleaning the process chamber 35. A suitable volumetric flow ratio of cleaning gas to etchant gas is from about 1 :20 to about 1 : 1 , and more preferably from about 1 : 1 0 to about 2:3, and most preferably about 2:3. It has been discovered that at these volumetric flow ratios substantially all the etchant residue on the process chamber surfaces is removed without eroding the process chamber surfaces. In addition, it has been unexpectedly discovered that the process chamber surfaces are cleaned and conditioned by the etchant and cleaning gas comoination step, without requiring a separate process chamDer conditioning or seasoning step. Suitable cleaning gas compositions are provided in aforementioned U.S. Patent Application No. 09/062,520.
EXAMPLES
The following examples illustrate use of a process chamber 35 having a window 130 and mask 140 according to the present invention. In these examples, a series of 200 mm silicon substrates 30 having a 2500 A polysilicon layer, a 45 A silicon dioxide layer, and a 2000 A patterned resist layer, were etched. A multi-stage process was used for etching the polysilicon layer on a substrate 30. In a first or main etching stage, an energized process gas comprising 50 seem CF4 and 40 seem SFe is provided in the process chamber 35 to etch through most of the thickness of the polysilicon layer exposed through openings in the resist layer. The process gas is energized by applying a source power of 750 watts to the inductor antenna 100, and a bias power of 90 watts to the process electrodes 45, 55. The process chamber pressure is maintained from about 2 to about 3 mTorr After the process endpoint is detected using a process monitoring system 25, the main etch stage was stopped and remaining polysilicon removed in a second or over-etch stage substantially without damaging the underlying silicon dioxide layer In the overetch stage, a second energized process gas comprising 60 seem of SF6 is introduced in the process chamber 35, and energized by a source power of 600 watts and a bias power of 1 watt. The process chamber 35 is maintained at a pressure of about 1 0 mTorr
The process was performed in a process cnamber 35 having a window 130 comprising masks 140 of varied thicknesses and having apertures 145 of varying number, diameter, and aspect ratios to determine their effectiveness in reducing accumulation of process residue on the transparent plate 135. In general, the mask 140 comprised an aluminum oxide disc having a raised central portion 153 surrounded by a thin annular lip 1 54 as shown in Figure 4b The mask 140 was positioned about 0.038" from the transparent plate 135, and small slides (not shown) of an etch resistant material such as sapphire or Kapton® were positioned between the mask 140 and transparent plate 135 to shield a portion of the transparent plate 135 exposed through each aperture 145 The total time the process chamber 35 was in operation was recorded and after a specified times the window 130 was removed and the thickness of process residue deposited on and/or the amount of material removed from the transparent plate 135 was measured using a stylus step height measuring device such as a DekTak, or an Alpha-step. The transmission of light through the transparent piate 135 was also measured using a light source of known intensity and a light detector capable of accurately measuring the intensity of the transmitted light
Example 1
In a first example, the mask 140 had a raised central portion 1 53 1 9 mm (0.75") thick, and comprised a hexagonal pattern of 1 9 apertures, each 3.8 mm (0.1 5") wide and each having an aspect ratio of 5: 1 This example demonstrates that an array of apertures 145 having a small diameter and a large aspect ratio reduce deposition of process residue on the transparent plate 135 of the window 130. After etching in the chamber for 80 minutes, the window 130 was disassembled, the sapphire slide removed, and the transparent plate 135 scanned using a stylus step height measurement instrument to determine the accumulation of process residue and the etching of the transparent plate 135. Because the high aspect ratio of the small apertures 145 excluded all the residue forming plasma species from reaching the transparent plate 135, there was no discernable etching of the transparent plate 135. Moreover, the thickness of process residue on the transparent plate 135 was below the limit that could be measured, i.e. , less than 600 A. The change in transmission of light through the transparent plate 135 was also found to be below detectable limits,
Example 2:
In this example, the mask 140 comprised a raised central portion 153 0.75 " thick and circular apertures 145 having varying diameters from 0.1 " to 1 " . After the process chamber 35 was operated for 80 minutes, the mask 140 was found to significantly reduce deposition on the transparent plate 135 as compared to conventional windows. The window 130 was replaced, uncleaned, and examined again after an additional 1 8 and 25 hours of operation. The accumulation of residue deposits, and etching of the transparent plate 135 for the various sized apertures 145 after 25 hours of operation is summarized in Table I.
TABLE
Referring to the graph of Figure 5 it is seen that as the diameter of the aperture 145 becomes smaller and the aspect ratio increases, the flow of neutral plasma species flux that contribute to deposition of the process residue deposits into the aperture 145 is gradually reduced and can be entirely excluded from reaching the transparent plate 135. The net deposition of process residue on the transparent plate 135 initially increases as the diameter of the apertures 145 are reduced from 0.5" to 0.25" , and thereafter decreases as the aperture 145 continues to become smaller. In contrast, as aperture size increases above 0.5" and the aspect ratio is reduced from 2: 1 to 0.75: 1 , deposition controls at the center of the aperture 145, while etching dominates near the sidewall or edge of the aperture 145. In contrast, for apertures 145 having aspect ratios of from about 1 to about 2, there is negative net deposition rate across substantially the entire width of the aperture, arising from allowing entry of substantially only energetic plasma species into the aperture 145.
Magnetic Field Confinement
In another embodiment of the present invention, as illustrate is Figure 6a, the process chamber 35 comprises a magnetic field source 195 that is adapted to provide or serve as means for maintaining a magnetic flux near or across the window 130. When a substrate 30 held on the support 45 is processed by the energized process gas, the magnetic flux extending across a portion of the window 130 reduces the deposition of the process residues on the transparent plate 135 of the window. The magnetic field source 195 comprises at least one permanent magnet 200 or electromagnet (not shown) that is positioned adjacent to the window 130 to couple magnetic energy or flux across at least a portion of the surface of the window 130. Preferably, the magnetic field lines are generally confined to the space around the window 130, and penetrate only a shallow depth or not at all into the process chamber 35
A preferred magnetic field source 195 comprises a permanent magnet 200 arranged about the window to provide a magnetic field component that extends across a portion of the transparent plate 135 and in the plane of the window 130. Preferably, the magnetic field source 195 provides a localized magnetic flux across the window 130 that has a higher density across the window than their density at other portions of the process chamber 35, and that terminates at the edges of the window 130
The magnetic flux across the window 130 comprises magnetic field components that prevent charged process gas species from reaching the transparent plate 135 For example, when the magnetic field lines or magnetic flux has a directional vector that is parallel to the plane of the window 130, the field lines serve to confine charged plasma ions and electrons of the energized process gas to a circular path that is at a some fixed average distance away from the transparent plate 135 and thereby prevents deposition of process residues on the plate 135. For example, a magnetic flux that extends across a portion of the window 130 and along a plane parallel to the window causes charged ions and electrons entering the region of the magnetic flux to rotate in a circular motion in this region. The magnetic field strength should be sufficiently high to confine the charged ions and electrons to the region of the magnetic field substantially without allowing the charged species to exit from this region. Generally, a suitable magnetic field strength is from about 10 to about 10,000 Gauss, and more preferably from about 50 to about 2000 Gauss. In one embodiment, shown in Figures 6b through 6d, the magnetic field source 195 comprises a plurality of magnetic poles 205 disposed about a perimeter of the window 130. The magnetic poles 205 around the perimeter of the window 130 comprise opposing magnetic polarities that are in facing relationship to one another. For example, as shown in Figure 6b, the magnetic field source 195 can comprise at least a pair of north and south poles 205a, b that face one another Preferably, the magnetic field source 195 comprises a magnetic yoke 210 (by which it is meant a ferromagnetic yoke of a permanent magnet or an electromagnet) having an aperture 215 therein The magnetic yoke 210 provides a symmetrical magnetic field across the aperture 215. Figure 6b shows an exemplary magnetic yoke 210 comprising at least a pair of radially extending poles 205a, b that face one another and have opposing magnetic polarity Alternatively, as shown in Figure 6d the magnetic yoke 210 can comprise a plurality of yokes of magnetic material that are arranged to provide a plurality of radially opposing magnetic poles 205 facing one another across the aperture 215 to provide a magnetic flux across the surface of the window 130.
The aperture 215 in the annular shaped or circumferentiaily disposed magnetic yoke 210 is sized to allow light to pass through the window 130 The facing magnetic poles 205a, b apply a magnetic field generally straight across the aperture 215 in the magnetic yoke 210 The aperture 215 is sized sufficiently large to allow a sufficient intensity of light to pass through to operate the process monitoring system 25. The total cross-sectional area of the aperture 215 is sufficiently large to allow a sufficiently large amount of light to ingress and egress from the process chamber 35 through the aperture 215. The aperture can be cylindrical, triangulated, or rectangular in shape, of which a cylinder provides good axial symmetry for the magnetic field source and smooth internal surfaces.
Electrical Field Energizing
in another version, the process chamber 35 comprises a window 130 in a wail or the ceiling 55 of the process chamber 35 and an electrical field source 220 that couples electrical energy to the window 130. The electrical energy coupled to the window 130 reduces the accumulation of the process residues on the window 130 by causing energized process gas ions to energetically bombard the window 130 and remove process residues deposited on the window. The electric field source 220 comprises an electrode 225 adjacent to the window. For example, as shown in Figure 7, the electrical field source 220 comprises an electrode 225 disposed adjacent to and behind the window 130 to induce a charge in the window and to generate an electric field perpendicular to the plane of the window 130 which causes energetic plasma ions and species in the process chamber 35 accelerate toward and impinge upon on the window 130 to sputter-etch and remove the process residue deposits formed on the window.
In another version, shown in Figure 8a, the electric field source 220 comprises an electrode 225 having one or more apertures 230 therein, disposed between the transparent plate 135 and the light source 150 to provide an electric field that is perpendicular to the plane of the window 130. The electrical field causes energetic plasma ions and species in the process chamber 35 accelerate toward the window 130, pass through the aperture 230, and impinge upon on the transparent plate 135 to sputter-etch and remove the process residue deposits. Preferably, the total cross-sectional area of the apertures 230 is sufficiently large to allow a sufficiently large amount of light flux to ingress and egress from the process chamber 35 through the aperture to operate the process monitoring system.
Additionally, eddy current reducing slots 232 are also sized, shaped, and disposed to reduce eddy currents induced in the electrode 225 by preventing a continuous pathway of current from being forming in the electrode Eddy currents occur due to the electrical energy coupled from other process components, such as the inductor antenna 100. The slots 232 reduce or eliminate the eddy currents by breaking up the circular pathway of the current in the electrode 225. For example, as shown in Figure 8b, the electrode 225 can comprise a disc 235 having at least one radially extending cutout 240 that is in the pathway of the eddy current induced in the electrode 225. Alternatively, as shown in Figures 8c and 8d, the electrode 225 comprises a series of radial wedge-shaped cuts 242 or an array of circular holes 243 spaced apart from one another.
As with the magnetic field source 195, the electrical field source 220 is adapted to provide an electrical field or flux that extends across a portion of or substantially the entire surface of the window 130, and that terminates at or near the edges of the window 130. More preferably, the electrode 225 is sized sufficiently large to provide an electric field that covers the entire area of the transparent plate 135 of the window 130 and is shaped and sized similar to the shape of the window 130. A voltage source 245 electrically biases the electrode 225 with one of a D.C. voltage, an A.C. voltage, or an RF voltage. Alternatively, as shown in Figure 8a, the electrode 225 can be electrically biased by a tap 250 connecting a selected coil of the inductor antenna 100 to the electrode 225. Thus the coil power supply 104 provides power to both the window electrode 225 and the inductor antenna 100. Preferably, coil power supply 104 biases the electrode 225 with a voltage of from about 1 0 to about 1 0,000 volts, and more preferably from about 20 to about 4000 volts.
The mask 140 can also be used in combination with the magnetic and electrical field confinement methods. In this method, the mask 140 having the aperture 145 is aligned over the aperture 215 in the magnetic yoke 210 or over the aperture 230 in the electrode 225, to align the aperture 145 to the apertures 210 or 230. The aperture 145 of the mask 140 is shaped and sized to limit or reduce access of the energized process gas into the aperture 215 of the magnetic yoke 210 to prevent deposition of process gas byproducts and other deposits on the underlying transparent plate 135. Alternatively, the aperture 145 is sized and shaped to screen out low energy plasma species and only allow highly energetic and directional plasma species into the aperture 145. The highly energetic and directional species impinge upon sidewalls of the aperture 145 and upon the surface of the transparent plate 135 to sputter-etch and remove process gas deposits that are formed thereon
The substrate 20 and process of the present invention allows accurate and reliable monitoring of the process being conducted in the process chamber 35 without excessive deposition of residues and deposits on the window 130 for the process monitoring system 25. The improved window 130 structure further reduces flaking of deposits from window components and thereby increases substrate yields. The window 130 is also much less susceptible to erosive damage from the plasma in the process chamber 35 than conventional windows 130. By reducing the need to often replace the window 130, the cost of operating the process chamber 35 and the cost per substrate 30 are also significantly reduced. Furthermore, the masked window 130 configuration allows use of the process chamber 35 over an extended period of time without stopping processing to wet clean the process chamber walls and components including the window 130, thereby increasing etching throughput and further reducing costs per substrate 30. The magnetic and electrical field confinement methods, can operate separately or in combination with the masking method, to reduce to entirely eliminate process residue deposition on the window.
The etching and endpoint detection method of the present invention significantly improve substrate yields by reducing etching or other damage of the thin gate oxide underlayer, during etching of an overlying polysilicon layer. In particular, the polysilicon etching process is stopped without etching through an ultra-thin gate oxide layer having a thιc ness of 25 to 65 angstroms, which is only a few layers of atoms of silicon dioxide, and which is 4 to 5 times thinner than prior art gate oxide layers. The etching method also minimizes the damage that a high density RF bias plasma can cause by the formation of damaging electrical currents that are coupled through the thin gate oxide layer into the silicon wafer. Also, by stopping the etching process before the thin gate oxide layer is damaged by the aggressive etching process step, the present process provides higher yields and better quality of integrated circuits.
Furthermore, the combination etching/cleaning process of the present invention has been found to uniformly etch substrates 30 while simultaneously removing etchant residues deposited on the process chamber 35 during the etching process, irrespective of the thickness or chemical stoichiometry of the etchant residue layers. Prior art etching processes required cleaning and conditioning of the process chamber 35 after processing of only 200 to 300 wafers, because of the variation in etching rates and etching selectivity ratio and the higher particle contamination levels that resulted from etchant residue deposits on the process chamber surfaces, after processing this number of wafers. Also, prior art cleaning processes, particularly those performed by an operator, often fail to uniformly clean and remove the etchant residue deposits formed on process chamber surfaces, and such build-up of etchant deposits resulted in flaking off and contamination of the substrate 30. The present invention is described with reference to certain preferred versions thereof; however, other versions are possible. For example, the treatment and cleaning process of the present invention can be used for treating process chambers 35 for other applications, as would be apparent to one of ordinary skill. For example, the process can be applied, as would be apparent to one of ordinary skill in the art, to treat sputtering chambers, ion implantation chambers, or deposition chambers, or in combination with other cleaning processes. Therefore, the spirit and scope of the appended ciaims should not be limited to the description of the preferred versions contained herein.

Claims

What is claimed is:
1 . A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor;
(c) a gas energizer;
(d) a window comprising a transparent plate and an overlying mask with an aperture; and
(e) an exhaust, whereby a substrate held on the support is processed by process gas distributed by the gas distributor, the process gas being energized by the gas energizer and exhausted by the exhaust, and whereby the mask on the window reduces deposition of process residue on the window and light is transmitted through the aperture of the mask and the transparent plate.
2. The process chamber of claim 1 wherein the mask comprises an aperture having an aspect ratio that is sufficiently large to reduce access of process gas to the transparent plate
3. The process chamber of claim 1 wherein the mask comprises an aperture having an aspect ratio of from about 1 1 to about 1 2.1
4. The process chamber of claim 1 wherein the mask comprises an aperture having an aspect ratio that is sufficiently small to allow ions of the energetic process gas to enter the aperture and etch away the process residues formed on a sidewall of the aperture and on the transparent plate.
5. The process chamber of claim 1 wherein the mask comprises an aperture having an aspect ratio of from about 0.25: 1 to about 3: 1
6. The process chamber of claim 1 wherein the mask comprises an aperture having a diameter or width of from about 0.1 to about 50 mm, and a height of about 0.5 to about 500 mm.
7. The process chamber of claim 1 wherein the mask comprises an array of hexagonal apertures.
8. The process chamber of claim 1 wherein the mask comprises a material that is resistant to erosion by the process gas.
9. The process chamber of claim 8 wherein the mask comprises one or more of Al203, Si02, AIN, BN, Si, SiC, Si3N4, Ti02, or Zr02.
10. The process chamber of claim 1 further comprising an electrical field source that couples electrical energy to the window to reduce deposition of the process residues on the window.
1 1 . The process chamber of claim 1 further comprising a magnetic field source adapted to provide a magnetic flux across the window to reduce the deposition of process residues on the window.
1 2. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support having a receiving surface for supporting a substrate;
(b) a gas distributor having gas inlet holes for providing process gas to the process chamber and a gas energizer that is capable of coupling energy to the process gas;
(c) a window that allows light to be transmitted therethrough to monitor processing of the substrate;
(d) means for reducing deposition of process residue from process gas on the window; and
(e) an exhaust that exhausts process gas from the process chamber.
1 3. The process chamber of claim 1 2 wherein the means for reducing deposition of process residue on the window comprises means for controlling the access of energized process gas species to the window.
14. The process chamber of claim 1 2 wherein the means for reducing deposition of process residue on the window comprises masking means that masks the window from the energized process gas.
1 5. The process chamber of claim 1 2 wherein the means for reducing deposition of process residue on the window comprises an overlying mask having apertures with an aspect ratio of from about 1 ' 1 to about 1 2: 1
1 6. The process chamber of claim 1 5 wherein the mask comprises an aperture having an aspect ratio of from about 0.25 - 1 to about 3: 1
1 7 The process chamber of claim 1 2 further comprising an electrical field source that couples electrical energy to the window to further reduce deposition of process residues on the window
18. The process chamber of ciaim 12 further comprising a magnetic field source adapted to provide a magnetic flux across the window to further reduce the deposition of process residues on the window
1 9. A process chamber for processing a semiconductor substrate, the process chamber comprising
(a) a support;
(b) a gas distributor;
(c) a gas energizer;
(d) light transmitting means for transmitting light to and from the process chamber during processing of a substrate;
(e) means for reducing deposition of process residue on the light transmitting means; and
(f) an exhaust, whereby a substrate held on the support is processed by process gas distributed by the gas distributor, energized by the gas energizer, and exhausted by the exhaust, and the means for reducing deposition of process residue on the light transmitting means allows light to be transmitted through the light transmitting means to monitor processing of the substrate.
20. The process chamber of claim 1 9 wherein the means for reducing deposition of process residue comprises means for limiting access of energized process gas to the light transmitting means.
21 . The process chamber of claim 1 9 wherein the means for reducing deposition of process residue comprises masking means that masks the energized process gas from the light transmitting means.
22. The process chamber of claim 1 9 wherein the means for reducing deposition of process residue comprises a mask covering the light transmitting means, the mask having apertures with an aspect ratio of from about 0.25 : 1 to 1 2: 1
23. The process chamber of claim 1 9 further comprising a process monitoring system that monitors light transmissions passing through the aperture in the mask overlying the window
24. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing the substrate in the process chamber;
(b) maintaining first process conditions in the process chamber to process the substrate, the first process conditions including providing an energized process gas in the process chamber,
(c) masking a window in a wall of the process chamber and measuring a property of light transmitted through the window; and
(d) changing the first process conditions to second process conditions in relation to the measured property of the transmitted light.
25. A method according to claim 24 further comprising the step of directing an incident light beam through the window to be incident on the substrate and measuring a property of a reflected light beam that is reflected from the substrate and transmitted through the window.
26. A method according to claim 24 wherein the first process conditions comprise process conditions suitable for etching the substrate, and the second process conditions comprises process conditions suitable for stopping the etching process or changing a rate of etching of the substrate.
27. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor;
(c) a gas energizer;
(d) a window in a wall of the process chamber;
(e) a magnetic field source adapted to provide a magnetic flux across the window; and
(f) an exhaust; whereby a substrate held on the support is processed by the energized process gas thereby forming process residues in the process chamber, and whereby the magnetic flux across the window reduces the deposition of the process residues on the window.
28. The process chamber of claim 27 wherein the magnetic field source provides a magnetic flux having higher density across the window than across other portions of the chamber.
29. The process chamber of claim 27 wherein the magnetic field source comprises one or more magnetic poles disposed about a perimeter of the window.
30. The process chamber of claim 27 wherein the magnetic poles that face one another around the perimeter of the window comprise opposing magnetic polarities.
31 . The process chamber of claim 27 wherein magnetic field source comprises an aperture and provides a magnetic field across the aperture.
32. The process chamber of claim 27 wherein the magnetic field source comprises at least one permanent magnet or electromagnet adjacent to the window.
33. The process chamber of claim 27 wherein the magnetic field source comprises an annular yoke having a hole sized to allow light to pass through the window.
34. The process chamber of claim 27 wherein the magnetic field source comprises a magnet or electromagnet arranged to provide a magnetic field component that is parallel to the plane of the window.
35. The process chamber of claim 27 wherein the magnetic field source is adapted to provide a magnetic field that extends across substantially an entire surface of the window.
36. The process chamber of claim 27 wherein the magnetic field source is adapted to provide a magnetic field that terminates at about the edges of the window.
37. The process chamber of claim 27 wherein the magnetic field source provides a magnetic field of from about 10 to about 10,000 Gauss.
38. The process chamber of claim 27 further comprising a mask covering the window, the mask comprising an aperture that allows light to pass through.
39. The process chamber of claim 27 wherein the mask comprises an aperture having an aspect ratio of from about 0.25: 1 to about 1 2: 1
40. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor;
(c) a gas energizer;
(d) a window in a wall of the process chamber, the window being transparent to particular wavelengths of light;
(e) means for maintaining a magnetic flux across the window; and
(f) an exhaust that exhausts the process gas from the chamber; whereby a substrate held on the support is processed by the energized process gas thereby forming process residues in the process chamber, and whereby the means for maintaining a magnetic flux across the window reduces deposition of process residues on the window
41 . The process chamber of claim 40 wherein the means for maintaining a magnetic flux across the window provides a magnetic flux having magnetic field components that substantially prevent charged process gas species from reaching the window
42. The process chamber of claim 40 wherein the means for maintaining a magnetic flux across the window provides a magnetic flux that extends across substantially an entire surface of the window.
43. The process chamber of claim 40 wherein the means for maintaining a magnetic flux across the window comprises a magnet or an electromagnet.
44. The process chamber of claim 40 wherein the means for maintaining a magnetic flux across the window further comprises means for allowing light to pass through the magnetic flux means.
45. The process chamber of claim 40 wherein the means for maintaining a magnetic flux across the window provides a magnetic field of from about 10 to about 10,000 Gauss.
46. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing the substrate in the process chamber;
(b) maintaining process conditions in the process chamber to process the substrate, the process conditions including providing an energized process gas in the process chamber, and
(c) maintaining a magnetic flux across a window in a wall of the process chamber.
47 A method according to claim 46 wherein step (c) comprises the step of maintaining a magnetic flux that is sufficiently high to reduce the deposition of process residue on the window.
48. A method according to claim 46 wherein step (c) comprises the step of maintaining a magnetic flux having a magnetic field component that is substantially parallel to the plane of the window
49. A method according to claim 46 wherein step (c) comprises the step of maintaining a magnetic flux that is localized across the window, and comprises a higher magnetic flux across the window than across other portions of the chamber.
50. A method according to claim 46 wherein step (c) comprises the step of maintaining a plurality of magnetic poles about a perimeter of the window.
51 . A method according to claim 46 wherein step (c) comprises the step of maintaining opposing magnetic poles that face one another around the perimeter of the window.
52. A method according to claim 46 wherein step (c) comprises the step of maintaining a magnetic flux having a magnetic field component that is substantially parallel to the plane of the window.
53. A method according to claim 46 wherein step (c) comprises the step of maintaining a magnetic flux that extends across substantially an entire surface of the window and terminates at about the edges of the window.
54. A method according to claim 46 further comprising the step of providing a mask covering the window, the mask comprising an aperture that allows light to pass through
55. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing the substrate in the process chamber;
(b) maintaining first process conditions in the process chamber to process the substrate, the first process conditions including providing an energized process gas in the process chamber;
(c) maintaining a magnetic flux across a window in a wall of the process chamber;
(d) measuring a property of light transmitted through the window; and
(e) changing the first process conditions to second process conditions in relation to the measured property of the transmitted light.
56. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor;
(c) a gas energizer;
(d) a window in a wall of the process chamber;
(e) an electrical field source that couples electrical energy to the window; and (f) an exhaust that exhausts the process gas from the chamber; whereby a substrate held on the support is processed by the energized process gas thereby forming process residues in the process chamber, and whereby the electrical energy coupled to the window reduces deposition of the process residues on the window.
57. The process chamber of claim 56 wherein the electrical field source electrically biases the window thereby causing energized process gas ions to energetically bombard the window and remove process residues deposited on the window.
58. The process chamber of ciaim 56 wherein the electrical field source is adapted to provide an electrical field that extends across a surface of the window and terminates before the edges of the window.
59. The process chamber of claim 56 wherein the electric field source comprises an electrode or coil adjacent to the window.
60. The process chamber of ciaim 59 wherein the electrode or inductor coil disposed provides an electrical flux having an electrical field component that is perpendicular to the plane of the window.
61 . The process chamber of claim 59 wherein the process chamber further comprises an inductor antenna and the electrode comprises apertures positioned to reduce eddy currents induced by electrical energy coupled from the inductor antenna.
62. The process chamber of claim 59 wherein the electrode comprises an aperture sized to allow light to pass therethrough.
63. The process chamber of claim 59 wherein the electrode comprises a disc having at least one radially extending slot.
64. The process chamber of claim 56 wherein the process chamber further comprises an electrical current source that electrically powers the electrical field source with one of a D.C, A.C, or RF current.
65. The process chamber of claim 56 wherein the electrical current source comprises an inductor coil and a tap connecting a selected winding of the inductor coil to the electrode.
66. The process chamber of claim 56 wherein the electrical current source biases the electrode with a voltage of from about 20 to about 4000 volts.
67. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a gas distributor;
(c) a gas energizer;
(d) a window in a wall of the process chamber, the window being transparent to particular wavelengths of light;
(e) means for electrically biasing the window;
(f) an exhaust that exhausts the process gas from the chamber; whereby a substrate held on the support is processed by the energized process gas thereby forming process residues in the process chamber, and whereby the means for electrically biasing the window reduces deposition of process residues on the window.
68. The process chamber of claim 67 wherein the means for electrically biasing the window causes energized process gas ions to energetically bombard the window and remove process residues formed on the window.
69. The process chamber of claim 67 wherein the means for electrically biasing the window provides an electrical field that extends across substantially an entire surface of the window.
70. The process chamber of claim 67 wherein the means for electrically biasing the window comprises an electrode or coil adjacent to the window.
71 The process chamber of claim 67 wherein the means for electrically biasing the window further comprises means for reducing eddy currents induced in the electrical biasing means.
72. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing the substrate in the process chamber;
(b) maintaining process conditions in the process chamber to process the substrate, the process conditions including providing an energized process gas in the process chamber; and
(c) electrically biasing a window in a wall of the process chamber.
73. A method according to claim 72 wherein step (c) comprises the step of electrically biasing the window by a voltage that is sufficiently high to reduce the deposition of process residue on the window
74 A method according to claim 72 wherein step (c) comprises the step of electrically biasing substantially a surface of the window
75. A method according to claim 72 wherein step (c) comprises the step of maintaining an electrode or coil adjacent to the window, the electrode or coil being sized to provide an electrical flux across the surface of the window.
76. A method according to claim 75 comprising the step of powering the electrode or coil with one of a D.C, A.C , or R.F current.
77. A method according to claim 72 further comprising the steps of measuring a property of light transmitted through the window, and changing the process conditions in relation to the measured property of the transmitted light.
78. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing the substrate in the process chamber;
(b) maintaining process conditions in the process chamber to process the substrate, the process conditions including providing an energized process gas in the process chamber; and
(c) providing a window in a wall of the process chamber; and
(d) maintaining an electrical flux across the surface of the window in the process chamber, the electrical flux having an electrical field component that is perpendicular to the plane of the window.
EP99924170A 1998-06-11 1999-05-10 Chamber having improved process monitoring window Withdrawn EP1086481A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/096,728 US6390019B1 (en) 1998-06-11 1998-06-11 Chamber having improved process monitoring window
US96728 1998-06-11
PCT/US1999/010258 WO1999065056A1 (en) 1998-06-11 1999-05-10 Chamber having improved process monitoring window

Publications (1)

Publication Number Publication Date
EP1086481A1 true EP1086481A1 (en) 2001-03-28

Family

ID=22258806

Family Applications (1)

Application Number Title Priority Date Filing Date
EP99924170A Withdrawn EP1086481A1 (en) 1998-06-11 1999-05-10 Chamber having improved process monitoring window

Country Status (6)

Country Link
US (3) US6390019B1 (en)
EP (1) EP1086481A1 (en)
JP (1) JP2002518823A (en)
KR (1) KR20010052752A (en)
TW (1) TW418423B (en)
WO (1) WO1999065056A1 (en)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6632321B2 (en) * 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
JP4055880B2 (en) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing monitoring window member, and electrode plate for plasma processing apparatus
KR100545034B1 (en) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and method for processing substrate
US6786935B1 (en) 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
KR100458779B1 (en) * 2000-03-27 2004-12-03 미츠비시 쥬고교 가부시키가이샤 Method for forming metallic film and apparatus for forming the same
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
EP1320867A2 (en) * 2000-09-21 2003-06-25 Applied Materials, Inc. Reducing deposition of process residues on a surface in a chamber
WO2002035586A2 (en) * 2000-10-23 2002-05-02 Applied Materials, Inc. Monitoring substrate processing using reflected radiation
DE10055033A1 (en) * 2000-11-07 2002-05-08 Aixtron Ag Device for depositing crystalline layers onto crystalline substrates has a space between a reactor housing wall and a graphite tube filled with a graphite foam notched collar
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
KR100595065B1 (en) * 2001-06-22 2006-06-30 동경 엘렉트론 주식회사 Dry-etching method
JP2003077782A (en) 2001-08-31 2003-03-14 Toshiba Corp Manufacturing method for semiconductor device
US6911090B2 (en) * 2001-10-12 2005-06-28 International Business Machines Corporation Real-time process control for optical component fabrication
US7214289B2 (en) * 2001-10-24 2007-05-08 Tokyo Electron Limited Method and apparatus for wall film monitoring
WO2003036224A1 (en) * 2001-10-24 2003-05-01 Tokyo Electron Limited Method and apparatus for wall film monitoring
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US20030180971A1 (en) * 2002-03-25 2003-09-25 Adaptive Plasma Technology Corporation Plasma etching method and apparatus for manufacturing a semiconductor device
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP2004055600A (en) * 2002-07-16 2004-02-19 Tokyo Electron Ltd Plasma processing apparatus
JP2004087738A (en) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Si etching method
JP2006501620A (en) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 Apparatus and method for using an optical system with a plasma processing system
TWI238680B (en) * 2002-09-30 2005-08-21 Tokyo Electron Ltd Plasma processing system and method
KR100488541B1 (en) * 2002-10-18 2005-05-11 삼성전자주식회사 plasma treating equipment
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US6987269B2 (en) * 2002-12-16 2006-01-17 Axcelis Technologies, Inc. Apparatus and process for measuring light intensities
KR100976976B1 (en) * 2002-12-26 2010-08-23 삼성전자주식회사 Radio frequency matcher
JP4855625B2 (en) * 2002-12-27 2012-01-18 東京エレクトロン株式会社 Observation window of plasma processing apparatus and plasma processing apparatus
US6811657B2 (en) * 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7033518B2 (en) * 2003-06-24 2006-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for processing multi-layer films
US7604701B2 (en) * 2003-07-14 2009-10-20 Tokyo Electron Limited Method and apparatus for removing external components from a process chamber without compromising process vacuum
JP3886953B2 (en) * 2003-10-22 2007-02-28 株式会社東芝 OPTICAL PROCESS MONITOR DEVICE, OPTICAL PROCESS MONITOR METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7420690B2 (en) * 2005-11-28 2008-09-02 Semitool, Inc. End point detection in workpiece processing
EP1734884B1 (en) 2004-03-16 2021-06-16 Guidance Endodontics, LLC Endodontic files
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN103199039B (en) * 2004-06-02 2016-01-13 应用材料公司 Electron device manufacturing chamber and forming method thereof
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7400096B1 (en) 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
KR100549955B1 (en) * 2004-07-20 2006-02-07 삼성전자주식회사 end point detector of semiconductor manufacturing equipment
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
JP4629421B2 (en) * 2004-12-06 2011-02-09 パナソニック株式会社 Dry etching method and dry etching apparatus
JP4006004B2 (en) * 2004-12-28 2007-11-14 株式会社東芝 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
FR2880470B1 (en) * 2004-12-31 2007-04-20 Cit Alcatel DEVICE AND METHOD FOR CONTROLLING THE ETCH DEPTH DURING PLASMA ALTERNATE ETCHING OF SEMICONDUCTOR SUBSTRATES
US20060196846A1 (en) * 2005-03-01 2006-09-07 Tokyo Electron Limited Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US9123512B2 (en) 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US8031824B2 (en) * 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
KR101108443B1 (en) * 2005-05-04 2012-01-31 주성엔지니어링(주) Method of cleaning chamber using remote plasma
FR2887072A1 (en) * 2005-06-08 2006-12-15 Alcatel Sa IMPROVED SPECTOGRAPHIC SYSTEM WITH PLASMA SOURCE
KR100897176B1 (en) * 2005-07-20 2009-05-14 삼성모바일디스플레이주식회사 Inductively Coupled Plasma Processing Apparatus
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7908993B2 (en) * 2005-08-24 2011-03-22 Brother Kogyo Kabushiki Kaisha Film forming apparatus, film forming method and method for manufacturing piezoelectric actuator
US20070077671A1 (en) * 2005-10-03 2007-04-05 Applied Materials In-situ substrate imaging
JP4722725B2 (en) * 2006-02-17 2011-07-13 東京エレクトロン株式会社 Processing method and plasma etching method
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
TWI335450B (en) * 2006-05-15 2011-01-01 Ind Tech Res Inst Film cleaning method and apparatus
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
JP4496191B2 (en) * 2006-09-29 2010-07-07 株式会社東芝 OPTICAL PROCESS MONITOR DEVICE, OPTICAL PROCESS MONITOR METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
US7582491B2 (en) * 2006-10-27 2009-09-01 Tokyo Electron Limited Method for diagnosing electrostatic chuck, vacuum processing apparatus, and storage medium
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment
JP2008288348A (en) * 2007-05-16 2008-11-27 Canon Inc Plasma processor and plasma processing method
KR101502304B1 (en) * 2007-08-01 2015-03-13 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for identifying thin films on a substrate
US8607733B2 (en) * 2008-02-18 2013-12-17 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer deposition apparatus and atomic layer deposition method
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP5385875B2 (en) * 2010-08-26 2014-01-08 東京エレクトロン株式会社 Plasma processing apparatus and optical monitor apparatus
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
US9025143B2 (en) 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
EP3223284B1 (en) 2011-11-14 2019-04-03 The Regents Of The University Of California Methods for forming and maintaining a high performance frc
JP5927652B2 (en) * 2012-07-13 2016-06-01 株式会社昭和真空 Optical monitor and vacuum deposition apparatus using the same
US10541183B2 (en) * 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
WO2014163802A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Window assembly for substrate processing system
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
CN104180828B (en) * 2013-05-24 2016-11-23 北京金海创科技发展有限公司 For determining the detection device of angle position
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
PL3312843T3 (en) 2013-09-24 2020-05-18 Tae Technologies, Inc. Systems for forming and maintaining a high performance frc
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
TWI640039B (en) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 Endpoint booster systems and methods for optical endpoint detection in wafer etch process
KR20160030812A (en) * 2014-09-11 2016-03-21 삼성전자주식회사 plasma processing equipment
SI3187028T1 (en) 2014-10-13 2020-03-31 Tae Technologies, Inc. System for merging and compressing compact tori
KR102590200B1 (en) 2014-10-30 2023-10-16 티에이이 테크놀로지스, 인크. Systems and methods for forming and maintaining a high performance frc
JP6771774B2 (en) 2015-05-12 2020-10-21 ティーエーイー テクノロジーズ, インコーポレイテッド Systems and methods to reduce unwanted eddy currents
KR20180081748A (en) 2015-11-13 2018-07-17 티에이이 테크놀로지스, 인크. System and method for FRC plasma position stability
WO2017216847A1 (en) 2016-06-13 2017-12-21 ギガフォトン株式会社 Chamber device and extreme ultraviolet light generating device
EP3533068B1 (en) 2016-10-28 2023-09-06 TAE Technologies, Inc. Systems for improved sustainment of a high performance frc elevated energies utilizing neutral beam injectors with tunable beam energies
IL266359B2 (en) 2016-11-04 2023-11-01 Tae Tech Inc Systems and methods for improved sustainment of a high performance frc with multi-scaled capture type vacuum pumping
CN110024489B (en) 2016-11-15 2023-03-10 阿尔法能源技术公司 System and method for improved support of high performance FRC and higher harmonic fast wave electron heating in high performance FRC
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
CN110326089B (en) 2018-01-31 2023-07-04 株式会社日立高新技术 Plasma processing method and plasma processing apparatus
US20200013588A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Tilted interferometric endpoint (iep) window for sensitivity improvement
JP2022501207A (en) 2018-09-24 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated Machine vision as an input to the CMP process control algorithm
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
US11313813B2 (en) 2019-03-19 2022-04-26 Momentum Optics Llc Thermally guided chemical etching of a substrate and real-time monitoring thereof
WO2021021351A1 (en) * 2019-07-26 2021-02-04 Applied Materials, Inc. Substrate processing monitoring
CN113287190B (en) * 2019-12-20 2023-12-22 株式会社日立高新技术 Plasma processing apparatus and wafer processing method
KR20220123069A (en) 2020-06-29 2022-09-05 어플라이드 머티어리얼스, 인코포레이티드 Film thickness estimation from machine learning based processing of substrate images

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3170383A (en) * 1961-09-26 1965-02-23 Temescal Metallurgical Corp High vacuum observation apparatus
US3316468A (en) * 1963-05-03 1967-04-25 Temescal Metallurgical Corp Viewing method and apparatus for high vacuum systems
US3514391A (en) 1967-05-05 1970-05-26 Nat Res Corp Sputtering apparatus with finned anode
US4037945A (en) * 1975-04-01 1977-07-26 Wollam John S Indirect reflective window
US4033287A (en) 1976-01-22 1977-07-05 Bell Telephone Laboratories, Incorporated Radial flow reactor including glow discharge limiting shield
US4198261A (en) 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
JPS586124A (en) * 1981-07-02 1983-01-13 Toshiba Mach Co Ltd Semiconductor vapor growth device
US4384938A (en) * 1982-05-03 1983-05-24 International Business Machines Corporation Reactive ion etching chamber
US4493745A (en) 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
JPS61160926A (en) 1985-01-09 1986-07-21 Toshiba Corp Photo-excited thin film former
JPS6242514A (en) * 1985-08-20 1987-02-24 Fujitsu Ltd Molecular beam crystal growth device
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPS63253617A (en) * 1987-04-10 1988-10-20 Hitachi Ltd Plasma treatment apparatus
JPH0672306B2 (en) * 1987-04-27 1994-09-14 株式会社半導体エネルギー研究所 Plasma processing apparatus and plasma processing method
US4913928A (en) * 1987-06-22 1990-04-03 Canon Kabushiki Kaisha Microwave plasma chemical vapor deposition apparatus with magnet on waveguide
US4859277A (en) 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
US4953982A (en) 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
DE3910491C1 (en) 1989-03-31 1990-06-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
JPH0665197B2 (en) 1989-06-12 1994-08-22 日本高周波株式会社 High frequency power introduction device for reactive plasma generation
JPH03122273A (en) * 1989-10-06 1991-05-24 Hitachi Ltd Film forming device using microwave
US5002631A (en) 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
US4975141A (en) 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
JPH0429677A (en) * 1990-05-23 1992-01-31 Rohm Co Ltd Glass window for vacuum vessel
JPH04160158A (en) * 1990-10-22 1992-06-03 Yaskawa Electric Corp Vacuum apparatus with atomic absorption device
US5362356A (en) 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
US5129994A (en) 1991-04-23 1992-07-14 Applied Materials, Inc. Method and apparatus to inhibit obstruction of optical transmission through semiconductor etch process chamber viewport
US5212118A (en) 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
AU4689293A (en) 1992-07-15 1994-02-14 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5277746A (en) * 1992-07-27 1994-01-11 Texas Instruments Incorporated High pressure liquid phase epitaxy reactor chamber and method with direct see through capability
AU5017293A (en) 1992-09-01 1994-03-29 University Of North Carolina At Chapel Hill, The High pressure magnetically assisted inductively coupled plasma
JP3242166B2 (en) 1992-11-19 2001-12-25 株式会社日立製作所 Etching equipment
US5467883A (en) 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
JPH06188108A (en) 1992-12-21 1994-07-08 Canon Inc Manufacture of thin-film resistor, attachment-repellent plate for film deposition equipment and film deposition equipment
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5372673A (en) 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5399229A (en) 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JPH0718423A (en) 1993-07-06 1995-01-20 Japan Energy Corp Thin film forming device
KR0141659B1 (en) * 1993-07-19 1998-07-15 가나이 쓰토무 An apparatus for removing foreign particles and the method
JP3399040B2 (en) 1993-09-20 2003-04-21 株式会社日立製作所 Semiconductor manufacturing apparatus and semiconductor manufacturing method
US5392124A (en) 1993-12-17 1995-02-21 International Business Machines Corporation Method and apparatus for real-time, in-situ endpoint detection and closed loop etch process control
KR0152355B1 (en) 1994-03-24 1998-12-01 가나이 쓰토무 Plasma processing method and its device
JPH07280020A (en) 1994-04-11 1995-10-27 Showa:Kk Vehicle height adjustment device of buffer
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5451289A (en) 1994-06-30 1995-09-19 International Business Machines Corporation Fixture for in-situ noncontact monitoring of wet chemical etching with passive wafer restraint
US5445705A (en) 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5738756A (en) 1995-06-30 1998-04-14 Lam Research Corporation Method and apparatus for detecting optimal endpoints in plasma etch processes
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
KR0155950B1 (en) * 1995-08-16 1998-12-01 김광호 Plasma diffusion control method and its apparatus
US5716451A (en) 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
US6366340B1 (en) 1995-08-18 2002-04-02 Hitachi, Ltd. Electron exposure apparatus
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
KR0159224B1 (en) 1995-12-13 1999-02-01 김광호 End point detecting device of plasma etching system
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
JPH09232099A (en) * 1996-02-20 1997-09-05 Hitachi Ltd Plasma treating device
US5747380A (en) 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
TW327236B (en) 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
US5880850A (en) 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5691540A (en) 1996-04-30 1997-11-25 Ibm Corporation Assembly for measuring a trench depth parameter of a workpiece
US5800619A (en) 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5834375A (en) 1996-08-09 1998-11-10 Industrial Technology Research Institute Chemical-mechanical polishing planarization monitor
US5814154A (en) 1997-01-23 1998-09-29 Gasonics International Short-coupled-path extender for plasma source
US6035868A (en) 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
WO1998048444A1 (en) 1997-04-21 1998-10-29 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
EP0908921A1 (en) 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
US6006694A (en) * 1997-12-05 1999-12-28 Tegal Corporation Plasma reactor with a deposition shield
JPH11176815A (en) 1997-12-15 1999-07-02 Ricoh Co Ltd End point judging method of dry etching and dry etching equipment
JP3833810B2 (en) * 1998-03-04 2006-10-18 株式会社日立製作所 Semiconductor manufacturing method, plasma processing method and apparatus
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
KR100292053B1 (en) 1998-03-30 2001-11-30 김영환 End point window of etch equipment for fabricating semiconductor
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6146242A (en) 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US6400458B1 (en) * 1999-09-30 2002-06-04 Lam Research Corporation Interferometric method for endpointing plasma etch processes
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
US6623595B1 (en) * 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO9965056A1 *

Also Published As

Publication number Publication date
JP2002518823A (en) 2002-06-25
TW418423B (en) 2001-01-11
WO1999065056A1 (en) 1999-12-16
US6712927B1 (en) 2004-03-30
KR20010052752A (en) 2001-06-25
US6390019B1 (en) 2002-05-21
US6835275B1 (en) 2004-12-28

Similar Documents

Publication Publication Date Title
US6390019B1 (en) Chamber having improved process monitoring window
US6081334A (en) Endpoint detection for semiconductor processes
US6905800B1 (en) Etching a substrate in a process zone
US7969581B2 (en) Determining endpoint in a substrate process
KR100918932B1 (en) Monitoring substrate processing using reflected radiation
JP4801045B2 (en) Method for removing chamber residue from a plasma processing system in a dry cleaning process
EP1918978A2 (en) Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
WO2005111265A1 (en) Method and system of dry cleaning a processing chamber
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
WO2002068712A2 (en) Removal of etchant residues
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
JP2006522480A (en) Method and apparatus for dry development of multilayer photoresist
WO2002025696A9 (en) Reducing deposition of process residues on a surface in a chamber
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
TWI828781B (en) Method and processing chamber for eliminating internal reflections in an interferometric endpoint detection system
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20010111

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB IT NL

17Q First examination report despatched

Effective date: 20040115

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20040526