EP1508449A1 - Inkjet nozzle with magnetic actuator chamber - Google Patents

Inkjet nozzle with magnetic actuator chamber Download PDF

Info

Publication number
EP1508449A1
EP1508449A1 EP04024062A EP04024062A EP1508449A1 EP 1508449 A1 EP1508449 A1 EP 1508449A1 EP 04024062 A EP04024062 A EP 04024062A EP 04024062 A EP04024062 A EP 04024062A EP 1508449 A1 EP1508449 A1 EP 1508449A1
Authority
EP
European Patent Office
Prior art keywords
ink
nozzle
layer
etching
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP04024062A
Other languages
German (de)
English (en)
French (fr)
Other versions
EP1508449B1 (en
Inventor
Kia Silverbrook
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silverbrook Research Pty Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AUPO8059A external-priority patent/AUPO805997A0/en
Priority claimed from AUPO8067A external-priority patent/AUPO806797A0/en
Priority claimed from AUPO8053A external-priority patent/AUPO805397A0/en
Priority claimed from AUPO8065A external-priority patent/AUPO806597A0/en
Priority claimed from AUPO7935A external-priority patent/AUPO793597A0/en
Priority claimed from AUPO7936A external-priority patent/AUPO793697A0/en
Priority claimed from AUPO8058A external-priority patent/AUPO805897A0/en
Priority claimed from AUPO8001A external-priority patent/AUPO800197A0/en
Priority claimed from AUPO8070A external-priority patent/AUPO807097A0/en
Priority claimed from AUPO8047A external-priority patent/AUPO804797A0/en
Priority claimed from AUPO8035A external-priority patent/AUPO803597A0/en
Priority claimed from AUPO8063A external-priority patent/AUPO806397A0/en
Priority claimed from AUPO8061A external-priority patent/AUPO806197A0/en
Priority claimed from AUPO8004A external-priority patent/AUPO800497A0/en
Priority claimed from AUPO8044A external-priority patent/AUPO804497A0/en
Priority claimed from AUPO7950A external-priority patent/AUPO795097A0/en
Priority claimed from AUPO8071A external-priority patent/AUPO807197A0/en
Priority claimed from AUPO8056A external-priority patent/AUPO805697A0/en
Priority claimed from AUPO8066A external-priority patent/AUPO806697A0/en
Priority claimed from AUPO7933A external-priority patent/AUPO793397A0/en
Priority claimed from AUPO8060A external-priority patent/AUPO806097A0/en
Priority claimed from AUPO8072A external-priority patent/AUPO807297A0/en
Priority claimed from AUPO8077A external-priority patent/AUPO807797A0/en
Priority claimed from AUPO8069A external-priority patent/AUPO806997A0/en
Priority claimed from AUPO8073A external-priority patent/AUPO807397A0/en
Priority claimed from AUPO8054A external-priority patent/AUPO805497A0/en
Priority claimed from AUPO8049A external-priority patent/AUPO804997A0/en
Priority claimed from AUPO8076A external-priority patent/AUPO807697A0/en
Priority claimed from AUPO8041A external-priority patent/AUPO804197A0/en
Priority claimed from AUPO8075A external-priority patent/AUPO807597A0/en
Priority claimed from AUPO7949A external-priority patent/AUPO794997A0/en
Priority claimed from AUPO8048A external-priority patent/AUPO804897A0/en
Priority claimed from AUPO8036A external-priority patent/AUPO803697A0/en
Priority claimed from AUPO8055A external-priority patent/AUPO805597A0/en
Priority claimed from AUPP3983A external-priority patent/AUPP398398A0/en
Priority claimed from AUPP3982A external-priority patent/AUPP398298A0/en
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Publication of EP1508449A1 publication Critical patent/EP1508449A1/en
Application granted granted Critical
Publication of EP1508449B1 publication Critical patent/EP1508449B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J3/00Typewriters or selective printing or marking mechanisms characterised by the purpose for which they are constructed
    • B41J3/44Typewriters or selective printing mechanisms having dual functions or combined with, or coupled to, apparatus performing other functions
    • B41J3/445Printers integrated in other types of apparatus, e.g. printers integrated in cameras
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14314Structure of ink jet print heads with electrostatically actuated membrane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14427Structure of ink jet print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1623Manufacturing processes bonding and adhesion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1629Manufacturing processes etching wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1632Manufacturing processes machining
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1635Manufacturing processes dividing the wafer into individual chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1637Manufacturing processes molding
    • B41J2/1639Manufacturing processes molding sacrificial molding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1642Manufacturing processes thin film formation thin film formation by CVD [chemical vapor deposition]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1643Manufacturing processes thin film formation thin film formation by plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1645Manufacturing processes thin film formation thin film formation by spincoating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1646Manufacturing processes thin film formation thin film formation by sputtering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1648Production of print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/17Ink jet characterised by ink handling
    • B41J2/175Ink supply systems ; Circuit parts therefor
    • B41J2/17596Ink pumps, ink valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2002/041Electromagnetic transducer

Definitions

  • the present invention relates to the field of ink jet printing systems.
  • Ink jet printers themselves come in many different types.
  • the utilisation of a continuous stream ink in ink jet printing appears to date back to at least 1929 wherein US Patent No. 1941001 by Hansell discloses a simple form of continuous stream electro-static ink jet printing.
  • US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilized by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
  • Piezo-electric ink jet printers are also one form of commonly utilized ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.
  • the ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media.
  • Printing devices utilising the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.
  • a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
  • esoteric techniques are also often utilized. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.
  • an ink jet printing nozzle arrangement comprising a nozzle chamber having an ink ejection port at one end; a plunger constructed from soft magnetic material and positioned between the nozzle chamber and an ink chamber, which allows for the supply of ink to the nozzle chamber, and an electric coil located adjacent to the plunger and electrically connected to a nozzle activation signal wherein, upon activation, the plunger is caused to move from an ink loaded position to an ink ejection position and thereby causes the ejection of ink from the ink chamber through the ejection port.
  • the ink ejection nozzle can comprise an armature plate constructed from soft magnetic material and the plunger is attracted to the armature plate on the activation of the coil.
  • a cavity is defined by the plunger in which the electric coil is located, which has its dimensions reduced as a result of movement of the plunger, the plunger further having a series of fluid release slots in fluid communication with the cavity and the ink chamber, allowing for the expulsion of fluid under pressure in the formed cavity.
  • the ink jet printing nozzle comprises a resilient means for assisting in the return of the plunger from the ink ejection position to the ink loaded position after the ejection of ink from the ink ejection port.
  • the resilient means comprises a torsional spring of an arcuate construction having a circumferential profile substantially the same as that of the plunger.
  • an ink jet printing nozzle arrangement constructed in accordance with the previous aspect of the invention wherein the plunger has along one surface a series of slots. This surface forms the inner radial surface defining the cavity between the plunger and the electric coil. Further, the plunger has no fluid release slots in its top surface that defines the top wall of the cavity formed. Upon reduction of the cavity dimensions due to the downward movement of the plunger, induced by the electric coil, an ink flow through the slots into the nozzle chamber occurs assisting in the ejection of ink from the ink ejection port.
  • the slots Preferably, have a substantially constant cross-sectional profile.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator comprising a first planar electrode formed within a bottom substrate of the nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, wherein the second planar electrode is moveable to a pre-firing position adjacent to said first planar electrode, upon forming a potential difference across the electrodes, thereby causing a corrugated border portion of the second electrode to concertina, such that, upon reduction of the potential difference, the corrugated border returns to its quiescent position and thereby causes the ejection of ink from the nozzle chamber.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator to eject ink from the nozzle chamber via the ink ejection port, wherein the electrostatic actuator comprises a first planar electrode formed within a bottom substrate of the nozzle chamber and a moveable second planar electrode arranged above the first planar electrode, and the ink jet nozzle arrangement is being formed from the depositing and etching of material on a single monolithic wafer.
  • the second planar electrode includes preferable a layer of stiffening materials for maintaining the stiffness of the second planar electrode which is substantially comprised of nitride.
  • the air gap between a first and a second planar electrode structure is formed by utilisation of a sacrificial material layer which is etched away to release the second planar electrode structure.
  • an outer surface of the ink chamber includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator comprising a series of conductive parallel plates interleaved with a resiliently compressible material to eject ink from the nozzle chamber via the ejection port and a method comprising the steps of producing a potential difference across the plates so as to attract adjacent plates to one another and thereby causing the compressible material to resiliently yield and further reducing the potential difference such that the compressible material returns to its quiescent state, thereby resulting in the ejection of ink from the ejection port.
  • the resilient yielding of the compressible material results in ink being drawn into the nozzle chamber by means of surface tension effects around the ink ejection port.
  • an inkjet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, an electrostatic actuator, which comprises a series of conductive parallel plates interleaved with a resiliently compressible material, to eject ink from the nozzle chamber via the ink ejection port and a control means for producing a potential difference across the plates so that the material is resiliently, such that, upon deactivation, the electrostatic actuator causes ink to be ejected via the ink ejection port.
  • the compressible material comprises a material having a high dielectric constant, such material including piezo electric, electrostrictive or materials which can be switched between a ferro-electric and an anti-ferro-electric phase.
  • the electrostatic actuator is constructed utilizing semi-conductor fabrication techniques by laying down one planar layer at a time so as to form an initial sandwiched preform, and subsequently selectively etching the preform so as to provide for an electrical interconnect to the conductive parallel plates. Further groups of the series of the conductive parallel plates are constructed from different materials so as to allow for the selective etching of the plates so as to divide them into 2 groups of different polarities during operation.
  • the plates from each group are interconnected to a common conductive portion for the provision of a charge to the conductive plates.
  • the plates are constructed utilizing chemical vapor deposition techniques.
  • the outer surface of the nozzle chamber of the ink jet nozzle includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • an ink jet printing nozzle apparatus with a connected ink supply chamber, the apparatus comprising an ink ejection means having one surface in fluid communication with the ink in the nozzle chamber, a recoil means connected to the ink ejection means and a first actuator means connected to the ink ejection means.
  • the method of ejecting ink from the ink chamber can comprise the steps of activation of the first actuator means which drives the ink ejection means from a quiescent position to a pre-firing position and deactivation of the first actuator means, causing the recoil means to drive the ink ejection means to eject ink from the nozzle chamber through the ink ejection port.
  • the recoil means can include a resilient member and the movement of the first actuator results in resilient movement of this recoil means and the driving of the ink ejection means can comprise the resilient member acting upon the ink ejection means.
  • the first actuator means can comprise an electromagnetic actuator and the recoil means comprises a torsional spring.
  • the ink ejection means and the first actuator can be interconnected in a cantilever arrangement wherein small movements of the first actuator means result in larger movements of the ink ejection means.
  • the recoil means is located substantially at the pivot point of the cantilever construction.
  • the first actuator can include a solenoid coil surrounded by a magnetic actuator having a first mixed magnetic pole and a second moveable magnetic pole, such that, upon activation of the coil, the poles undergo movement relative to one another with the moveable magnetic pole being connected to the actuator side of the cantilever construction.
  • the moveable magnetic pole includes a plurality of slots for the flow of ink through the pole upon movement.
  • the ink ejection means can comprise a piston or plunger or having a surface substantially mating with at least one surface of the nozzle chamber.
  • an ink jet nozzle arrangement having an ink ejection port for the ejection of ink comprising a nozzle chamber interconnected to the ink ejection port and having one moveable wall including an electromagnetic coil, and the nozzle chamber is in a magnetic field such that, upon activation of the electromagnetic coil the moveable wall experiences a force and is caused to move so as to result in the ejection of ink from the nozzle chamber via the ink ejection port.
  • the moveable wall can be caused to pivot upon activation and interconnects the nozzle chamber with an ink supply chamber and the nozzle chamber is refilled from the ink supply chamber upon the ejection of ink.
  • the moveable wall is interconnected to the nozzle chamber wall by a resilient means.
  • the resilient means acts to return the moveable wall to a quiescent position upon deactivation of the electromagnetic coil.
  • the electromagnetic coil includes multiple layers substantially comprised of copper.
  • the ink jet nozzle can be in a magnetic, permanent field, which is provided by neodymium iron boron magnets.
  • an ink jet printing nozzle apparatus comprising a nozzle chamber in fluid communication with an ink chamber and utilized for the storage of ink to be printed out by the nozzle apparatus, the nozzle chamber having a nozzle chamber outlet hole for the ejection of ink from the nozzle chamber, a magnetic piston located over an aperture in the nozzle chamber and an activation coil located adjacent to the magnetic piston, so that upon activation by a current, force is applied to the piston sufficient to cause movement of the piston from a first position to a second position, this movement causing ink within the nozzle chamber to be ejected from the nozzle chamber through a nozzle chamber outlet hole onto a print media.
  • the printing nozzle apparatus can comprise a series of resilient means attached to the magnetic piston so as to return the magnetic piston to the first position upon deactivation of the activation coil.
  • the resilient means comprises at least one torsional spring.
  • the ink jet nozzle apparatus is constructed utilizing semi conductor fabrication techniques, and the magnetic piston and/or coils are constructed from a dual damascene process.
  • the nozzle chamber outlet hole includes a nozzle rim adapted to reduce hydrophilic surface spreading of the ink.
  • the activation coil is constructed from a copper deposition process and the magnetic piston is constructed from a rare earth magnetic material.
  • the resilient means in the inkjet printing nozzle apparatus can be constructed from silicon nitride.
  • an ink jet nozzle comprising an ink reservoir containing an ink supply under an oscillating pressure, a nozzle chamber having an ink ejection port for the ejection of ink drops onto a print media, and a shutter means interconnecting the reservoir and the nozzle chamber, which is operable by means of electromagnetic actuation so as to control the ejection of ink from the ejection port.
  • the actuation can comprise activating an electromagnet so as to move an arm interconnected to at least one end of the shutter means, thereby opening a channel for the flow of ink, followed by maintaining a lower keeper current so as to maintain the channel in an open state, followed by deactivation of the electromagnet, and the subsequent returning of the shutter to a closed position.
  • the electromagnet includes a first and second end, wherein each of the ends are positioned closely adjacent to the arm and the electromagnetic actuation includes movement of the arm closer to both of the ends.
  • the arm is pivoted between the first and second end of the electromagnet, and the electromagnet has a spiral shape.
  • the ink jet print nozzle includes a resilient means connected to the shutter means which is elastically deformed by the electromagnetic actuation and operates to return to an initial state upon deactivation of the shutter means so as to restrict the further flow of fluid from the ink reservoir to the nozzle chamber.
  • the resilient means can include a coiled spring.
  • the ink jet print nozzle is formed utilizing semi-conductor fabrication techniques from a copper coil surrounding a soft metal core.
  • the copper coil can be formed from utilizing a Damascene process.
  • the shutter means comprises a series of moveable slats, moveable over an aperture in the wall of the nozzle chamber.
  • a method of ejecting ink from an ink jet print nozzle comprising utilizing an electromagnetically activated shutter to control the flow of ink into a nozzle chamber such that ink is ejected from the nozzle chamber when the shutter is open utilizing a first high pressure cycle of a pressurised ink supply for the ejection of the ink, a low pressure cycle for the separation of the ejected drop from the ink in the nozzle chamber and a second high pressure cycle of the pressurised ink supply for refilling the nozzle chamber with ink.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber and a magnetic actuator located between the nozzle chamber and the ink supply reservoir which is actuated to eject ink by means of externally supplied magnetic pulse cycles.
  • the ink jet nozzle comprises a part of an array of nozzles and each of the nozzles further comprises a blocking means, for blocking movement of the magnetic actuator for those nozzles of which it is desired not to eject ink from the nozzle chambers in a current magnetic pulse cycle.
  • the blocking means comprises a thermal actuator having a moveable end protuberance which is moveable to a position blocking the path of movement of the magnetic actuator.
  • the magnetic actuator can include an end protuberance designed to engage the blocking means upon movement of the actuator.
  • the magnetic actuator is affixed to an adjacent wall of the nozzle chamber by means of two bendable strip portions which allow bending movement of the magnetic actuator upon activation by the externally supplied magnetic pulse cycles.
  • the thermal actuator can comprise substantially two arms affixed to a substrate, a first arm having a thin serpentine structure encased in a material having a high coefficient of thermal expansion and a second arm comprising a thicker arm having a tapered thin portion near the end connecting to the substrate so as to concentrate any bending of the thermal actuator at a point close to the substrate.
  • the blocking means can be located in a cavity having a low degree of fluid flow through the cavity and preferably, the serpentine arm of the thermal actuator is located alongside an inner wall of the cavity.
  • the ink jet nozzle is constructed via fabrication of a silicon wafer utilizing semi-conductor fabrication techniques.
  • the actuators include a silicon nitride covering as required so as to insulate and passivate them from adjacent portions.
  • the nozzle chambers can be formed from high density low pressure plasma etching of the silicon substrate.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port at one wall of the chamber, a fixed electric coil located within the chamber or within a wall of the chamber and a moveable plate, in which embedded is an electric coil, located close to the fixed electric coil such that when the amount of current passing through set coils are altered, the movable plunger plate undergoes corresponding movement towards or away from the fixed electric coil and wherein the movement is utilized to inject ink from the nozzle chamber via the ink injection port.
  • the ink jet nozzle can comprise spring means connected to the moveable plate wherein the moveable plate goes from a quiescent position to a spring loaded position upon activation of the coils and upon deactivation of the coils the spring means causes the moveable coil to return to its quiescent position and to thereby eject ink from the ink ejection port.
  • the fixed electric coil of the moveable plunger plate comprises a stacked multi level spiral of conductive material and the stacked conductive material is interconnected at a central axial point of the spiral. The coils are electrically connected together to form a combined circuit.
  • the spring means comprises torsional springs attached to the moveable coil and a conductive strip contact to the coils is located within the torsional springs.
  • the coil comprises substantially copper and is formed from utilization of a damascene construction.
  • the nozzle can be constructed utilizing a sacrificial etch to release the structure of the moveable coil.
  • the nozzle chamber includes a series of slots within the walls of the nozzle chamber so as to allow the supply of ink to the nozzle chamber and an outer surface of the nozzle chamber includes a series of small etched holes for the etching of any sacrificial layer utilized in the construction of the ink jet print nozzle.
  • a means of ejecting ink from a nozzle chamber utilizing the electro-magnetic forces between two coils embedded into place to cause movement of at least one of the plates, the movement further causing the consequential ejection of ink from the nozzle chamber.
  • the utilization of electro-magnetic forces comprises using the electro-magnetic forces between coils embedded into a moveable and a fixed plate so that the moveable plate moves closer to the fixed plate, the moveable plate further being connected to a spring which upon the movement, stores energy within the spring such as that upon deactivation of a current through the coil, the spring releases its stored energy to thereby cause the movement of the moveable plate so as to cause the ejection of ink from the nozzle.
  • an ink jet nozzle arrangement comprising: a nozzle chamber having an ink ejection port for the ejection of ink, an ink supply reservoir for supplying ink to the nozzle chamber, a plunger located within the nozzle chamber and further, a linear stepper actuator interconnected to the plunger and adapted to actuate the plunger so as to cause the ejection of ink from the ink ejection port.
  • a linear stepper actuator interconnected to the plunger and adapted to actuate the plunger so as to cause the ejection of ink from the ink ejection port.
  • At least one surface of the plunger located alongside a wall of the nozzle chamber is hydrophobic.
  • the linear actuator interconnected to the plunger in the jet nozzle chamber is driven in three phases by a series of electromagnets.
  • a series of twelve electromagnets is arranged in opposing pairs alongside the linear actuator. Further, each phase is duplicated resulting in four electromagnets for each phase.
  • the ink jet nozzle has an open wall along a back surface of the plunger which comprises a series of posts adapted to form a filter to filter ink flowing through the open wall into the nozzle chamber.
  • the linear actuator construction includes a guide at the end opposite to the nozzle chamber for guiding the linear actuator.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber, a shutter for opening and closing a fluid passage between the reservoir and chamber so as to cause the ejection of ink from the ink ejection port and the shutter includes a ratcheted edge for moving the shutter to an open or closed position via the utilization of an actuator driven driving means.
  • the driving means can include a gearing means interconnected to a driving means wherein the gearing means results in a reduced driving frequency of the ratcheted edge relative to the frequency of operation of the driving means.
  • the driving means includes utilizing a conductive element in a magnetic field to exert a force on the ratcheted edge and utilizing a conductive element in a magnetic field to exert a force on a cog of a gearing mechanism with the gearing mechanism utilized to transfer the force on the ratcheted edge.
  • the conductive element includes a concertinaed structure designed to expand or contract upon movement of the conductive element.
  • the shutter mechanism can include a series of slots having corresponding retainers utilized in guiding the shutter between the reservoir and the nozzle chamber and the shutter is formed through the fabrication of an array of nozzles on a silicon wafer structure.
  • the ink within the ink supply reservoir is driven with an oscillating ink pressure.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber, an ink supply reservoir for supplying ink to the nozzle chamber, and a tapered magnetic plunger located between the nozzle chamber and the ink supply reservoir, which is surrounded by an electromagnetic device such that upon activation of the device the magnetic plunger is forced towards the ink ejection port to thereby cause the ejection of ink from the ink ejection port.
  • the plunger is substantially circular and has a tapered rim at adjacent portions of the electromagnetic device.
  • the electromagnetic device is of a cylindrical shape and the plunger is located in the centre of the cylinder.
  • the plunger is further connected to a resilient means which allows for the return of the plunger to its original position upon deactivation of the electromagnetic device.
  • the magnetic plunger is connected to a side wall of the nozzle chamber by means of a series of springs which radially spiral out to the side walls.
  • the springs are formed from tensional release of a deposited material. Further the deposited material can include nitride.
  • a shuttered grill inkjet printer wherein the shutter is electromagnetically actuated from a closed to an open position so as to allow the ejection from a chamber onto print media.
  • a shuttered ink jet nozzle comprising an ink chamber having an ink ejection nozzle for the ejection of ink from the ink chamber, an ink reservoir for the supply of ink to the ink chamber under pressure, and a shutter device located between the ink reservoir and the ink chamber so as to allow or restrict the flow of ink between the ink chamber and ink reservoir to thereby cause the ejection of ink from the chamber, wherein the shutter device is being actuated on demand.
  • the actuator can comprise an electromagnetic coil mechanism attracting a magnetic bar.
  • the coil is anchored to a wafer and the magnetic bar is connected to a shutter plate adapted to open and close over a series of shutter holes allowing fluid communication between the ink reservoir and the ink chamber.
  • the shuttered ink jet nozzle can comprise an actuator that includes at least one linear spring so as to amplify the travel of a shutter plate covering shutter holes upon activation of the actuator.
  • the linear spring is anchored on one side of the ink chamber and the electromagnetic coil is anchored to an opposite side of the ink chamber with a shutter plate operable between the linear spring anchor and the electromagnetic anchor.
  • the ink reservoir includes ink under oscillating ink pressure.
  • the shutter device can comprise a plurality of shutter plates covering a corresponding plurality of shutter holes allowing the flow of ink between the ink chamber and the ink reservoir.
  • the ink chamber can be formed by a crystallographic etch of a silicon wafer.
  • the ejection frequency of drops from the nozzle chamber can be substantially half the frequency of an oscillating pressure of the ink within the ink reservoir.
  • arrays of ink jet nozzles are grouped into separate groups and each group is activated in turn so as to reduce pressure requirements in the ink jet reservoir.
  • a method of operation of a shuttered ink jet print nozzle having a nozzle chamber and ink reservoir, the ink reservoir having an oscillating ink pressure comprising opening the shutter to cause ink to be ejected from the nozzle chamber resulting in a reduction of ink in the nozzle chamber, followed by leaving the shutter open during a subsequent high pressure of the ink pressure so as to allow the nozzle chamber to refill, followed by closing the shutter at the end of a high pressure cycle so as to restrict back flow of ink from the nozzle chamber to the ink reservoir.
  • an ink jet print nozzle arrangement comprising an ink ejection chamber having an ejection port for the ejection of ink, which is in fluid communication with an ink reservoir for the supply of ink to be ejected, where at least one wall of the chamber comprises a moveable diaphragm actuated by means of a Lorenz force so as to cause the consequential ejection of ink from the ejection chamber.
  • the moveable diaphragm can be of a corrugated or concertinaed form and includes an embedded conductive coil.
  • the diaphragm Upon actuation of the diaphragm by the Lorenz interaction between a current in the conducted coils and a static magnetic field, the diaphragm is expandable by a concertina action.
  • the diaphragm is formed through utilization of an appropriately half-toned mask.
  • the ink chamber in the ink jet print nozzle can be formed by means of an isotropic etch of a silicon wafer.
  • an ink jet nozzle utilizing the phase transformation of a magnetostrictive material in a magnetic field as an actuator to cause the ejection of ink from the chamber.
  • the method can include a magnetostrictive petal in a quiescent state which transforms to an ink ejection state upon the application of a magnetic field thereby causing ink ejection from the chamber.
  • the magnetic field is applied by means of passing a current through a conductive coil adjacent that magnetostrictive material.
  • the ink chamber is formed from a crystallographic etch of the silicon wafer so as to have one surface of the chamber substantially formed by the actuator, which is attached to one wall of the chamber opposite the nozzle port from which ink is ejected.
  • the nozzle port is formed by the back etching of a silicon wafer to a buried epitaxial layer and etching a nozzle port hole in the epitaxial layer.
  • the crystallographic etch includes providing side-wall slots of non-etched layers of a processed silicon wafer so as to extend the dimensions of the chamber as a result of the crystallographic etch process.
  • the magnetostrictive shape memory alloy comprises substantially Terfanol-D.
  • an ink jet nozzle arrangement comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply sourse interconnected to the nozzle chamber, an electrostatic actuator to eject ink from the nozzle chamber via the ink ejection port, and a magnetic field actuation means for producing a magnetic field around the magnetostrictive actuator so as to cause magnetostrictive operation of the actuator, thereby causing the actuator to eject ink from the ink ejection port.
  • the magnetic field actuation means comprises a conductive coil surrounding the magnetostrictive actuator.
  • the ink jet nozzle arrangement can be formed on a silicon wafer utilizing semi-conductor processing techniques and the conductive coil is interconnected to a lower metal layer which provides control circuitry for the ink jet printer.
  • a method of ejecting ink from a chamber comprising utilization of the transformation of a shape memory alloy from its martensitic phase to its austenitic phase (or visa versa) as an actuator to cause the ejection of ink from the chamber.
  • the actuator can comprise a conductive shape memory alloy panel in a quiescent state which transforms to an ink injection state upon heating thereby causing ink ejection from the chamber.
  • the heating occurs by means of passing a current through the shape memory alloy.
  • the chamber can be formed from a crystallographic etch of a silicon wafer so as to have one surface of the chamber substantially formed by the actuator.
  • the actuator is formed from a conductive shape memory alloy arranged in a serpentine form and is attached to one wall of the chamber opposite a nozzle port from which ink is ejected.
  • the nozzle port can be formed by the back etching of a silicon wafer to the epitaxial layer and etching a nozzle port hole in the epitaxial layer.
  • the crystallographic etch can include providing side wall slots of non-etched layers of a processed silicon wafer so as to the extend the dimensions of the chamber as a result of the crystallographic etch process.
  • the shape memory alloy comprises nickel titanium alloy.
  • an ink jet nozzle arrangement for the ejection of ink from an ink ejection nozzle comprising: a substrate; a conductive coil formed on the substrate and operable in a controlled manner; a moveable magnetic actuator surrounding the conductive coil and forming an ink nozzle chamber between the substrate and the actuator, the moveable magnetic actuator further including an ink ejection nozzle defined therein; wherein variations in the energization level of the conductive coil cause the magnetic actuator to move from a first position to a second position, thereby causing a consequential ejection of ink from the nozzle chamber as a result of fluctuations in the ink pressure within the nozzle chamber.
  • the arrangement can further include an ink supply channel interconnecting the nozzle chamber for the resupply of ink to the nozzle chamber.
  • the interconnection can comprise a series of elongated slots etched in the substrate.
  • the substrate can comprise a silicon wafer and the ink supply channel can be etched through the wafer.
  • the moveable magnetic actuator can be moveable from a first position having an expanded nozzle chamber volume to a second position having a contracted nozzle chamber volume by the operation of the conductive coil.
  • the arrangement can further include at least one resilient member attached to the moveable magnetic actuator, so as to bias the moveable magnetic actuator, in its quiescent position, at the first position.
  • the at least one resilient member can comprise a leaf spring.
  • a slot can be defined between the magnetic actuator and the substrate and the actuator portions adjacent the slot can be hydaphobically treated so as to minimize wicking through the slot.
  • a magnetic base plate located between the conductive coil and the substrate such that the magnetic actuator and the nozzle plate substantially encompasses the conductive coil.
  • the magnetic actuator can be formed from a cobalt nickel iron alloy.
  • a method of manufacturing a radiant plunger ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacture of an inkjet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (f) depositing and etching a sacrificial material layer in the region of the first magnetic plate and the coil, the etch
  • the step (f) further can comprise etching cavities defining a series of spring posts and the step (g) preferably can include forming a series of leaf springs interconnected with the first magnetic plate for resiliently biasing the magnetic plate in a first direction.
  • the conductive layer can comprise substantially copper.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the magnetic flux material can comprise substantially a cobalt nickel iron alloy and the wafer can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • an electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) forming a bottom electrode layer of conductive material on or in the electrical circuitry layer; (c) depositing and etching a first hydrophobic layer on the electrode layer; (d) depositing and etching a first sacrificial layer of sacrificial material on the first hydrophobic layer; (e) depositing and etching a top electrode layer of conductive material on the first sacrificial layer the top electrode layer including predetermined portions interconnecting with the electrical circuitry layer; (f) depositing and etching a membrane layer on the top electrode layer; (g) depositing and etching a second sacrificial layer on the membrane layer, the second sacrificial layer forming a blank for the nozzle chamber walls; (h)
  • the top electrode layer and the membrane layer can include a concertina edge so as to allow for movement of the membrane layer.
  • the bottom electrode layer can be formed from a metal plane layer of the circuitry layer.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the step (h) preferably can include etching a nozzle rim around the nozzle fluid ejection hole and a series of small holes in at least one wall of the nozzle chamber.
  • the hydrophobic layer can comprise substantially polytetrafluroethylene.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads
  • a method of manufacturing a stacked electrostatic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer thereon on including etched vias for interconnection of the circuitry with subsequent layers; (b) repeatedly depositing a series of planar layers on the electrical circuitry layer the planer layers including a first conductive layer, a second conductive layer and an intermediate compressible non conductive layer; (c) etching the planar layer so as to form a series of stacked alternating structures; (d) isolating at least one first edge of a stacked alternating structure; (e) etching the second conductive layer and the intermediate compressible layer along the edge so as to expose the first conductive layer, (f) isolating a second edge of the stacked alternating structure; (g) etching the first conductive layer and the intermediate compressible layer along the second edge so as to expose the second conductive layer, (
  • the step (j) preferably can include etching a series of small holes in a wall of the nozzle chamber interconnecting the chamber with the ambient atmosphere.
  • the first conductive layer and the second conductive layer are preferably formed from different conductive material.
  • the compressible layer can comprise substantially elastomer.
  • the method further preferably can include swelling the elastomer along the edges.
  • the ink supply channel can be etched through the wafer from a back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a reverse spring level ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (f) depositing and etching a sacrificial material layer in the region of the first magnetic plate and the coil, the etch
  • the step (f) further can comprise etching cavities defining a series of spring posts and the step (g) preferably can include forming a series of torsional pivot springs interconnected with the lever arm for resiliently biasing the second magnetic plate substantially against the first magnetic plate.
  • the conductive layer can comprise substantially copper and the magnetic flux material can comprise substantially a cobalt nickel iron alloy.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a paddle type ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on, in addition to a top protecting layer having a series of vias interconnected to predetermined portions of the circuitry layer; (b) forming on the semiconductor wafer layer a first conductive layer including a first conductive coil interconnected to predetermined portions of the circuitry layer; (c) depositing and etching, on the first conductive layer, a non-conductive layer including predetermined vias for the interconnection of subsequent layers with lower layers; (d) forming a second conductive layer on the nonconducting layer, including a second conductive coil and the interconnection of predetermined portions of the coil with the first conductive coil and the circuitry layer; (e) depositing and etching a second non-conductive layer over the second conductive layer the etching including
  • the step (g) can comprise a crystallographic etch and can utilize the epitaxial layer as an etch stop.
  • the step (i) preferably can include etching a series of small holes in a wall of the nozzle chamber interconnecting the chamber with the ambient atmosphere.
  • the first conductive layer and the second conductive layer are preferably formed from substantially copper.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a permanent magnet electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the wafer.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) depositing and etching a first inert layer, the etching including etching predetermined vias and a nozzle chamber aperture; (c) forming a first conductive coil layer on the first inert layer around the nozzle aperture, the conductive coil layer including predetermined portions interconnecting with the electrical circuitry layer; (d) utilizing the nozzle aperture to etch a nozzle chamber in the wafer; (e) depositing and etching a sacrificial material layer over the wafer including the nozzle chamber, the etching including etching a series a mould for a series of magnet suspension posts and a permanent magnet above the nozzle aperture; (f) deposit and etch a magnetic material layer, the
  • the conductive coil layer can be formed by first depositing and etching a sacrificial layer forming a mould for the conductive coil layer.
  • the conductive coil layer can be formed utilizing chemical mechanical planarization and can comprise substantially copper.
  • the first inert layer can comprise substantially silicon nitride.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a planar swing grill electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an inkjet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching an inert material layer including a grill structure over the nozzle chamber aperture and vias for electrical interconnection of subsequent layers with the electrical circuitry layer, (e) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g)
  • the steps further can include the simultaneous formation of a shutter grill guard around the shutter.
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • the conductive layers can comprise substantially copper and the inert layers can comprise substantially silicon nitride.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a pulse magnetic field ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a two plate reverse firing electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first lower fixed coil layer of conductive material having predetermined interconnections with the electrical circuitry layer; (c) depositing and etching a first protective layer over the fixed coil layer; (d) depositing and etching a second moveable coil layer of conductive material having predetermined interconnections with the electrical circuitry layer; (e) depositing and etching a second protective layer over the second moveable coil layer; (f) depositing and etching a sacrificial material layer over the second moveable coil layer, (g) depositing and etching an inert material over the sacrificial material layer to from a nozzle chamber around the first and second coil layer; (h) etching an ink supply channel interconnected with the
  • the method further preferably includes the step of forming a hydrophobic layer between the first and second coil layer.
  • the first and second coil layers are preferably formed in an inert material layer and are formed utilizing a dual damascene process.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer with the step (h) preferably including etching a series of small holes in at least one wall of the nozzle chamber.
  • the hydrophobic layer can comprise substantially polytetrafluroethylene. Further, the method can include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects.
  • the wafer can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a linear stepper actuator ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry formed thereon on; (b) depositing and etching a first sacrificial layer forming a lower electrical coil mould; (c) depositing and etching a first conductive material layer including a lower electrical coil portion interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers; (g) depositing and etching a second sacrificial layer including etching a mould for a fixed magnetic pole, a series of moving poles, horizontal guides and a core pusher rod; (h) depositing and etching a high saturation flux material layer to form the fixed magnetic pole, the series of moving poles, the
  • the conductive layers can comprise substantially copper and the inert layers can comprise substantially silicon nitride.
  • the hydrophobic layer can comprise substantially polytetrafluroethylene.
  • the wafer can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a tapered magnetic pole electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) filling the nozzle chamber cavity with a first sacrificial material layer; (d) depositing and etching a first layer having a high saturation flux density on the electrical circuitry layer to define a first magnetic plate; (e) depositing and etching an insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (f) depositing and etching a conductive layer on the insulating layer in the form of a conductive coil conductively interconnected to the first layer; (g) depositing and etching a
  • the conductive layer can comprise substantially copper
  • the magnetic flux material can comprise substantially a cobalt nickel iron alloy
  • the inert material can comprise silicon nitride.
  • the method can also include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the second magnetic plate preferably can include a tapered portion adjacent the nozzle chamber.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a linear spring electromagnetic grill ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching an inert material layer including a grill structure over the nozzle chamber aperture and vias for electrical interconnection of subsequent layers with the electrical circuitry layer; (e) depositing and etching a first conductive material layer including a series of lower electrical coil portions interconnected with the electrical circuitry layer; (f) depositing and etching an inert material layer over the first conductive material layer, the inert material layer including predetermined vias for interconnection of the first conductive material layer with subsequent layers;
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • the high saturation flux material can comprise substantially a cobalt nickel iron alloy and the conductive layers can comprise substantially copper with the inert layers comprising substantially silicon nitride.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a Lorenz diaphragm electromagnetic ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) depositing and etching a first layer of sacrificial material, filling the nozzle chamber cavity, the etching including etching a series of concertinaed ridges in the sacrificial layer above the nozzle chamber cavity; (d) depositing and etching a first inert material layer on the concertinaed ridges, the first inert material layer retaining a series of concertined ridges on the surface thereof; (e) depositing and etching a first conductive material layer over the concertinead ridges of the first inert material layer
  • the inert material layers can comprise substantially silicon nitride and the conductive layer can comprise substantially copper.
  • the etching of layers preferably can include etching vias so as to allow for the electrical interconnection of portions of subsequently layers.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a PTFE surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle inlet hole in the electrical circuitry layer, (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer which can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a magnetostrictive ink jet print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a shape memory alloy print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilizing standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to said substrate.
  • a method of manufacture of an inkjet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber in the wafer and the electrical circuitry layer, (c) depositing and etching a layer of sacrificial material, filling the nozzle chamber; (d) depositing and etching a layer of shape memory alloy forming a conductive paddle structure over the nozzle chamber attached to the electrical circuitry layer; (e) back etching the semiconductor wafer to the epitaxial layer; (f) etching the epitaxial layer to define a nozzle ejection hole therein interconnecting with the nozzle chamber; (g) etching away the sacrificial layers.
  • the step (b) utilizes the epitaxial layer as an etch stop and can comprise a crystallographic etch.
  • the shape memory alloy can comprise substantially nitinol.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacture of a Coil Actuated Magnetic Plate Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilizing planar monolithic deposition, lithographic and etching processes.
  • the substrate can be a silicon wafer.
  • the print heads are preferably formed utilizing standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an inkjet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a series of slots in at least the circuitry layer to define a nozzle cavity inlet; (c) depositing and etching a first layer of magnetic flux material on the electrical circuitry layer to define a first magnetic plate; (d) depositing and etching a insulating layer on the first layer and the electrical circuitry layer, the etching including etching vias for a subsequent conductive layer; (e) depositing and etching a conductive layer in for form of a conductive coil conductively interconnected to the electrical circuitry layer; (f) depositing and etching a hydrophobic material layer in the region of the conductive coil; (g) depositing and etching a sacrificial material layer in the region of the first
  • the step (g) further can comprise etching cavities defining a series of spring posts and the step (h) preferably can includes forming a series of leaf springs interconnected with the first magnetic plate for resiliently biasing the magnetic plate in a first direction.
  • the conductive layer can comprise substantially copper.
  • the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • the method can further include the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects and the etching of layers preferably can includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
  • the magnetic flux material can comprise substantially a cobalt nickel iron alloy and the wafer can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • the preferred embodiments and other embodiments will be discussed under separate headings with the heading including an IJ number for ease of reference.
  • the headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.
  • Fig. 1 there is illustrated an exploded perspective view illustrating the construction of a single ink jet nozzle 4 in accordance with the principles of the present invention.
  • the nozzle 4 operates on the principle of electro-mechanical energy conversion and comprises a solenoid 11 which is connected electrically at a first end 12 to a magnetic plate 13 which is in turn connected to a current source e.g. 14 utilized to activate the ink nozzle 4.
  • the magnetic plate 13 can be constructed from electrically conductive iron.
  • a second magnetic plunger 15 is also provided, again being constructed from soft magnetic iron. Upon energizing the solenoid 11, the plunger 15 is attracted to the fixed magnetic plate 13. The plunger thereby pushes against the ink within the nozzle 4 creating a high pressure zone in the nozzle chamber 17. This causes a movement of the ink in the nozzle chamber 17 and in a first design, subsequent ejection of an ink drop.
  • a series of apertures e.g. 20 is provided so that ink in the region of solenoid 11 is squirted out of the holes 20 in the top of the plunger 15 as it moves towards lower plate 13. This prevents ink trapped in the area of solenoid 11 from increasing the pressure on the plunger 15 and thereby increasing the magnetic forces needed to move the phmger 15.
  • Fig. 2 there is illustrated 30 a timing diagram of the plunger current control signal.
  • the solenoid current is activated 31 for the movement of the plunger and ejection of a drop from the ink nozzle.
  • the current to the solenoid is turned off.
  • a reverse current is applied having approximately half the magnitude of the forward current.
  • the reverse current 32 causes the plunger to move backwards towards its original position.
  • a series of torsional springs 22, 23 (Fig. 1) also assists in the return of the plunger to its original position.
  • a meniscus at the nozzle tip is formed with an approximately a concave hemispherical surface.
  • the surface tension will exert a net forward force on the ink which will result in nozzle refilling.
  • the repetition rate of the nozzle 4 is therefore principally determined by the nozzle refill time which will be 100micro- seconds, depending on the device geometry, ink surface tension and the volume of the ejected drop.
  • Fig. 3 an important aspect of the operation of the electro-magnetically driven print nozzle will now be described.
  • the plate 15 Upon a current flowing through the coil 11, the plate 15 becomes strongly attracted to the plate 13.
  • the plate 15 experiences a downward force and begins movement towards the plate 13. This movement imparts a momentum to the ink within the nozzle chamber 17.
  • the ink is subsequently ejected as hereinbefore described.
  • the movement of the plate 15 causes a build-up of pressure in the area 64 between the plate 15 and the coil 11. This build-up would normally result in a reduced effectiveness of the plate 15 in ejecting ink.
  • the plate 15 preferably includes a series of apertures e.g. 20 which allow for the flow of ink from the area 64 back into the ink chamber and thereby allow a reduction in the pressure in area 64. This results in an increased effectiveness in the operation of the plate 15.
  • the apertures 20 are of a teardrop shape increasing in diameter with increasing radial distance of the plunger.
  • the aperture profile thereby providing minimal disturbance of the magnetic flux through the plunger while maintaining structural integrity of plunger 15.
  • the current through coil 11 is reversed resulting in a repulsion of the two plates 13, 15. Additionally, the torsional spring e.g. 23 acts to return the plate 15 to its initial position.
  • a torsional spring e.g. 23 has a number of substantial benefits including a compact layout, and the construction of the torsional spring from the same material and same processing steps as that of the plate 15.
  • the top surface of plate 15 does not include a series of apertures. Rather, the inner radial surface 25 of plate 15 comprises slots of substantially constant cross-sectional profile in fluid communication between the nozzle chamber 17 and the area 64 between plate 15 and the solenoid 11.
  • the plate 15 Upon activation of the coil 11, the plate 15 is attracted to the armature plate 13 and experiences a force directed towards plate 13.
  • fluid in the area 64 is compressed and experiences a higher pressure than its surrounds.
  • the flow of fluid takes place out of the slots in the inner radial surface 25 plate 15 into the nozzle chamber 17.
  • the flow of fluid into chamber 17, in addition to the movement of the plate 15, causes the ejection of ink out of the ink nozzle port 24.
  • the movement of the plate 15 causes the torsional springs, for example 23, to be resiliently deformed.
  • the coil 11 is deactivated and a slight reverse current is applied.
  • the reverse current acts to repel the plate 15 from the armature plate 13.
  • the torsional springs, for example 23, act as additional means to return the plate 15 to its initial or quiescent position.
  • the nozzle apparatus is constructed from the following main parts including a nozzle tip 40 having an aperture 24 which can be constructed from boron doped silicon.
  • the radius of the aperture 24 of the nozzle tip is an important determinant of drop velocity and drop size.
  • CMOS silicon layer 42 is provided upon which is fabricated all the data storage and driving circuitry 41 necessary for the operation of the nozzle 4.
  • a nozzle chamber 17 is also constructed.
  • the nozzle chamber 17 should be wide enough so that viscous drag from the chamber walls does not significantly increase the force required of the plunger. It should also be deep enough so that any air ingested through the nozzle port 24 when the plunger returns to its quiescent state does not extend to the plunger device. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface resulting in the nozzle not refilling properly.
  • a CMOS dielectric and insulating layer containing various current paths parts for the current connection to the plunger device is also provided 44.
  • a fixed plate of ferroelectric material having two parts 13, 46.
  • the two parts 13, 46 are electrically insulated from one another.
  • a solenoid 11 is provided.
  • This can comprise a spiral coil of deposited copper.
  • Preferably a single spiral layer is utilized to avoid fabrication difficulty and copper is used for a low resistivity and high electro-migration resistance.
  • a plunger 15 of ferromagnetic material is provided to maximize the magnetic force generated.
  • the plunger 15 and fixed magnetic plate 13, 46 surround the solenoid 11 as a torus. Thus, little magnetic flux is lost and the flux is concentrated around the gap between the plunger 15 and the fix plate 13, 46.
  • the gap between the fixed plate 13,46 and the plunger 15 is one of the most important "parts" of the print nozzle 4.
  • the size of the gap will strongly affect the magnetic force generated, and also limits the travel of the plunger 15.
  • a small gap is desirable to achieve a strong magnetic force, but a large gap is desirable to allow longer plunger 15 to travel, and therefore allow smaller plunger radius to be utilized.
  • the springs, e.g. 22, 23 for returning to the plunger 15 to its quiescent position after a drop has been ejected are provided.
  • the springs, e.g. 22, 23 can be fabricated from the same material, and in the same processing steps, as the plunger 15.
  • the springs, e.g. 22, 23 act as torsional springs in their interaction with the plunger 15.
  • passivation layers which may be silicon nitride (Si 3 N 4 ), diamond like carbon (DLC), or other chemically inert, highly impermeable layer.
  • the passivation layers are especially important for device lifetime, as the active device will be immersed in the ink.
  • an ink jet print head is made up of a plurality of nozzle chambers each having an ink ejection port. Ink is ejected from the ink ejection port through the utilisation of attraction between two parallel plates.
  • the nozzle arrangement 110 includes a nozzle chamber 111 in which is stored ink to be ejected out of an ink ejection port 112.
  • the nozzle arrangement 110 can be constructed on the top of a silicon wafer utilising micro electro-mechanical systems construction techniques as will become more apparent hereinafter.
  • the top of the nozzle plate also includes a series of regular spaced etchant holes, e.g. 113 which are provided for efficient sacrificial etching of lower layers of the nozzle arrangement 110 during construction.
  • the size of the etchant holes 113 is small enough that surface tension characteristics prohibit ejection from the holes 113 during operation.
  • Ink is supplied to the nozzle chamber 111 via an ink supply channel, e.g. 115.
  • FIG. 23 there is illustrated a cross-sectional view of one side of the nozzle arrangement 110.
  • a nozzle arrangement 110 is constructed on a silicon wafer base 117 on top of which is first constructed a standard CMOS two level metal layer 118 which includes the required drive and control circuitry for each nozzle arrangement
  • the layer 118 which includes two levels of aluminium, includes one level of aluminium 119 being utilised as a bottom electrode plate. Other portions of this layer 120 can comprise nitride passivation.
  • PTFE polytetrafluoroethylene
  • an air gap 127 is provided between the top and bottom layers.
  • a further PTFE layer 128 which forms part of the top plate 122.
  • the two PTFE layers 121, 128 are provided so as to reduce possible stiction effects between the upper and lower plates.
  • a top aluminium electrode layer 130 is provided followed by a nitride layer (not shown) which provides structural integrity to the top electro plate.
  • the layers 128 - 130 are fabricated so as to include a corrugated portion 123 which concertinas upon movement of the top plate 122.
  • the top plate 122 By placing a potential difference across the two aluminum layers 119 and 130, the top plate 122 is attracted to bottom aluminum layer 119 thereby resulting in a movement of the top plate 122 towards the bottom plate 119.
  • the potential across the plates is eliminated thereby causing the concertinaed spring portion 123 to rapidly return the plate 122 to its rest position.
  • the rapid movement of the plate 122 causes the consequential ejection of ink from the nozzle chamber via the ink ejection port 112 (Fig. 22). Additionally, air flows in via air gap 133 underneath the plate 122.
  • the ink jet nozzles of an embodiment can be formed from utilisation of semi-conductor fabrication and MEMS techniques.
  • Fig. 24 there is illustrated an exploded perspective view of the various layers in the final construction of a nozzle arrangement 110.
  • the silicon wafer 117 upon which all other processing steps take place.
  • the CMOS circuitry layers 118 which primarily comprises glass.
  • a nitride passivation layer 120 which is primarily utilized to passivate and protect the lower glass layer from any sacrificial process that may be utilized in the building up of subsequent layers.
  • the aluminum layer 119 which, in the alternative, can form part of the lower CMOS glass layer 118.
  • This layer 119 forms the bottom plate.
  • two PTFE layers 126, 128 are provided between which is laid down a sacrificial layer, such as glass, which is subsequently etched away so as to release the plate 122 (Fig. 23).
  • a sacrificial layer such as glass
  • the aluminum layer 130 On top of the PTFE layer 128 is laid down the aluminum layer 130 and a subsequent thicker nitride layer (not shown) which provides structural support to the top electrode stopping it from sagging or deforming. After this comes the top nitride nozzle chamber layer 135 which forms the rest of the nozzle chamber and ink supply channel.
  • the layer 135 can be formed from the depositing and etching of a sacrificial layer and then depositing the nitride layer, etching the nozzle and etchant holes utilizing an appropriate mask before etching away the sacrificial material.
  • print heads can be formed from large arrays of nozzle arrangements 110 on a single wafer which is subsequently diced into separate print heads.
  • Ink supply can be either from the side of the wafer or through the wafer utilizing deep anisotropic etching systems such as high density low pressure plasma etching systems available from surface technology systems.
  • the corrugated portion 123 can be formed through the utilisation of a half tone mask process.
  • a stacked capacitive actuator which has alternative electrode layers sandwiched between a compressible polymer.
  • the plates are drawn together compressing the polymer thereby storing energy in the compressed polymer.
  • the capacitor is then deactivated or drained with the result that the compressed polymer acts to return the actuator to its original position and thereby causes the ejection of ink from and ink ejection port.
  • the nozzle arrangement 310 includes an ink ejection portal 311 for the ejection of ink on demand.
  • the ink is ejected from a nozzle chamber 312 by means of a stacked capacitor-type device 313.
  • the stacked capacitor device 313 consists of capacitive plates sandwiched between a compressible polymer. Upon charging of the capacitive plates, the polymer is compressed thereby resulting in a general "accordion" or “concertinaing" of the actuator 313 so that it's top surface moves away from the ink ejection portal 311. The compression of the polymer sandwich stores energy in the compressed polymer.
  • the capacitors are subsequently rapidly discharged resulting in the energy in the compressed polymer being released upon the polymer's return to quiescent position.
  • the return of the actuator to it's quiescent position results in the ejection of ink from the nozzle chamber 312.
  • the process is illustrated schematically in Fig. 38 to Fig. 41, with Fig. 38 illustrating the nozzle chamber 310 in ifs quiescent or idle state, having an ink meniscus 314 around the nozzle ejection portal 311.
  • the electrostatic actuator 313 is activated resulting in its contraction as indicated in Fig. 39.
  • the contraction results in the meniscus 314 changing shape as indicated with the resulting surface tension effects resulting in the drawing in of ink around the meniscus and consequently ink 316 flows into nozzle chamber 312.
  • the meniscus 314 After sufficient time, the meniscus 314 returns to its quiescent position with the capacitor 313 being loaded ready for firing (Fig. 40).
  • the capacitor plates 313 are then rapidly discharged resulting, as illustrated in Fig. 41, in the rapid return of the actuator 313 to it's original position.
  • the rapid return imparts a momentum to the ink within the nozzle chamber 312 so as to cause the expansion of the ink meniscus 314 and the subsequent ejection of ink from the nozzle chamber 312.
  • the actuator 313 consists of a series of interleaved plates 320, 321 between which is sandwiched a compressive material 322, for example styrene-ethylene-butylene-styrene block co-polymer.
  • a compressive material 322 for example styrene-ethylene-butylene-styrene block co-polymer.
  • One group of electrodes, e.g. 320, 323, 325 jut out at one side of the stacked capacitor layout.
  • a second series of electrodes, e.g. 321, 324 jut out a second side of the capacitive actuator.
  • the electrodes are connected at one side to a first conductive material 327 and the other series of electrodes, e.g. 321, 324 are connected to second conductive material 328 (Fig. 37).
  • the two conductive materials 327, 328 are electrically isolated from one another and are in turn interconnected to lower signal and drive layers as will become more readily apparent here and
  • the stacked capacitor device 313 consists of other thin film materials in place of the example styrene-ethylene-butylene-styrene block co-polymer.
  • Such materials may include:
  • the electrode actuator 313 can be rapidly constructed utilizing chemical vapor deposition (CVD) techniques.
  • the various layers, 320, 321, 322 can be layed down on a planer wafer one after another covering the whole surface of the wafer.
  • a stack can be built up rapidly utilizing CVD techniques.
  • the two sets of electrodes are preferably deposited utilizing separate metals. For example, aluminum and tantalum could be utilized as materials for the metal layers.
  • the utilisation of different metal layers allows for selective etching utilizing a mask layer so as to form the structure as indicated in Fig. 42.
  • the CVD sandwich can be first layed down and then a series of selective etchings utilizing appropriate masks can be utilized to produced the overall stacked capacitor structure.
  • the utilisation of the CVD process substantially enhances the efficiency of production of the stacked capacitor devices.
  • Fig. 43 there is shown an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment
  • the ink jet nozzle arrangement 310 is constructed on a standard silicon wafer 330 on top of which is constructed data drive circuitry which can be constructed in the usual manner such as a two-level metal CMOS layer 331.
  • CMOS layer 331 On top of the CMOS layer 331 is constructed a nitride passivation layer 332 which provides passivation protection for the lower layers during operation an also should an etchant be utilized which would normally dissolve the lower layers.
  • the various layers of the stacked device 313, for example 320, 321, 322, can be layed down utilizing CVD techniques.
  • the stacked device 313 is constructed utilizing the aforementioned production steps including utilizing appropriate masks for selective etchings to produce the overall stacked capacitor structure. Further, interconnection can be provided between the electrodes 327, 328 and the circuitry in the CMOS layer 331.
  • a nitride layer 333 is provided so as to form the walls of the nozzle chamber, e.g. 334, and posts, e.g. 335, in one open wall 336 of the nozzle chamber.
  • the surface layer 337 of the layer 333 can be deposited onto a sacrificial material. The sacrificial material is subsequently etched so as to form the nozzle chamber 312 (Fig. 37).
  • the top layer 337 includes etchant holes, e.g. 338, so as to speed up the etching process in addition to the ink ejection portal 311.
  • the diameter of the etchant holes, e.g. 338, is significantly smaller than that of the ink ejection portal 311.
  • an additional nitride layer may be provided on top of the layer 320 to protect the stacked device 313 during the etching of the sacrificial material to form the nozzle chamber 312 (Fig. 37) and during operation of the inkjet nozzle.
  • An embodiment of the present invention relies upon the utilisation of a magnetic actuator to "load" a spring, such that, upon deactivation of the magnetic actuator the resultant movement of the spring causes ejection of a drop of ink as the spring returns to its original position.
  • FIG. 59 there is illustrated an exploded perspective view of an ink nozzle arrangement 401 constructed in accordance with an embodiment. It would be understood that an embodiment can be constructed as an array of nozzle arrangements 401 so as to together form a line for printing.
  • the operation of the ink nozzle arrangement 401 of Fig. 59 proceeds by a solenoid 402 being energized by way of a driving circuit 403 when it is desired to print out a ink drop.
  • the energized solenoid 402 induces a magnetic field in a fixed soft magnetic pole 404 and a moveable soft magnetic pole 405.
  • the solenoid power is turned on to a maximum current for long enough to move the moveable pole 405 from its rest position to a stopped position close to the fixed magnetic pole 404.
  • the ink nozzle arrangement 401 of Fig. 59 sits within an ink chamber filled with ink. Therefore, holes 406 are provided in the moveable soft magnetic pole 405 for "squirting" out of ink from around the coil 402 when the plate 405 undergoes movement.
  • the moveable soft magnetic pole is balanced by a fulcrum 408 with a piston head 409. Movement of the magnetic pole 405 closer to the stationary pole 404 causes the piston head 409 to move away from a nozzle chamber 411 drawing air into the chamber 411 via an ink ejection port 413. The piston 409 is then held open above the nozzle chamber 411 by means of maintaining a low "keeper" current through solenoid 402.
  • the keeper level current through solenoid 402 being sufficient to maintain the moveable pole 405 against the fixed soft magnetic pole 404.
  • the level of current will be substantially less than the maximum current level because the gap between the two poles 404 and 405 is at a minimum. For example, a keeper level current of 10% of the maximum current level may be suitable.
  • the meniscus of ink at the nozzle tip or ink ejection port 413 is a concave hemisphere due to the in flow of air.
  • the surface tension on the meniscus exerts a net force on the ink which results in ink flow from the ink chamber into the nozzle chamber 411. This results in the nozzle chamber refilling, replacing the volume taken up by the piston head 409 which has been withdrawn. This process takes approximately 100 ⁇ s.
  • solenoid 402 The current within solenoid 402 is then reversed to half that of the maximum current.
  • the reversal demagnetizes the magnetic poles and initiates a return of the piston 409 to its rest position.
  • the piston 409 is moved to its normal rest position by both the magnetic repulsion and by the energy stored in a stressed torsional spring 416,419 which was put in a state of torsion upon the movement of moveable pole 405.
  • the solenoid reverse current is turned off.
  • the current is turned off when the residual magnetism of the movable pole is at a minimum.
  • the piston 409 continues to move towards its original rest position.
  • the piston 409 will overshoot the quiescent or rest position due to its inertia. Overshoot in the piston movement achieves two things: greater ejected drop volume and velocity, and improved drop break off as the piston returns from overshoot to its quiescent position.
  • the piston 409 will eventually return from overshoot to the quiescent position. This return is caused by the springs 416, 419 which are now stressed in the opposite direction.
  • the piston return "sucks” some of the ink back into the nozzle chamber 411, causing the ink ligament connecting the ink drop to the ink in the nozzle chamber 411 to thin.
  • the forward velocity of the drop and the backward velocity of the ink in the nozzle chamber 411 are resolved by the ink drop breaking off from the ink in the nozzle chamber 411.
  • the piston 409 stays in the quiescent position until the next drop ejection cycle.
  • a liquid ink print head has one ink nozzle arrangement 401 associated with each of the multitude of nozzles.
  • the arrangement 401 has the following major parts:
  • the force produced by a moveable magnetic pole by an electromagnetic induced field is approximately proportional to the inverse square of the gap between the moveable 405 and static magnetic poles 404.
  • this gap is at a maximum.
  • the solenoid 402 is turned on, the moving pole 405 is attracted to the static pole 404.
  • the force increases, accelerating the movable pole 405 faster.
  • the velocity increases in a highly non-linear fashion, approximately with the square of time.
  • the acceleration of the moving pole 405 is greatest at the beginning and then slows as the spring elastic stress falls to zero. As a result, the velocity of the moving pole 405 is more uniform during the reverse stroke movement.
  • the print head is fabricated from two silicon wafers.
  • a first wafer is used to fabricate the print nozzles (the print head wafer) and a second wafer (the Ink Channel Wafer) is utilized to fabricate the various ink channels in addition to providing a support means for the first channel.
  • the fabrication process then proceeds as follows:
  • Fig. 60 shows a perspective view, in part in section, of a single ink jet nozzle arrangement 401 constructed in accordance with an embodiment.
  • the ink nozzle unit 510 includes an ink ejection nozzle 511 for the ejection of ink which resides in a nozzle chamber 513.
  • the ink is ejected from the nozzle chamber 513 by means of movement of paddle 515.
  • the paddle 515 operates in a magnetic field 516 which runs along the plane of the paddle 515.
  • the paddle 515 includes at least one solenoid coil 517 which operates under the control of nozzle activation signal.
  • the paddle 515 operates in accordance with the well known principal of the force experienced by a moving electric charge in a magnetic field.
  • the solenoid coil 517 is activated.
  • one end of the paddle will experience a downward force 519 while the other end of the paddle will experience an upward force 520.
  • the downward force 519 results in a corresponding movement of the paddle and the resultant ejection of ink.
  • the paddle 515 can comprise multiple layers of solenoid wires with the solenoid wires, e.g. 521, forming a complete circuit having the current flow in a counter clockwise direction around a center of the paddle 515.
  • a torsional spring 522 is to be preferred it is envisaged that other forms of springs may be possible such as a leaf spring or the like.
  • the nozzle chamber 513 refills due to the surface tension of the ink at the ejection nozzle 511 after the ejection of ink.
  • MEMS micro-electro mechanical system
  • two wafers are utilized. Upon which the active circuitry and inkjet print nozzles are fabricated and a further wafer in which the ink channels are fabricated.
  • FIG. 81 there is illustrated an exploded perspective view of a single ink jet nozzle constructed in accordance with an embodiment.
  • Construction begins which a silicon wafer 540 upon which has been fabricated and epitaxial boron doped layer 541 and an epitaxial silicon layer 542.
  • the boron layer is doped to a concentration of preferably 10 20 /cm 3 of boron or more and is approximately 2 microns thick.
  • the silicon epitaxial layer is constructed to be approximately 8 microns thick and is doped in a manner suitable for the active semi conductor device technology.
  • the drive transistors and distribution circuitry are constructed in accordance with the fabrication process chosen resulting in a CMOS logic and drive transistor level 543.
  • a silicon nitride layer 544 is then deposited.
  • the paddle metal layers are constructed utilizing a damascene process which is a well known process utilizing chemical mechanical polishing techniques (CMP) well known for utilization as a multi-level metal application.
  • CMP chemical mechanical polishing techniques
  • the solenoid coils in paddle 515 can be constructed from a double layer which for a first layer 545, is produced utilizing a single damascene process.
  • a second layer 546 is deposited utilizing this time a dual damascene process.
  • the copper layers 545, 546 include contact posts 547, 548, for interconnection of the electromagnetic coil to the CMOS 543 through vias in the silicon nitride layer 544 (not shown).
  • the metal post portion also includes a via interconnecting it with the lower copper level.
  • the damascene process is finished with a planarised glass layer. The glass layers produced during utilisation of the damascene processes utilized for the deposition of layers 545, 546, are shown as one layer 575 in Fig. 81.
  • the paddle is formed and separated from the adjacent glass layer by means of a plasma edge as the edge being down to the position of stop player 580. Further, the nozzle chamber 513 underneath the panel is removed by means of a silicon anisotropic wet edge which will edge down to the boron layer 541. A passivation layer is then applied.
  • the passivation layer can comprise a conformable diamond like carbon layer or a high density Si 3 N 4 coating, this coating provides a protective layer for the paddle and its surrounds as the paddle must exist in the highly corrosive environment water and ink.
  • the silicon wafer can be back-edged through the boron doped layer and the ejection port 511 and an ejection port rim 550 (Fig. 79) can also be formed utilizing etching procedures.
  • Fig. 94 there is illustrated 601 a perspective view in section of a single nozzle constructed in accordance with the techniques of an embodiment.
  • Each nozzle 601 includes a nozzle outlet port 602 for the ejection of ink from a nozzle chamber 604 as a result of activation of an electromagnetic piston 605.
  • the electromagnetic piston 605 is activated via solenoid coil 606 which circles the piston 605.
  • solenoid coil 606 which circles the piston 605.
  • the piston 605 experiences a force in the direction as indicated 613 hence.
  • the piston 605 begins movement towards outlet port 602 and thereby imparts momentum to ink within the nozzle chamber 604.
  • the current to the coil 606 is turned off.
  • the torsional springs, e.g. 608, act to return the piston 605 to its rest position as initially shown in Fig. 94. Subsequently, surface tension forces cause the chamber 604 to refill with ink and to return ready for "re-firing".
  • a liquid ink jet print head 601 has one actuator device associated with each of a multitude of nozzles. It will be evident that the actuator 601 has the following major parts, which are constructed using standard semi-conductor and micromechanical construction techniques:
  • the print head is fabricated from two silicon wafers.
  • a first wafer is used to fabricate the print nozzles (the print head wafer) and a second wafer is utilized to fabricate the various ink channels in addition to providing a support means for the first channel (the Ink Channel Wafer).
  • Fig. 95 is an exploded perspective view illustrating the construction of a single ink jet nozzle 601 on a print head wafer. The fabrication process proceeds as follows:
  • a single silicon wafer which has a buried epitaxial layer 621 of silicon which is heavily doped with boron.
  • the boron should be doped to preferably 10 20 atoms per cm 3 of boron or more, and be approximately 3 micron thick.
  • a lightly doped silicon epitaxial layer 622 on top of the boron doped layer 621 should be approximately 8 micron thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is the starting point for the print head wafer.
  • the wafer diameter should be the same as that of the ink channel wafer.
  • CMOS layer 618 a standard CMOS layer 618 up until oxide over the first level metal.
  • CMOS layer 618 On top of the CMOS layer 618 is deposited a silicon nitride passivation layer 625.
  • a silicon oxide layer 627 is deposited.
  • the silicon oxide layer 627 is etched utilizing a mask for the copper coil layer.
  • the copper layer 630 is deposited through the mask for the copper coil.
  • the layers 627, 625 also include vias for the interconnection of the copper coil layer 630 to the underlying CMOS 618 (not shown).
  • the nozzle chamber 604 (Fig. 94) is etched.
  • a sacrificial material is deposited to entirely fill the etched volume (not shown).
  • a silicon nitride layer 631 is deposited, including site portions 632.
  • the magnetic material layer 633 is deposited utilizing the magnetic piston mask. This layer also includes the posts, e.g. 634.
  • a final silicon nitride layer 635 is then deposited onto an additional sacrificial layer (not shown) deposited to cover the bare portions of nitride layer 631 to the height of the magnetic material layer 633, utilizing a mask for the magnetic piston and the torsional springs, e.g. 608.
  • the torsional springs, e.g. 608, and the magnetic piston 605 are liberated by etching the aforementioned sacrificial material.
  • MEMS micro-electro mechanical system
  • a shutter is actuated by means of a magnetic coil, the coil being utilized to move an attached shutter to thereby cause the shutter to open or close.
  • the shutter is disposed between an ink reservoir having an oscillating ink pressure and a nozzle chamber having an ink ejection port defined therein for the ejection of ink.
  • ink is allowed to flow from the ink reservoir through to the nozzle chamber and thereby cause an ejection of ink from the ink ejection port.
  • the shutter is closed, the nozzle chamber remains in a stable state such that no ink is ejected from the chamber.
  • FIG. 112 there is illustrated a single ink jet nozzle arrangement 710 in a closed position.
  • the arrangement 710 includes a series of shutters 711 which are located above corresponding apertures to a nozzle chamber.
  • the ink jet nozzle 710 is illustrated in an open position which also illustrates the apertures 712 providing a fluid interconnection to a nozzle chamber 713 and an ink ejection port 714.
  • the shutters e.g. 711 are interconnected together and further connected to an arm 716 which is pivotally mounted about a pivot point 717 about which the shutters e.g. 711 rotate.
  • the shutter 711 and arm 716 are constructed from nickel iron (NiFe) so as to be magnetically attracted to an electromagnetic device 719.
  • the electromagnetic device 719 comprises a NiFe core 720 around which is constructed a copper coil 721.
  • the copper coil 721 is connected to a lower drive layer via vias 723,724.
  • the coil 719 is activated by sending a current through the coil 721 which results in its magnification and corresponding attraction in the areas 726,727.
  • the high levels of attraction are due to its close proximity to the ends of the electromagnet 719. This results in a general rotation of the surfaces 726,727 around the pivot point 717 which in turn results in a corresponding rotation of the shutter from a closed to an open position.
  • a number of coiled springs 730-732 are also provided.
  • the coiled springs store energy as a consequence of the rotation of the shutter 711.
  • the coil springs 730-732 act to return the shutter to its closed position.
  • the opening and closing of the shutter 711 allows for the flow of ink to the ink nozzle chamber for a subsequent ejection.
  • the coil 719 is activated rotating the ann 716 bringing the surfaces 726,727 into close contact with the electromagnet 719.
  • the surfaces 726,727 are kept in contact with the electromagnet 719 by means of utilisation of a keeper current which, due the close proximity between the surfaces is substantially less than that required to initially move the arm 716.
  • the shutter 711 is maintained in the plane by means of a guide 734 which overlaps slightly with an end portion of the shutter 711.
  • a nozzle arrangement 710 in accordance with an embodiment.
  • the bottom level consists of a boron doped silicon layer 740 which can be formed from constructing a buried epitaxial layer within a selected wafer and then back etching utilising the boron doped layer as an etch stop. Subsequently, there is provided a silicon layer 741 which includes a crystallographically etched pit forming the nozzle chamber 713. On top of the silicon layer 741 there is constructed a 2 micron silicon dioxide layer 742 which includes the nozzle chamber pit opening whose side walls are passivated by a subsequent nitride layer.
  • nitride layer 744 On top of the silicon dioxide layer 742 is constructed a nitride layer 744 which provides passivation of the lower silicon dioxide layer and also provides a base on which to construct the electromagnetic portions and the shutter.
  • the nitride layer 744 and lower silicon dioxide layer having suitable vias for the interconnection to the ends of the electromagnetic circuit for the purposes of supplying power on demand to the electromagnetic circuit.
  • a copper layer 745 is provided.
  • the copper layer providing a base wiring layer for the electromagnetic array in addition to a lower portion of the pivot 717 and a lower portion of the copper layer being utilised to form a part of the construction of the guide 734.
  • a NiFe layer 747 is provided which is utilized for the formation of the internal portions 720 of the electromagnet, in addition to the pivot, aperture arm and shutter 711 in addition to a portion of the guide 734, in addition to the various spiral springs.
  • a copper layer 749 for providing the top and side windings of the coil 721 in addition to providing the formation of the top portion of guide 734.
  • Each of the layers 745,747 can be conductively insulated from its surroundings where required through the utilisation of a nitride passivation layer (not shown). Further, a top passivation layer can be provided to cover the various top layers which will be exposed to the ink within the ink reservoir and nozzle chamber.
  • the various levels 745,749 can be formed through the utilisation of supporting sacrificial structures which are subsequently sacrificially etched away to leave the operable device.
  • an array of ink jet nozzles is provided with each of the nozzles being under the influence of a outside pulsed magnetic field.
  • the outside pulsed magnetic field causes selected nozzles to eject ink from their ink nozzle chambers.
  • Fig. 138 and Fig. 139 there is illustrated a side perspective view, partly in section, of a single ink jet nozzle 910.
  • Fig. 138 illustrates a nozzle in a quiescent position
  • Fig. 139 illustrates a nozzle in an ink ejection position.
  • the ink jet nozzle 910 has an ink ejection port 911 for the ejection of ink on demand.
  • the ink jet ejection port 911 is connected to an ink nozzle chamber 912 which is usually filled with ink and supplied from an ink reservoir 913 via holes eg. 915.
  • a magnetic actuation device 925 is included and comprises a magnetic soft core 917 which is surrounded by a nitride coating eg. 918.
  • the nitride coating includes an end protuberance 927.
  • the magnetic core 917 operates under the influence of an external pulsed magnetic field. Hence, when the external magnetic field is very high, the actuator 925 is caused to move rapidly downwards and to thereby cause the ejection of ink from the ink ejection port 911.
  • Adjacent the actuator 920 is provided a locking mechanism 920 which comprises a thermal actuator which includes a copper resistive circuit having two arms 922, 924. A current is passed through the connected arms 922, 924 thereby causing them to be heated.
  • the arm 922 being of a thinner construction undergoes more resistive heating than the arm 924 which has a much thicker structure.
  • the arm 922 is also of a serpentine nature and is encased in polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion, thereby increasing the degree of expansion upon heating.
  • PTFE polytetrafluoroethylene
  • the copper portions expand with the PTFE portions by means of concertinaing.
  • the arm 924 has a thinned portion 929 (Fig. 140) which becomes the concentrated bending region in the resolution of the various forces activated upon heating. Hence, any bending of arm 924 is accentuated in the region 929 and upon heating, the region 929 bends so that end portion 926 (Fig. 138) moves out to block any downward movement of the edge 927 of the actuator 925.
  • the locking mechanism 920 when it is desired to eject an ink drop from a current nozzle chamber, the locking mechanism 920 is not activated and as a result ink is ejected from the ink ejection port during the next external magnetic pulse phase.
  • the locking mechanism 920 is activated to block any movement of the actuator 925 and therefore stop the ejection of ink from the chamber.
  • the actuator 920 is located within a cavity 928 such that the volume of ink flowing past arm 922 is extremely low whereas the arm 924 receives a much larger volume of ink flow during operation.
  • Fig. 140 there is illustrated an exploded perspective view of a single ink jet nozzle 910 illustrating the various layers which make up the nozzle.
  • the nozzle 910 can be constructed on a semiconductor wafer utilizing standard semiconductor processing techniques in addition to those techniques commonly used for the construction of micro-electromechanical systems (MEMS).
  • MEMS micro-electromechanical systems
  • SPIE International Society for Optical Engineering
  • volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
  • the bottom level 930 is constructed the nozzle plate including the ink ejection port 911.
  • the nozzle plate 930 can be constructed from a buried boron doped epitaxial layer of a silicon wafer which has been back etched to the point of the epitaxial layer. The epitaxial layer itself is then etched utilizing a mask so as to form the nozzle rim (not shown) and the nozzle hole 911.
  • the silicon wafer layer 932 which is etched so as to include the nozzle chamber 912.
  • the silicon layer 932 can be etched to contain substantially vertical side walls through the utilisation of high density, low pressure plasma etching such as that available from Surface Technology Systems and subsequently filled with sacrificial material which will be later etched away.
  • CMOS circuitry layer 933 On top of the silicon layer is deposited a two level CMOS circuitry layer 933 which comprises substantially glass in addition to the usual metal and poly layers.
  • the layer 933 includes the formation of the heater element contacts which can be constructed from copper.
  • the PTFE layer 935 can be provided as a departure from normal construction with a bottom PTFE layer being first deposited followed by the copper layer 934 and a second PTFE layer to cover the copper layer 934.
  • a nitride passivation layer 936 which acts to provide a passivation surface for the lower layers in addition to providing a base for a soft magnetic Nickel Ferrous layer 917 which forms the magnetic actuator portion of the actuator 925.
  • the nitride layer 936 includes bending portions 940 utilized in the bending of the actuator.
  • a nitride passivation layer 939 is provided so as to passivate the top and side surfaces of the nickel iron (NiFe) layer 917.
  • an ink jet nozzle and chamber filled with ink there is provided an ink jet nozzle and chamber filled with ink.
  • a static coil and a moveable coil When energized, the static and movable coils are attracted towards one another, loading a spring. The ink drop is ejected from the nozzle when the coils are de-energized.
  • Fig. 157 to Fig. 160 there is illustrated schematically the operation of an embodiment
  • Fig. 157 there is shown a single inkjet nozzle chamber 1010 having an ink ejection port 1011 and ink meniscus in this position 1012. Inside the nozzle chamber 1010 are located a fixed or static coil 1014 and a moveable coil 1015.
  • the arrangement of Fig. 157 illustrates the quiescent state in the ink jet nozzle chamber.
  • the two coils are then energised resulting in an attraction to one another. This results in the movable plate 1015 moving towards the static or fixed plate 1014 as illustrated in Fig. 158. As a result of the movement, springs 1018,1019 are loaded. Additionally, the movement of coil 1015 may cause ink to flow out of the chamber 1010 in addition to a change in the shape of the meniscus 1012.
  • the coils are energised for long enough for the moving coil 1015 to reach its position (approximate two microseconds). The coil currents are then turned to a lower "level" while the nozzle fills.
  • the keeper power can be substantially less than the maximum current level utilised to move the plate 1015 because the magnetic gap between the plates 1014 and 1015 is at a minimum when the moving coil 1015 is at its stop position.
  • the surface tension on the meniscus 1012 inserts a net force on the ink which results in nozzle refilling as illustrated in Fig. 159.
  • the nozzle refilling replaces the volume of the piston withdrawal with ink in a process which should take approximately 100 microseconds.
  • the coil current is then turned off and the moveable coil 1015 acts as a plunger which is accelerated to its normal position by the springs 1018, 1019 as illustrated in Fig. 160.
  • the spring force on the plunger coil 1015 will be greatest at the beginning of its stroke and slows as the spring elastic stress falls to zero.
  • the acceleration of plunger plate 1015 is high at the beginning of the stroke but decreases during the stroke resulting in a more uniform ink velocity during the stroke.
  • the movement plate 1015 causes the meniscus to bulge and break off performing ink drop 1020.
  • the plunger coil 1015 in turn settles in its quiescent position until the next drop ejection cycle.
  • Fig. 161 there is illustrated a perspective view of one form of construction of an ink jet nozzle 1010.
  • the ink jet nozzle 1010 can be constructed on a silicon wafer base 1022 as part of a large array of nozzles 1010 which can be formed for the purposes of providing a print head having a certain dpi, for example, a 1600 dpi print head.
  • the print head 1010 can be constructed utilizing advanced silicon semi-conductor fabrication and micro machining and micro fabrication process technology.
  • the wafer is first processed to include lower level drive circuitry (not shown) before being finished off with a two microns thick dioxide layer 1022 with appropriate vias for interconnection.
  • the CMOS layer can include one level of metal for providing basic interconnects.
  • a nitride layer 1023 On top of the glass layer 1022 is constructed a nitride layer 1023 in which is embedded two coil layers 1025 and 1026.
  • the coil layers 1025, 1026 can be embedded within the nitride layer 1023 through the utilisation of the well-known dual damascene process and chemical mechanical planarization techniques ("Chemical Mechanical Planarisation of Micro Electronic Materials” by Sterger Wald et al published 1997 by John Wiley and Sons Inc., New York, New York).
  • the two coils 1025,1026 are interconnected utilizing a fire at their central point and are further connected, by appropriate vias at ends 1028,1029 to the end points 1028,1029.
  • the moveable coil can be formed from two copper coils 1031,1032 which are encased within a further nitride layer 1033.
  • the copper coil 1031,1032 and nitride layer 1033 also include torsional springs 1036-1039 which are formed so that the top moveable coil has a stable state away from the bottom fixed coil.
  • the top copper coils 1031,1032 are attracted to the bottom copper coils 1025,1026 thereby resulting in a loading being placed on the torsional springs 1036-1039 such that, when the current is turned off, the springs 1036-1039 act to move the top moveable coil to its original position.
  • the nozzle chamber can be formed via nitride wall portions e.g. 1040,1041 having slots between adjacent wall portions. The slots allow for the flow of ink into the chamber as required.
  • a top nitride plate 1044 is provided to cap the top of the internals of 1010 and to provide in flow channel support.
  • the nozzle plate 1044 includes a series of holes 1045 provided to assist in sacrificial etching of lower level layers.
  • the ink injection nozzle 1011 having a ridge around its side so as to assist in resisting any in flow on to the outside surface of the nozzle 1010.
  • the etched through holes 1045 are of much smaller diameter than the nozzle hole 1011 and, as such, surface tension will act to retain the ink within the through holes of 1045 whilst simultaneously the injection of ink from nozzle 1011.
  • the various layers of the nozzle 1010 can be constructed in accordance with standard semi-conductor and micro mechanical techniques. These techniques utilize the dual damascene process as mentioned earlier in addition to the utilisation of sacrificial etch layers to provide support for structures which are later released by means of etching the sacrificial layer.
  • the ink can be supplied within the nozzle 1010 by standard techniques such as providing ink channels along the side of the wafer so as to allow the flow of ink into the area under the surface of nozzle plate 1044.
  • ink channel portals can be provided through the wafer via means of utilisation of a high density low pressure plasma etch processing system such as that available from surface technology system and known as their Advanced Silicon Edge (ASE) process.
  • ASE Advanced Silicon Edge
  • the etched portals 1045 being so small that surface tension affects not allow the ink to leak out of the small portal holes.
  • Fig. 162 there is shown a final assembled ink jet nozzle ready for the ejection of ink.
  • a linear stepper motor is utilized to control a plunger device.
  • the plunger device compressing ink within a nozzle chamber so as to thereby cause the ejection of ink from the chamber on demand.
  • the nozzle arrangement 1110 includes a nozzle chamber 1111 into which ink flows via a nozzle chamber filter portion 1114 which includes a series of posts which filter out foreign bodies in the ink in flow.
  • the nozzle chamber 1111 includes an ink ejection port 1115 for the ejection of ink on demand. Normally, the nozzle chamber 1111 is filled with ink.
  • a linear actuator 1116 is provided for rapidly compressing a nickel ferrous plunger 1118 into the nozzle chamber 1111 so as to compress the volume of ink within chamber 1111 to thereby cause ejection of drops from the ink ejection port 1115.
  • the plunger 1118 is connected to the stepper moving pole device 1116 which is actuated by means of a three phase arrangement of electromagnets 1120 to 1131.
  • the electromagnets are driven in three phases with electro magnets 1120, 1126, 1123 and 1129 being driven in a first phase, electromagnets 1121, 1127, 1124, 1130 being driven in a second phase and electromagnets 1122, 1128, 1125, 1131 being driven in a third phase.
  • the electromagnets are driven in a reversible manner so as to de-actuate plunger 1118 via actuator 1116.
  • the actuator 1116 is guided at one end by a means of guide 1133, 1134.
  • the plunger 1118 is coated with a hydrophobic material such as polytetrafluoroethylene (PTFE) which can form a major part of the plunger 1118.
  • PTFE polytetrafluoroethylene
  • the PTFE acts to repel the ink from the nozzle chamber 1111 resulting in the creation of a membrane eg. 1138, 1139 between the plunger 1118 and side walls eg. 1136, 1137.
  • the surface tension characteristics of the membranes 1138, 1139 act to balanced one another thereby guiding the plunger 1118 within the nozzle chamber.
  • the meniscus eg. 1138, 1139 further stops ink from flowing out of the chamber 1111 and hence the electromagnets 1120 to 1131 can be operated in normal air.
  • the nozzle arrangement 1110 is therefore operated to eject drops on demand by means of activating the actuator 1116 by appropriately synchronized driving of electromagnets 1120 to 1131.
  • the actuation of the actuator 1116 results in the plunger 1118 moving towards the nozzle ink ejection port 1115 thereby causing ink to be ejected from the port 1115.
  • the electromagnets are driven in reverse thereby moving the plunger in an opposite direction resulting in the in flow of ink from an ink supply connected to the ink inlet port 1114.
  • multiple ink nozzle arrangements 1110 can be constructed adjacent to one another to form a multiple nozzle ink ejection mechanism.
  • the nozzle arrangements 1110 are preferably constructed in an array print head constructed on a single silicon wafer which is subsequently diced in accordance with requirements.
  • the diced print heads can then be interconnected to an ink supply which can comprise a through chip ink flow or ink flow from the side of a chip.
  • a silicon wafer 1140 which has a standard electronic circuitry layer such as two level metal CMOS layer 1141.
  • the two metal CMOS provides the drive and control circuitry for the ejection of ink from the nozzles by interconnection of the electromagnets to the CMOS layer.
  • CMOS layer 1141 On top of the CMOS layer 1141 is a nitride passivation layer 1142 which passivates the lower layers against any ink erosion in addition to any etching of the lower CMOS glass layer should a sacrificial etching process be utilized in the construction of the nozzle arrangement 1110.
  • nitride layer 1142 On top of the nitride layer 1142 is constructed various other layers.
  • the wafer layer 1140, the CMOS layer 1141 and the nitride passivation layer 1142 are constructed with the appropriate fires for interconnecting to the above layers.
  • a bottom copper layer 1143 On top of the nitride layer 1142 is constructed a bottom copper layer 1143 which interconnects with the CMOS layer 1141 as appropriate.
  • a nickel ferrous layer 1145 is constructed which includes portions for the core of the electromagnets and the actuator 1116 and guides 1131, 1132.
  • a second copper layer 1146 On top of the NiFe layer 1145 is constructed a second copper layer 1146 which forms the rest of the electromagnetic device.
  • the copper layer 1146 can be constructed utilizing a dual damascene process.
  • a PTFE layer 1147 is laid down followed by a nitride layer 1148 which includes the side filter portions and side wall portions of the nozzle chamber.
  • the ejection port 1115 and the rim 1151 are constructed by means of etching.
  • a number of apertures 1150 which are provided for the sacrificial etching of any sacrificial material utilized in the construction of the various lower layers including the nitride layer 1148.
  • the various layers 1143, 1145 to 1148 can be constructed by means of utilizing a sacrificial material to deposit the structure of various layers and subsequent etching away of the sacrificial material as to release the structure of the nozzle arrangement 1110.
  • MEMS micro-electro mechanical system
  • an ink jet nozzle chamber having a shutter mechanism which open and closes over a nozzle chamber.
  • the shutter mechanism includes a ratcheted drive which slides open and closed.
  • the ratcheted drive is driven by a gearing mechanism which in turn is driven by a drive actuator which is activated by passing an electric current through the drive actuator in a magnetic field.
  • the actuator force is "geared down" so as to drive a ratchet and pawl mechanism to thereby open and shut the shutter over a nozzle chamber.
  • the nozzle arrangement 1210 includes a nozzle chamber 1212 having an anisotropic ⁇ 111> crystallographic etched pit which is etched down to what is originally a boron doped buried epitaxial layer 1213 which includes a nozzle rim 1214 and a nozzle ejection port 1215 which ejects ink.
  • the ink flows in through a fluid passage 1216 when the aperture 1216 is open.
  • the ink flowing through passage 1216 flows from an ink reservoir which operates under an oscillating ink pressure.
  • ink is ejected from the ink ejection port 1215.
  • the shutter mechanism includes a plate 1217 which is driven via means of guide slots 1218, 1219 to a closed position.
  • the driving of the nozzle plate is via a latch mechanism 1220 with the plate structure being kept in a correct path by means of retainers 1222 to 1225.
  • the nozzle arrangement 1210 can be constructed utilising a two level poly process which can be a standard micro-electro mechanical system production technique (MEMS).
  • MEMS micro-electro mechanical system production technique
  • SPIE International Society for Optical Engineering
  • the plate 1217 can be constructed from a first level polysilicon and the retainers 1222 to 1225 can be constructed from a lower first level poly portion and a second level poly portion, as it is more apparent from the exploded perspective view illustrated in Fig. 209.
  • the bottom circuit of plate 1217 includes a number of pits 1227 which are provided on the bottom surface of plate 1217 so as to reduce stiction effects.
  • the ratchet mechanism 1220 is driven by a gearing arrangement which includes first gear wheel 1230, second gear wheel 1231 and third gear wheel 1232. These gear wheels 1230 to 1232 are constructed utilising two level poly which each gear wheel being constructed around a corresponding central pivot 1235 to 1237. The gears 1230 to 1232 operate to gear down the ratchet speed with the gears being driven by a gear actuator mechanism 1240.
  • the actuator 1240 comprises mainly a copper circuit having a drive end 1242 which engages and drives the cogs 1243 of the gear wheel 1232.
  • the copper portion includes serpentine sections 1245, 1246 which concertina upon movement of the end 1242.
  • the end 1242 is actuated by means of passing an electric current through the copper portions in the presence of a magnetic field perpendicular to the surface of the wafer such that the interaction of the magnetic field and circuit result in a Lorenz force acting on the actuator 1240 so as to move the end 1242 to drive the cogs 1243.
  • the copper portions are mounted on aluminium disks 1248, 1249 which are connected to lower levels of circuitry on the wafer upon which actuator 1240 is mounted.
  • the actuator 1240 can be driven at a high speed with the gear wheels 1230 to 1232 acting to gear down the high speed driving of actuator 1240 so as to drive ratchet mechanism 1220 open and closed on demand.
  • the shutter is opened by means of driving actuator 1240.
  • ink will be ejected from the nozzle 1215.
  • a second actuator 1250 is utilized to drive the gear wheel in the opposite direction thereby resulting in the closing of the shutter plate 1217 over the nozzle chamber 1212 resulting in no ink being ejected in subsequent pressure cycles.
  • the pits 1227 act to reduce the forces required for driving the shutter plate 1217 to an open and closed position.
  • Fig. 210 there is illustrated a top cross-sectional view illustrating the various layers making up a single nozzle chamber 1210.
  • the nozzle chambers can be formed as part of an array of nozzle chambers making up a single print head which in turn forms part of an array of print head fabricated on a semiconductor wafer in accordance with in accordance with the semiconductor wafer fabrication techniques well known to those skilled in the art of MEMS fabrication and construction.
  • the bottom boron layer 1213 can be formed from the processing step of back etching a silicon wafer utilizing a buried epitaxial boron doped layer as the etch stop. Further processing of the boron layer can be undertaken so as to define the nozzle hole 1215 which can include a nozzle rim 1214.
  • the next layer is a silicon glass layer 1252 which normally sits on top of the boron doped layer 1213.
  • the silicon glass layer 1252 includes an anisotropically etched pit 1212 so as to define the structure of the nozzle chamber.
  • a glass layer 1254 which includes the various electrical circuitry (not shown) for driving the actuators.
  • the layer 1254 is passivated by means of a nitride layer 1256 which includes trenches 1257 for passivating the side walls of glass layer 1254.
  • a first level polysilicon layer 1258 which defines the shutter and various cog wheels.
  • the second poly layer 1259 includes the various retainer mechanisms and gear wheel 1231.
  • a copper layer 1260 is provided for defining the copper circuit actuator.
  • the copper 1260 is interconnected with lower portions of glass layer 1254 for forming the circuit for driving the copper actuator.
  • the nozzle chamber 1210 can be constructed utilizing the standard MEMS processes including forming the various layers utilizing the sacrificial material such as silicon dioxide and subsequently sacrificially etching the lower layers away.
  • wafers that contain a series of print heads can be diced into separate print heads and a print head mounted on a wall of an ink supply chamber having a piezo electric oscillator actuator for the control of pressure in the ink supply chamber.
  • Ink is then ejected on demand by opening the shutter plate 1217 during periods of high oscillation pressure so as to eject ink.
  • the nozzles being actuated by means of placing the print head in a strong magnetic field utilizing permanent magnets or electro- magnetic devices and driving current through the actuators e.g. 1240, 1250 as required to open and close the shutter and thereby eject drops of ink on demand.
  • an ink jet nozzle which incorporates a plunger that is surrounded by an electromagnetic device.
  • the plunger is made from a magnetic material such that upon activation of the magnetic device, the plunger is forced towards a nozzle outlet port thereby resulting in the ejection of ink from the outlet port.
  • the plunger Upon deactivation of the electromagnet, the plunger returns to its rest position via the utilisation of a series of springs constructed to return the electromagnet to its rest position.
  • Fig. 227 illustrates a sectional view through a single ink jet nozzle 1310 as constructed with an embodiment
  • the ink jet nozzle 1310 includes a nozzle chamber 1311 which is connected to a nozzle output port 1312 for the ejection of ink.
  • the ink is ejected by means of a tapered plunger device 1314 which is made of a soft magnetic material such as nickel-ferrous material (NIFE).
  • the plunger 1314 includes tapered end portions, e.g. 1316, in addition to interconnecting nitride springs, e.g. 1317.
  • An electromagnetic device is constructed around the plunger 1314 and includes outer soft magnetic material 1319 which surrounds a copper current carrying wire core 1320 with a first end of the copper coil 1320 connected to a first portion of a nickel- ferrous material and a second end of the copper coil is connected to a second portion of the nickel-ferrous material.
  • the circuit being further formed by means of vias (not shown) connecting the current carrying wire to lower layers which can take the structure of standard CMOS fabrication layers.
  • the tapered plunger portions 1316 Upon activation of the electromagnet, the tapered plunger portions 1316 attracted to the electromagnet The tapering allows for the forces to be resolved by means of downward movement of the overall plunger 1314, the downward movement thereby causing the ejection of ink from ink ejection port 1312. In due course, the plunger will move to a stable state having a top surface substantially flush with the electromagnet. Upon turning the power off, the plunger 1314 will return to its original position as a result of energy stored within that nitride springs 1317. The nozzle chamber 1311 is refilled by inlet holes 1322 from the ink reservoir 1323.
  • the bottom layer 1330 can be formed by back etching a silicon wafer which has a boron dope epitaxial layer as the etch stop.
  • the boron dope layer 1330 can be further individually masked and etched so as to form nozzle rim 1331 and the nozzle ejection port 1312.
  • a silicon layer 1332 is formed.
  • the silicon layer 1332 can be formed as part of the original wafer having the buried boron doped layer 1330.
  • the nozzle chamber proper can be formed substantially from high density low pressure plasma etching of the silicon layer 1332 so as to produce substantially vertical side walls thereby forming the nozzle chamber.
  • a glass layered 1333 which can include the drive and control circuitry required for driving an array of nozzles 1310.
  • the drive and control circuitry can comprise standard two level metal CMOS circuitry intra-connected to form the copper coil circuit by means of vias though upper layers (not shown).
  • a nitride passivation layer 1334 is provided so as to passivate any lower glass layers, e.g. 1333, from sacrificial etches should a sacrificial etching be utilized in the formation of portions of the nozzle.
  • nitride layer 1334 On top of the nitride layer 1334 is formed a first nickel-ferrous layer 1336 followed by a copper layer 1337 and a further nickel-ferrous layer 1338 which can be formed via a dual damascene process. On top of the layer 1338 is formed the final nitride spring layer 1340 with the springs being formed by means of semiconductor treatment of the nitride layer 1340 so as to release the springs in tension so as to thereby cause a slight rating of the plunger 1314. A number of techniques not disclosed in Fig. 228 can be utilized in the construction of various portions of the arrangement 1310.
  • the nozzle chamber can be formed by utilizing the aforementioned plasma etch and then subsequently filling the nozzle chamber with sacrificial material such as glass so as to provide a support for the plunger 1314 with the plunger 1314 being subsequently released via sacrificial etching of the sacrificial layers.
  • sacrificial material such as glass
  • the tapered end portions of the nickel-ferrous material can be formed so that the utilisation of a half-tone mask having an intensity pattern corresponding to the desired bottom tapered profile of plunger 1314.
  • the half-tone mask can be utilized to half-tone a resist so that the shape is transferred to the resist and subsequently to a lower layer, such as sacrificial glass on top of which is laid the nickel-ferrous material which can be finally planarised utilizing chemical mechanical planarization techniques.
  • a magnetically actuated ink jet print nozzle for the ejection of ink from an ink chamber.
  • the magnetically actuated ink jet utilizes a linear spring to increase the travel of a shutter grill which blocks any ink pressure variations in a nozzle when in a closed position.
  • pressure variations are directly transmitted to the nozzle chamber and can result in the ejection of ink from the chamber.
  • An oscillating ink pressure within an ink reservoir is used therefore to inject ink from nozzles having an open shutter grill.
  • a single nozzle mechanism 1410 of an embodiment when in a closed or rest position includes a shutter mechanism 1411 having shutters 1412, 1413 which are interconnected together 1415 at one end for providing structural stability.
  • the two shutters 1412, 1413 are interconnected at another end to a moveable bar 1416 which is further connected to a stationary positioned bar 1418 via leaf springs 1420, 1421.
  • the bar 1416 can be made of a soft magnetic (NiFe) material.
  • An electromagnetic actuator is utilized to attract the bar 1416 generally in the direction 1425.
  • the electromagnetic actuator consists of a series of soft iron claws 1424 around which is formed a copper coil wire 1426.
  • the electromagnetic actuators can comprise a series of actuators 1428-1430 interconnected via the copper coil windings.
  • the attraction 1425 results in a corresponding interaction with linear springs 1420, 1421 and a movement of shutters 1412, 1413 to an open position as illustrated in Fig. 250, the result of the actuation being to open portals 1432, 1433 into an ink ejection chamber 1434 thereby allowing the ejection of ink through an ink ejection nozzle 1436.
  • the linear springs 1420, 1421 are designed to increase the movement of the shutter as a result of actuation by a factor of eight. A one micron motion of the bar towards the electromagnets will result in an eight micron sideways movement. This dramatically improves the efficiency of the system, as any magnetic field falls off strongly with distance, while the linear springs have a linear relationship between motion in one axis and the other. The use of the linear springs 1420, 1421 therefore allows the relatively large motion required to be easily achieved.
  • the surface of the wafer is directly immersed in an ink reservoir or in relatively large ink channels.
  • An ultrasonic transducer (for example, a piezoelectric transducer), not shown, is positioned in the reservoir.
  • the transducer oscillates the ink pressure at approximately 100 kHz.
  • the ink pressure oscillation is sufficient that ink drops would be ejected from the nozzle were it not blocked by the shutters 1412, 1413.
  • the drive transistor for that nozzle is turned on. This energizes the actuators 1428-1430, which moves the shutter so that it is not blocking the ink chamber.
  • the peak of the ink pressure variation causes the ink to be squirted out of the nozzle.
  • ink pressure goes negative, ink is drawn back into the nozzle, causing drop break-off.
  • the shutter 1412, 1413 is kept open until the nozzle is refilled on the next positive pressure cycle. It is then shut to prevent the ink from being withdrawn from the nozzle on the next negative pressure cycle.
  • Each drop ejection takes two ink pressure cycles.
  • Preferably half of the nozzles should eject drops in one phase, and the other half of the nozzle should eject drops in the other phase. This minimizes the pressure variations which occur due to a large number of nozzles being actuated.
  • the amplitude of the ultrasonic transducer can be further altered in response to the viscosity of the ink (which is typically affected by temperature), and the number of drops which are to be ejected in a current cycle. This amplitude adjustment can be used to maintain consistent drop size in varying environmental conditions.
  • Fig. 251 there is illustrated a section taken through the line II of Fig. 250 so as to illustrate the nozzle chamber 1434 which can be formed utilizing an anisotropic crystallographic etch of the silicon substrate.
  • the etch access through the substrate can be via the slots 1432,1422 (Fig. 250) in the shutter grill.
  • the device is manufactured on ⁇ 100> silicon with a buried boron etch stop layer 1440, but rotated 45° in relation to the ⁇ 010> and ⁇ 001> planes. Therefore, the ⁇ 111> planes which stop the crystallographic etch of the nozzle chamber form a 45° rectangle which superscribes the slots in the fixed grill. This etch will proceed quite slowly, due to limited access of etchant to the silicon. However, the etch can be performed at the same time as the bulk silicon etch which thins the bottom of the wafer.
  • Fig. 252 there is illustrated an exploded perspective of the various layers formed in the construction of an ink jet print head 1410.
  • the layers include the boron doped layer 1440 which acts as an etched stop and can be derived from back etching a silicon wafer having a buried epitaxial layer as is well known in Micro Electro Mechanical Systems (MEMS).
  • MEMS Micro Electro Mechanical Systems
  • SPIE International Society for Optical Engineering
  • volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
  • the nozzle chambers side walls are formed from a crystallographic graphic etch of the wafer 1441 with the boron doped layer 1440 being utilized as an etch stop.
  • a subsequent layer 1442 is constructed for the provision of drive transistors and printer logic and can comprise a two level metal CMOS processing layer 1442.
  • the CMOS processing layer is covered by a nitride layer 1443 which includes portions 1444 which cover and protect the side walls of the CMOS layer 1442.
  • the copper layer 1445 can be constructed utilizing a dual damascene process.
  • a soft metal (NiFe) layer 1446 is provided for forming the rest of the actuator.
  • Each of the layers 1444, 1445 are separately coated by a nitride insulating layer (not shown) which provides passivation and insulation and can be a standard 0.1 ⁇ m process.
  • Fig. 249 therefore provides an ink jet nozzle having a high speed firing rate (approximately 50 kHz) which is suitable for fabrication in arrays of ink jet nozzles, one along side another, for fabrication as a monolithic page width print head.
  • a high speed firing rate approximately 50 kHz
  • An embodiment utilizes a Lorenz force on a current carrying wire in a magnetic field to actuate a diaphragm for the injection of ink from a nozzle chamber via a nozzle hole.
  • the magnetic field is static and is provided by a permanent magnetic yoke around the nozzles of an ink jet head.
  • Each ink jet nozzle 1510 includes a diaphragm 1511 of a corrugated form which is suspended over a nozzle chamber having a ink port 1513 for the injection of ink.
  • the diaphragm 1511 is constructed from a number of layers including a plane copper coil layer which consists of a large number of copper coils which form a circuit for the flow of electric current across the diaphragm 1511. The electric current in the wires of the diaphragm coil section 1511 all flowing in the same direction.
  • FIG. 283 is a perspective view of the current circuit utilised in the construction of a single ink jet nozzle, illustrating the corrugated structure of the traces in the diaphragm 1511 of Fig. 276.
  • a permanent magnetic yoke (not shown) is arranged so that the magnetic field , 1516, is in the plane of the chip's surface, perpendicular to the direction of current flow across the diaphragm coil 1511.
  • Fig. 277 there is illustrated a sectional view of the ink jet nozzle 1510 taken along the line A-A1 of Fig. 276 when the diaphragm 1511 has been activated by current flowing through coil wires 1514.
  • the diaphragm 1511 is forced generally in the direction of nozzle 1513 thereby resulting in ink within chamber 1518 being ejected out of port 1513.
  • the diaphragm 1511 and chamber 1518 are connected to an ink reservoir 1519 which, after the ejection of ink via port 1513, results in a refilling of chamber 1518 from ink reservoir 1519.
  • the movement of the diaphragm 1511 results from a Lorenz interaction between the coil current and the magnetic field.
  • the diaphragm 1511 is corrugated so that the diaphragm motion occurs as an elastic bending motion. This is important as a flat diaphragm may be prevented from flexing by tensile stress.
  • the drive transistor for that nozzle When data signals distributed on the print head indicate that a particular nozzle is to eject a drop of ink, the drive transistor for that nozzle is turned on. This energises the coil 1514, causing elastic deformation of the diaphragm 1511 downwards, ejecting ink. After approximately 3 ⁇ s, the coil current is turned off, and the diaphragm 1511 returns to its quiescent position. The diaphragm return 'sucks' some of the ink back into the nozzle, causing the ink ligament connecting the ink drop 1520 to the ink in the nozzle to thin. The forward velocity of the drop and backward velocity of the ink in the chamber 1518 are resolved by the ink drop 1520 breaking off from the ink in the nozzle.
  • the ink drop 1520 then continues towards the recording medium.
  • Ink refill of the nozzle chamber 1518 is via the two slots 1522, 1523 at either side of the diaphragm.
  • the ink refill is caused by the surface tension of the ink meniscus at the nozzle.
  • the corrugated diaphragm can be formed by depositing a resist layer 1530 on top of a sacrificial glass layer 1531.
  • the resist layer 1530 is exposed utilising a mask 1532 having a halftone pattern delineating the corrugations.
  • the resist 1530 contains the corrugation pattern.
  • the resist layer 1530 and the sacrificial glass layer are then etched utilizing an etchant that erodes the resist 1530 at substantially the same rate as the sacrificial glass 1531.
  • a nitride passivation layer 1534 is deposited followed a copper layer 1535 which is patterned utilizing a coil mask.
  • a further nitride passivation layer 1536 follows on top of the copper layer 1535. Slots 1522, 1523 in the nitride layer at the side of the diaphragm can be etched (Fig. 276) and subsequently, the sacrificial glass layer can be etched away leaving the corrugated diaphragm.
  • Fig. 282 there is illustrated an exploded perspective view of the various layers of an ink jet nozzle 1510 which is constructed on a silicon wafer having a buried boron doped epitaxial layer 1540 which is back etched in a final processing step, including the etching of ink port 1513.
  • the silicon substrate 1541 is an anisotropically crystallographically etched so as to form the nozzle chamber structure.
  • CMOS layer 1542 On top of the silicon substrate layer 1541 is a CMOS layer 1542 which can comprise standard CMOS processing to form two level metal drive and control circuitry.
  • a first passivation layer which can comprise silicon nitride which protects the lower layers from any subsequent etching processes.
  • the copper layer 1545 having through holes eg. 1546 to the CMOS layer 1542 for the supply of current.
  • a second nitrate passivation layer 1547 which provides for protection of the copper layer from ink and provides insulation.
  • the nozzle 1510 can be formed as part of an array of nozzles formed on a single wafer. After construction, the wafer creating nozzles 1510 can be bonded to a second ink supply wafer having ink channels for the supply of ink such that the nozzle 1510 is effectively supplied with an ink reservoir on one side and ejects ink through the hole 1513 onto print media or the like on demand as required.
  • the nozzle chamber 1518 is formed using an anisotropic crystallographic etch of the silicon substrate. Etchant access to the substrate is via the slots 1522, 1523 at the sides of the diaphragm.
  • the device is manufactured on ⁇ 100> silicon (with a buried boron etch stop layer), but rotated 45° in relation to the ⁇ 010> and ⁇ 001> planes. Therefore, the ⁇ 111> planes which stop the crystallographic etch of the nozzle chamber form a 45° rectangle which superscribes the slot in the nitride layer. This etch will proceed quite slowly, due to limited access of etchant to the silicon. However, the etch can be performed at the same time as the bulk silicon etch which thins the wafer.
  • the drop firing rate is around 7 kHz.
  • the ink jet head is suitable for fabrication as a monolithic page wide print head. The illustration shows a single nozzle of a 1600 dpi print head in 'down shooter' configuration.
  • a nozzle chamber having an ink ejection port and a magnetostrictive actuator surrounded by an electrical coil such that, upon activation of the coil, a magnetic field is produced which effects the actuator to the extent that it causes the ejection of ink from the nozzle chamber.
  • nozzle arrangement 2410 includes a nozzle chamber 2411 which opens to a nozzle ejection port 2412 for the ejection of ink.
  • the nozzle 2410 can be formed on a large silicon wafer with multiple print heads being formed from nozzle groups at the same time.
  • the nozzle port 2412 can be formed from back etching the silicon wafer to the level of a boron doped epitaxial layer 2413 which is subsequently etched utilizing an appropriate mask to form the nozzle portal 2412 including a rim 2415.
  • the nozzle chamber 2411 is further formed from a crystallographic etch of the remaining portions of the silicon wafer 2416, the crystallographic etching process being well known in the field of micro-electro-mechanical systems (MEMS).
  • MEMS micro-electro-mechanical systems
  • MEMS micro-electro mechanical system
  • Fig. 298 there is illustrated an exploded perspective view illustrating the construction of a single ink jet nozzle arrangement 2410 in accordance with an embodiment.
  • CMOS layer 2417 On top of the silicon wafer 2416 there is previously constructed a two level metal CMOS layer 2417 which includes an aluminum layer (not shown).
  • the CMOS layer 2417 is constructed to provide data and control circuitry for the inkjet nozzle 2410.
  • a nitride passivation layer 2420 On top of the CMOS layer 2417 is constructed a nitride passivation layer 2420 which includes nitride paddle portion 2421.
  • the nitride layer 2421 can be constructed by a utilizing a sacrificial material such as glass to first fill the crystallographic etched nozzle chamber 2411 then depositing the nitride layer 2420, 2421 before etching the sacrificial layer away to release the nitride layer 2421.
  • Terfenol-D is a material having high magnetostrictive properties (for further information on the properties of Terfenol-D, reference is made to "magnetostriction, theory and applications of magnetoelasticity" by Etienne du Trémolett de Lachiesserie published 1993 by CRC Press).
  • the Terfenol-D substance expands.
  • the Terfenol-D layer 2422 is attached to a lower nitride layer 2421 which does not undergo expansion. As a result the forces are resolved by a bending of the nitride layer 2421 towards the nozzle ejection hole 2412 thereby causing the ejection of ink from the ink ejection portal 2412.
  • the Terfenol-D layer 2422 is passivated by a top nitride layer 2423 on top of which is a copper coil layer 2424 which is interconnected to the lower CMOS layer 2417 via a series of vias so that copper coil layer 2424 can be activated upon demand.
  • the activation of the copper coil layer 2424 induces a magnetic field 2425 across the Terfenol-D layer 2422 thereby causing the Terfenol-D layer 2422 to undergo phase change on demand. Therefore, in order to eject ink from the nozzle chamber 2411, the Terfenol-D layer 2422 is activated to undergo phase change causing the bending of the actuator 2426 (Fig.
  • the copper layer 2424 is passivated by a nitride layer (not shown) and the nozzle arrangement 2410 abuts an ink supply reservoir 2428 (Fig. 297).
  • shape memory materials are utilized to construct an actuator suitable for injecting ink from the nozzle of an ink chamber.
  • Fig. 312 there is illustrated an exploded perspective view 2510 of a single ink jet nozzle as constructed in accordance with an embodiment.
  • the ink jet nozzle 2510 is constructed from a silicon wafer base utilizing back etching of the wafer to a boron doped epitaxial layer.
  • the ink jet nozzle 2510 comprises a lower layer 2511 which is constructed from boron doped silicon.
  • the boron doped silicon layer is also utilized a crystallographic etch stop layer.
  • the next layer comprises the silicon layer 2512 that includes a crystallographic pit 2513 having side walls etch at the usual angle of 54.74.
  • the layer 2512 also includes the various required circuitry and transistors for example, CMOS layer (not shown). After this, a 0.5 micron thick thermal silicon oxide layer 2515 is grown on top of the silicon wafer 2512.
  • CMOS process layers which provide the metal interconnect for the CMOS transistors formed within the layer 2512.
  • the various metal pathways etc. are not shown in Fig. 312 but for two metal interconnects 2518, 2519 which provide interconnection between a shape memory alloy layer 2520 and the CMOS metal layers 2516.
  • the shape memory metal layer is next and is shaped in the form of a serpentine coil to be heated by end interconnect/via portions 2521,2523.
  • a top nitride layer 2522 is provided for overall passivation and protection of lower layers in addition to providing a means of inducing tensile stress to curl upwards the shape memory alloy layer 2520 in its quiescent state.
  • An embodiment relies upon the thermal transition of a shape memory alloy 2520 (SMA) from its martensitic phase to its austenitic phase.
  • SMA shape memory alloy
  • the basis of a shape memory effect is a martensitic transformation which creates a polydemane phase upon cooling.
  • This polydemane phase accommodates finite reversible mechanical deformations without significant changes in the mechanical self energy of the system.
  • the thermal transition is achieved by passing an electrical current through the SMA.
  • the actuator layer 2520 is suspended at the entrance to a nozzle chamber 2513 connected via leads 2518, 2519 to the lower layers.
  • Fig. 313 there is shown a cross-section of a single nozzle 2510 when in its quiescent state, the section basically being taken through the line A-A of Fig. 312.
  • the actuator 2530 is bent away from the nozzle when in its quiescent state.
  • Fig. 314 there is shown a corresponding cross-section for a single nozzle 2510 when in an actuated state. When energized, the actuator 2530 straightens, with the corresponding result that the ink is pushed out of the nozzle.
  • the process of energizing the actuator 2530 requires supplying enough energy to raise the SMA above its transition temperature, and to provide the latent heat of transformation to the SMA 2520.
  • the SMA martensitic phase must be pre-stressed to achieve a different shape from the austenitic phase.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • the weak martensitic phase of the SMA provides little resistance to this curl.
  • the SMA is heated to its austenitic phase, it returns to the flat shape into which it was annealed during the nitride deposition. The transformation being rapid enough to result in the ejection of ink from the nozzle chamber.
  • SMA bend actuator 2530 for each nozzle.
  • One end 2531 of the SMA bend actuator is mechanically connected to the substrate. The other end is free to move under the stresses inherent in the layers.
  • the actuator layer is therefore composed of three layers:
  • the ink jet nozzle of Fig. 312 can be constructed by utilizing a silicon wafer having a buried boron epitaxial layer.
  • the 0.5 micron thick dioxide layer 2515 is then formed having side slots 2545 which are utilized in a subsequent crystallographic etch.
  • the various CMOS layers 2516 are formed including drive and control circuitry (not shown).
  • the SMA layer 2520 is then created on top of layers 2515/2516 and being interconnected with the drive circuitry.
  • a silicon nitride layer 2522 is formed on top.
  • Each of the layers 2515, 2516, 2522 include the various slots eg. 2545 which are utilized in a subsequent crystallographic etch.
  • the silicon wafer is subsequently thinned by means of back etching with the etch stop being the boron layer 2511. Subsequent boron etching forms the nozzle hole eg. 2547 and rim 2546 (Fig. 314). Subsequently, the chamber proper is formed by means of a crystallographic etch with the slots 2545 defining the extent of the etch within the silicon oxide layer 2512.
  • a large array of nozzles can be formed on the same wafer which in turn is attached to an ink chamber for filling the nozzle chambers.
  • an ink jet print head is constructed from a series of nozzle arrangements where each nozzle arrangement includes a magnetic plate actuator which is actuated by a coil which is pulsed so as to move the magnetic plate and thereby cause the ejection of ink.
  • the movement of the magnetic plate results in a leaf spring device being extended resiliently such that when the coil is deactivated, the magnetic plate returns to a rest position resulting in the ejection of a drop of ink from an aperture created within the plate.
  • an ink jet nozzle arrangement 4401 which includes a nozzle chamber 4402 which connects with an ink ejection nozzle 4403 such that, when in a quiescent position, an ink meniscus 4404 forms over the nozzle 4403.
  • the nozzle 4403 is formed in a magnetic nozzle plate 4405 which can be constructed from a ferrous material. Attached to the nozzle plate 4405 is a series of leaf springs e.g. 4406, 4407 which bias the nozzle plate 4405 away from a base plate 4409. Between the nozzle plate 4405 and the base plate 4409, there is provided a conductive coil 4410 which is interconnected and controlled via a lower circuitry layer 4411 which can comprise a standard CMOS circuitry layer.
  • the ink chamber 4402 is supplied with ink from a lower ink supply channel 4412 which is formed by etching through a wafer substrate 4413.
  • the wafer substrate 4413 can comprise a semiconductor wafer substrate.
  • the ink chamber 4402 is interconnected to the ink supply channel 4412 by means of a series of slots 4414 which can be etched through the CMOS layer 4411.
  • the area around the coil 4410 is hydrophobically treated so that, during operation, a small meniscus e.g. 4416, 4417 forms between the nozzle plate 4405 and base plate 4409.
  • the coil 4410 is energised. This results in a movement of the plate 4405 as illustrated in Fig. 328.
  • the general downward movement of the plate 4405 results in a substantial increase in pressure within nozzle chamber 4402.
  • the increase in pressure results in a rapid growth in the meniscus 4404 as ink flows out of the nozzle chamber 4403.
  • the movement of the plate 4405 also results in the springs 4406, 4407 undergoing a general resilient extension.
  • the small width of the slot 4414 results in minimal outflows of ink into the nozzle chamber 4412.
  • the coil 4410 is deactivated resulting in a return of the plate 4405 towards its quiescent position as a result of the springs 4406, 4407 acting on the nozzle plate 4405.
  • the return of the nozzle plate 4405 to its quiescent position results in a rapid decrease in pressure within the nozzle chamber 4402 which in turn results in a general back flow of ink around the ejection nozzle 4403.
  • the forward momentum of the ink outside the nozzle plate 4403 and the back suction of the ink around the ejection nozzle 4403 results in a drop 4419 being formed and breaking off so as to continue to the print media.
  • the surface tension characteristics across the nozzle 4403 result in a general inflow of ink from the ink supply channel 4412 until such time as the quiescent position of Fig. 327 is again reached.
  • a coil actuated magnetic ink jet print head is formed for the adoption of ink drops on demand.
  • the area around the coil 4410 is hydrophobically treated so as to expel any ink from flowing into this area.
  • Fig. 330 there is illustrated a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with the principles as previously outlined with respect to Fig. 327 to Fig. 329.
  • the arrangement 4401 includes a nozzle plate 4405 which is formed around an ink supply chamber 4402 and includes an ink ejection nozzle 4403.
  • a series of leaf spring elements 4406-4408 are also provided which can be formed from the same material as the nozzle plate 4405.
  • a base plate 4409 also is provided for encompassing the coil 4410.
  • the wafer 4413 includes a series of slots 4414 for the wicking and flowing of ink into nozzle chamber 4402 with the nozzle chamber 4402 being interconnected via the slots with an ink supply channel 4412.
  • the slots 4414 are of a thin elongated form so as to provide for fluidic resistance to a rapid outflow of fluid from the chamber 4402.
  • the coil 4410 is conductive interconnected at a predetermined portion (not shown) with a lower CMOS layer for the control and driving of the coil 4410 and movement of base plate 4405.
  • the plate 4409 can be broken into two separate semi- circular plates and the coil 4410 can have separate ends connected through one of the semi circular plates through to a lower CMOS layer.
  • an array of ink jet nozzle devices can be formed at a time on a single silicon wafer so as to form multiple printheads.
  • the presently disclosed ink jet printing technology is potentially suited to a wide range of printing system including: colour and monochrome office printers, short run digital printers, high speed digital printers, offset press supplemental printers, low cost scanning printers high speed pagewidth printers, notebook computers with inbuilt pagewidth printers, portable colour and monochrome printers, colour and monochrome copiers, colour and monochrome facsimile machines, combined printer, facsimile and copying machines, label printers, large format plotters, photograph copiers, printers for digital photographic "minilabs", video printers, PhotoCD printers, portable printers for PDAs, wallpaper printers, indoor sign printers, billboard printers, fabric printers, camera printers and fault tolerant commercial printer arrays.
  • the embodiments of the invention use an ink jet printer type device. Of course many different devices could be used. However presently popular ink jet printing technologies are unlikely to be suitable.
  • thermal inkjet The most significant problem with thermal inkjet is power consumption. This is approximately 100 times that required for high speed, and stems from the energy-inefficient means of drop ejection. This involves the rapid boiling of water to produce a vapor bubble which expels the ink. Water has a very high heat capacity, and must be superheated in thermal inkjet applications. This leads to an efficiency of around 0.02%, from electricity input to drop momentum (and increased surface area) out.
  • piezoelectric inkjet The most significant problem with piezoelectric inkjet is size and cost. Piezoelectric crystals have a very small deflection at reasonable drive voltages, and therefore require a large area for each nozzle. Also, each piezoelectric actuator must be connected to its drive circuit on a separate substrate. This is not a significant problem at the current limit of around 300 nozzles per print head, but is a major impediment to the fabrication of pagewide print heads with 19,200 nozzles.
  • the inkjet technologies used meet the stringent requirements of in-camera digital color printing and other high quality, high speed, low cost printing applications.
  • new inkjet technologies have been created.
  • the target features include:
  • inkjet designs shown here are suitable for a wide range of digital printing systems, from battery powered one-time use digital cameras, through to desktop and network printers, and through to commercial printing systems
  • the print head is designed to be a monolithic 0.5 micron CMOS chip with MEMS post processing.
  • the print head is 100 mm long, with a width which depends upon the inkjet type.
  • the smallest print head designed is IJ38, which is 0.35 mm wide, giving a chip area of 35 square mm.
  • the print heads each contain 19,200 nozzles plus data and control circuitry.
  • Ink is supplied to the back of the print head by injection molded plastic ink channels.
  • the molding requires 50 micron features, which can be created using a lithographically micromachined insert in a standard injection molding tool.
  • Ink flows through holes etched through the wafer to the nozzle chambers fabricated on the front surface ofthe wafer.
  • the print head is connected to the camera circuitry by tape automated bonding.
  • Actuator mechanism (18 types) Basic operation mode (7 types) Auxiliary mechanism (8 types) Actuator amplification or modification method (17 types) Actuator motion (19 types) Nozzle refill method (4 types) Method of restricting back-flow through inlet (10 types) Nozzle clearing method (9 types) Nozzle plate construction (9 types) Drop ejection direction (5 types) Ink type (7 types)
  • inkjet configurations can readily be derived from these 45 examples by substituting alternative configurations along one or more of the 11 axes.
  • Most of the IJ01 to IJ45 examples can be made into inkjet print heads with characteristics superior to any currently available inkjet technology.
  • Suitable applications include: Home printers, Office network printers. Short run digital printers, Commercial print systems, Fabric printers, Pocket printers, Internet WWW printers, Video printers, Medical imaging, Wide format printers, Notebook PC printers, Fax machines, Industrial printing systems, Photocopiers, Photographic minilabs etc.
  • the present application may utilize advanced semiconductor fabrication techniques in the construction of large arrays of ink jet printers. Suitable manufacturing techniques are described in the following Australian provisional patent specifications incorporated here by cross-reference: Australian Provisional Number Filing Date Title PO7935 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM01) PO7936 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM02) PO7937 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM03) PO8061 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM04) PO8054 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM05) PO8065 15-Jul-97 A Method of Manufacture of an Image Creation Apparatus (IJM06) PO8055 15-Jul-97 A Method of Manufacture of an Image Creation
  • the present application may utilize an ink delivery system to the ink jet head.
  • Delivery systems relating to the supply of ink to a series of ink jet nozzles are described in the following Australian provisional patent specifications, the disclosure of which are hereby incorporated by cross-reference: Australian Provisional Number Filing Date Title PO8003 15-Jul-97 Supply Method and Apparatus (F1) PO8005 15-Jul-97 Supply Method and Apparatus (F2) PO9404 23-Sep-97 A Device and Method (F3)
  • the present application may utilize advanced semiconductor microelectromechanical techniques in the construction of large arrays of ink jet printers. Suitable microelectromechanical techniques are described in the following Australian provisional patent specifications incorporated here by cross-reference: Australian Provisional Number Filing Date Title PO7943 15-Jul-97 A device (MEMS01) PO8006 15-Jul-97 A device (MEMS02) PO8007 15-Jul-97 A device (MEMS03) PO8008 15-Jul-97 A device (MEMS04) PO8010 15-Jul-97 A device (MEMS05) PO8011 15-Jul-97 A device (MEMS06) PO7947 15-Jul-97 A device (MEMS07) PO7945 15-Jul-97 A device (MEMS08) PO7944 15-Jul-97 A device (MEMS09) PO7946 15-Jul-97 A device (MEMS10) PO9393 23-Sep-97 A Device and Method (MEMS11) PP0875 12-Dec-97 A Device
  • the present application may include the utilization of a disposable camera system such as those described in the following Australian provisional patent specifications incorporated here by cross-reference: Australian Provisional Number Filing Date Title PP0895 12-Dec-97 An Image Creation Method and Apparatus (IR01) PP0870 12-Dec-97 A Device and Method (IR02) PP0869 12-Dec-97 A Device and Method (IR04) PP0887 12-Dec-97 Image Creation Method and Apparatus (IR05) PP088S 12-Dec-97 An Image Production System (IR06) PP0884 12-Dec-97 Image Creation Method and Apparatus (IR10) PP0886 12-Dec-97 Image Creation Method and Apparatus (IR12) PP0871 12-Dec-97 A Device and Method (IR13) PP0876 12-Dec-97 An Image Processing Method and Apparatus (IR14) PP0877 12-Dec-97 A Device and Method (IR16) PP0878 12-Dec-97 A Device and Method (IR17) PP
  • the present application may include the utilization of a data distribution system such as that described in the following Australian provisional patent specifications incorporated here by cross-reference: Australian Provisional Number Filing Date Title PP2370 16-Mar-98 Data Processing Method and Apparatus (Dot01) PP2371 16-Mar-98 Data Processing Method and Apparatus (Dot02)
  • the present application may include the utilization of camera and data processing techniques such as an Artcam type device as described in the following Australian provisional patent specifications incorporated here by cross-reference: Australian Provisional Number Filing Date Title PO7991 15-Jul-97 Image Processing Method and Apparatus (ART01) PO8505 11-Aug-97 Image Processing Method and Apparatus (ART01a) PO7988 15-Jul-97 Image Processing Method and Apparatus (ART02) PO7993 15-Jul-97 Image Processing Method and Apparatus (ART03) PO8012 15-Jul-97 Image Processing Method and Apparatus (ART05) PO8017 15-Jul-97 Image Processing Method and Apparatus (ART06) PO8014 15-Jul-97 Media Device (ART07) PO8025 15-Jul-97 Image Processing Method and Apparatus (ART08) PO8032 15-Jul-97 Image Processing Method and Apparatus (ART09) PO7999 15-Jul-97 Image Processing Method and Apparatus (ART10) PO
EP04024062A 1997-07-15 1998-07-15 Inkjet nozzle with magnetic actuator chamber Expired - Lifetime EP1508449B1 (en)

Applications Claiming Priority (73)

Application Number Priority Date Filing Date Title
AUPO800197 1997-07-15
AUPO7935A AUPO793597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM01)
AUPO805897 1997-07-15
AUPO806797 1997-07-15
AUPO804997 1997-07-15
AUPO7936A AUPO793697A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM02)
AUPO8058A AUPO805897A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM26)
AUPO793597 1997-07-15
AUPO8067A AUPO806797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ16)
AUPO804197 1997-07-15
AUPO8070A AUPO807097A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ15)
AUPO8047A AUPO804797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ05)
AUPO8035A AUPO803597A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ06)
AUPO8063A AUPO806397A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ08)
AUPO806197 1997-07-15
AUPO8060A AUPO806097A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM13)
AUPO8004A AUPO800497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ26)
AUPO807397 1997-07-15
AUPO804897 1997-07-15
AUPO805997 1997-07-15
AUPO8044A AUPO804497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ07)
AUPO805497 1997-07-15
AUPO7950A AUPO795097A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM11)
AUPO795097 1997-07-15
AUPO8071A AUPO807197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ04)
AUPO793697 1997-07-15
AUPO8056A AUPO805697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ10)
AUPO803597 1997-07-15
AUPO8066A AUPO806697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ01)
AUPO803697 1997-07-15
AUPO806597 1997-07-15
AUPO7933A AUPO793397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation_apparatus (IJM10)
AUPO8059A AUPO805997A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM14)
AUPO8001A AUPO800197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ17)
AUPO8053A AUPO805397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM08)
AUPO8069A AUPO806997A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ11)
AUPO804497 1997-07-15
AUPO8073A AUPO807397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM15)
AUPO807197 1997-07-15
AUPO8054A AUPO805497A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM05)
AUPO793397 1997-07-15
AUPO8049A AUPO804997A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ12)
AUPO805597 1997-07-15
AUPO8076A AUPO807697A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM16)
AUPO8041A AUPO804197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ25)
AUPO8075A AUPO807597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM17)
AUPO806397 1997-07-15
AUPO807597 1997-07-15
AUPO7949A AUPO794997A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM12)
AUPO807797 1997-07-15
AUPO8048A AUPO804897A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ14)
AUPO805397 1997-07-15
AUPO805697 1997-07-15
AUPO8036A AUPO803697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ13)
AUPO8055A AUPO805597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM07)
AUPO807697 1997-07-15
AUPO806997 1997-07-15
AUPO800497 1997-07-15
AUPO807297 1997-07-15
AUPO804797 1997-07-15
AUPO8077A AUPO807797A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM25)
AUPO806697 1997-07-15
AUPO8072A AUPO807297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ02)
AUPO806097 1997-07-15
AUPO8061A AUPO806197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM04)
AUPO807097 1997-07-15
AUPO794997 1997-07-15
AUPO8065A AUPO806597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM06)
AUPP3983A AUPP398398A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij45)
AUPP398398 1998-06-09
AUPP398298 1998-06-09
AUPP3982A AUPP398298A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm45)
EP98933350A EP0999933B1 (en) 1997-07-15 1998-07-15 Magnetic-field-acutated ink jet nozzle

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
EP98933350A Division EP0999933B1 (en) 1997-07-15 1998-07-15 Magnetic-field-acutated ink jet nozzle

Publications (2)

Publication Number Publication Date
EP1508449A1 true EP1508449A1 (en) 2005-02-23
EP1508449B1 EP1508449B1 (en) 2007-01-24

Family

ID=27586944

Family Applications (11)

Application Number Title Priority Date Filing Date
EP04024064A Expired - Lifetime EP1508445B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with Lorentz force actuator
EP04024062A Expired - Lifetime EP1508449B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with magnetic actuator chamber
EP04024063A Expired - Lifetime EP1510340B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by slotted plunger
EP04024066A Expired - Lifetime EP1508446B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with solenoid actuator
EP04024059A Expired - Lifetime EP1512535B1 (en) 1997-07-15 1998-07-15 Inkjet printer with magnetic piston actuator
EP04024057A Expired - Lifetime EP1508443B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electro-magnetically actuated ink plunger
EP04024065A Expired - Lifetime EP1510341B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with electromagnetic shutter
EP04024061A Expired - Lifetime EP1508448B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with tapered magnetic plunger
EP04024058A Expired - Lifetime EP1508444B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electrostatically actuated plates
EP98933350A Expired - Lifetime EP0999933B1 (en) 1997-07-15 1998-07-15 Magnetic-field-acutated ink jet nozzle
EP04024060A Expired - Lifetime EP1510339B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by magnetic pulses

Family Applications Before (1)

Application Number Title Priority Date Filing Date
EP04024064A Expired - Lifetime EP1508445B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with Lorentz force actuator

Family Applications After (9)

Application Number Title Priority Date Filing Date
EP04024063A Expired - Lifetime EP1510340B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by slotted plunger
EP04024066A Expired - Lifetime EP1508446B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with solenoid actuator
EP04024059A Expired - Lifetime EP1512535B1 (en) 1997-07-15 1998-07-15 Inkjet printer with magnetic piston actuator
EP04024057A Expired - Lifetime EP1508443B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electro-magnetically actuated ink plunger
EP04024065A Expired - Lifetime EP1510341B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with electromagnetic shutter
EP04024061A Expired - Lifetime EP1508448B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle with tapered magnetic plunger
EP04024058A Expired - Lifetime EP1508444B1 (en) 1997-07-15 1998-07-15 Inkjet printer with electrostatically actuated plates
EP98933350A Expired - Lifetime EP0999933B1 (en) 1997-07-15 1998-07-15 Magnetic-field-acutated ink jet nozzle
EP04024060A Expired - Lifetime EP1510339B1 (en) 1997-07-15 1998-07-15 Inkjet nozzle actuated by magnetic pulses

Country Status (4)

Country Link
EP (11) EP1508445B1 (es)
JP (6) JP4170582B2 (es)
AT (8) ATE352423T1 (es)
WO (1) WO1999003680A1 (es)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410243B2 (en) * 1997-07-15 2008-08-12 Silverbrook Research Pty Ltd Inkjet nozzle with resiliently biased ejection actuator
US7753491B2 (en) 1997-07-15 2010-07-13 Silverbrook Research Pty Ltd Printhead nozzle arrangement incorporating a corrugated electrode
US6188415B1 (en) 1997-07-15 2001-02-13 Silverbrook Research Pty Ltd Ink jet printer having a thermal actuator comprising an external coil spring
AUPP654598A0 (en) 1998-10-16 1998-11-05 Silverbrook Research Pty Ltd Micromechanical device and method (ij46h)
US7360871B2 (en) * 1997-07-15 2008-04-22 Silverbrook Research Pty Ltd Inkjet chamber with ejection actuator between inlet and nozzle
US7328975B2 (en) * 1997-07-15 2008-02-12 Silverbrook Research Pty Ltd Injet printhead with thermal bend arm exposed to ink flow
AUPP922399A0 (en) * 1999-03-16 1999-04-15 Silverbrook Research Pty Ltd A method and apparatus (ij46p2)
AUPP993099A0 (en) * 1999-04-22 1999-05-20 Silverbrook Research Pty Ltd A micromechancial device and method(ij46p2b)
AU2004202252B2 (en) * 1999-04-22 2005-06-30 Silverbrook Research Pty Ltd Liquid ejection using a micro-electromechanical device
EP1206351B1 (en) * 1999-06-30 2008-07-09 Silverbrook Research Pty. Limited Testing a micro electro-mechanical device
AU761820B2 (en) * 1999-06-30 2003-06-12 Silverbrook Research Pty Ltd Calibrating a micro electro-mechanical device
AUPQ130999A0 (en) 1999-06-30 1999-07-22 Silverbrook Research Pty Ltd A method and apparatus (IJ47V11)
AU761670B2 (en) * 1999-06-30 2003-06-05 Silverbrook Research Pty Ltd Testing a micro electro-mechanical device
US6382779B1 (en) 1999-06-30 2002-05-07 Silverbrook Research Pty Ltd Testing a micro electro- mechanical device
AU761821B2 (en) * 1999-06-30 2003-06-12 Silverbrook Research Pty Ltd Fault detection in a micro electro-mechanical device
EP1218815B1 (en) 1999-09-17 2010-11-17 Silverbrook Research Pty. Limited Method and system for instruction of a computer
DE60039312D1 (de) * 2000-04-18 2008-08-07 Silverbrook Res Pty Ltd Tintenstrahlausstosser
US6428133B1 (en) 2000-05-23 2002-08-06 Silverbrook Research Pty Ltd. Ink jet printhead having a moving nozzle with an externally arranged actuator
US6526658B1 (en) 2000-05-23 2003-03-04 Silverbrook Research Pty Ltd Method of manufacture of an ink jet printhead having a moving nozzle with an externally arranged actuator
US6557970B2 (en) 2000-05-23 2003-05-06 Silverbrook Research Pty Ltd Nozzle guard for a printhead
US6921153B2 (en) 2000-05-23 2005-07-26 Silverbrook Research Pty Ltd Liquid displacement assembly including a fluidic sealing structure
US6896358B1 (en) 2000-05-24 2005-05-24 Silverbrook Research Pty Ltd Fluidic seal for an ink jet nozzle assembly
CN100417523C (zh) * 2000-05-24 2008-09-10 西尔弗布鲁克研究有限公司 带有隔离的喷嘴控制器的喷墨打印头
CN1205035C (zh) 2000-05-24 2005-06-08 西尔弗布鲁克研究有限公司 带有外装控制器的移动喷嘴的喷墨打印头
AU4731400A (en) 2000-05-24 2001-12-03 Silverbrook Res Pty Ltd Method of manufacture of an ink jet printhead having a moving nozzle with an externally arranged actuator
US6364460B1 (en) 2000-06-13 2002-04-02 Chad R. Sager Liquid delivery system
WO2002002328A1 (en) * 2000-06-30 2002-01-10 Silverbrook Research Pty Ltd Buckle resistant thermal bend actuators
SG165980A1 (en) * 2000-06-30 2010-11-29 Silverbrook Res Pty Ltd A micro-electromechanical actuator with buckle-resistant properties
AU2006225215B2 (en) * 2000-06-30 2009-04-09 Zamtec Limited An ink ejector for an inkjet printer with an arm and paddle arrangement
DE60036028D1 (de) * 2000-06-30 2007-09-27 Silverbrook Res Pty Ltd Knickresistente, thermisch biegende betätiger
AU2004203502B2 (en) * 2000-10-20 2004-09-30 Zamtec Limited Nozzle for an ink jet printhead
US6863379B2 (en) 2002-11-23 2005-03-08 Silverbrook Research Pty Ltd Ink jet printhead that includes nozzles having pressure-enhancing formations
US7066577B2 (en) 2004-07-19 2006-06-27 Silverbrook Research Pty Ltd Pressure enhancing formations in an ink jet printhead
US6623101B1 (en) 2000-10-20 2003-09-23 Silverbrook Research Pty Ltd Moving nozzle ink jet
US6505916B1 (en) 2000-10-20 2003-01-14 Silverbrook Research Pty Ltd Nozzle poker for moving nozzle ink jet
US6406129B1 (en) * 2000-10-20 2002-06-18 Silverbrook Research Pty Ltd Fluidic seal for moving nozzle ink jet
US6350015B1 (en) * 2000-11-24 2002-02-26 Xerox Corporation Magnetic drive systems and methods for a micromachined fluid ejector
US6561627B2 (en) * 2000-11-30 2003-05-13 Eastman Kodak Company Thermal actuator
US6572218B2 (en) * 2001-01-24 2003-06-03 Xerox Corporation Electrostatically-actuated device having a corrugated multi-layer membrane structure
US6508947B2 (en) * 2001-01-24 2003-01-21 Xerox Corporation Method for fabricating a micro-electro-mechanical fluid ejector
US7097283B2 (en) 2002-08-19 2006-08-29 Silverbrook Research Pty Ltd Inkjet printhead having non-planar ink ejector
KR100757363B1 (ko) * 2002-11-21 2007-09-11 실버브룩 리서치 피티와이 리미티드 유체씨일을 구비한 잉크 젯 노즐 조립체
JP3912267B2 (ja) * 2002-11-29 2007-05-09 ソニー株式会社 液滴吐出装置、検査用チップ処理装置、液滴吐出方法、検査用チップ処理方法
KR20090068236A (ko) * 2006-09-08 2009-06-25 메사추세츠 인스티튜트 오브 테크놀로지 자동화된 층상 분무 기법
KR100973979B1 (ko) * 2008-08-22 2010-08-05 한국과학기술원 전자기력을 이용한 다축 구동기
KR102022392B1 (ko) 2012-12-11 2019-11-05 삼성디스플레이 주식회사 노즐프린터
KR20140094957A (ko) 2013-01-23 2014-07-31 삼성디스플레이 주식회사 노즐프린터용 노즐유닛 및 이를 구비하는 노즐프린터
CN104401129B (zh) * 2014-11-21 2016-08-24 常俊环 大字符喷码机喷头
DE102015225726A1 (de) * 2015-12-17 2017-06-22 Ksb Aktiengesellschaft Pumpe mit verformbarem Förderelement
US10589980B2 (en) * 2017-04-07 2020-03-17 Texas Instruments Incorporated Isolated protrusion/recession features in a micro electro mechanical system
CN109144885B (zh) * 2017-06-27 2022-04-29 北京忆恒创源科技股份有限公司 固态存储设备的垃圾回收方法与固态存储设备
GB2573117B (en) 2018-04-24 2021-02-17 Adey Holdings 2008 Ltd Magnetic filter
KR20200085510A (ko) * 2019-01-07 2020-07-15 에스케이하이닉스 주식회사 데이터 저장 장치 및 동작 방법과, 이를 위한 컨트롤러
CN110389317B (zh) * 2019-06-03 2021-08-10 广州南盾通讯设备有限公司 一种对散序对象快速定位的低功耗柜体及定位方法
CN112652529B (zh) * 2019-10-09 2022-03-22 长鑫存储技术有限公司 半导体器件及半导体器件的电容孔制备方法
CN112787237B (zh) * 2021-01-16 2023-06-23 四川省盛源鑫智能电气有限责任公司 基于拨动机构的电气自动化控制柜
CN113607122B (zh) * 2021-08-23 2022-11-25 中国建筑第八工程局有限公司 箱型钢板墙内部校正用的智能小车、设备及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02150353A (ja) * 1988-11-30 1990-06-08 Nec Home Electron Ltd インクジェットヘッド
WO1997012689A1 (en) * 1995-09-20 1997-04-10 The Board Of Trustees Of The Leland Stanford Junior University Fluid drop ejector and method

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4032929A (en) * 1975-10-28 1977-06-28 Xerox Corporation High density linear array ink jet assembly
DE2700010A1 (de) * 1976-01-15 1977-07-21 Xerox Corp Geraet zur erzeugung von abtrennbaren fluessigkeitstroepfchen und antriebselemente dafuer
JPS5559972A (en) * 1978-10-28 1980-05-06 Seiko Epson Corp Ink jet recording head
US4210920A (en) * 1979-01-31 1980-07-01 The Mead Corporation Magnetically activated plane wave stimulator
US4460905A (en) * 1982-03-29 1984-07-17 Ncr Corporation Control valve for ink jet nozzles
DE3245283A1 (de) * 1982-12-07 1984-06-07 Siemens AG, 1000 Berlin und 8000 München Anordnung zum ausstoss von fluessigkeitstroepfchen
DE3302617A1 (de) * 1983-01-27 1984-08-02 Cyklop International Emil Hoffmann KG, 5000 Köln Farbspritzkopf
JPS60131254A (ja) * 1983-12-20 1985-07-12 Ricoh Co Ltd インクジエツト噴射ヘツド
DE3445720A1 (de) * 1984-12-14 1986-06-19 Siemens AG, 1000 Berlin und 8000 München Anordnung zum ausstoss von einzeltroepfchen aus austrittsoeffnungen eines tintenschreibkopfes
SE447222B (sv) * 1984-12-21 1986-11-03 Swedot System Ab Elektromagnetiskt manovrerbar ventilanordning, serskilt for alstring av droppar i en vetskestralskrivare
US4618808A (en) * 1985-01-30 1986-10-21 International Business Machines Corporation Electromagnetic actuator system using stepper motor with closed loop position sensing, electronic commutation and dynamic position and anomaly correction
GB8507688D0 (en) * 1985-03-25 1985-05-01 Lane International Ltd John Fluid applicator
US4723131A (en) * 1986-09-12 1988-02-02 Diagraph Corporation Printhead for ink jet printing apparatus
JPH0234342A (ja) * 1988-07-25 1990-02-05 Seiko Epson Corp インクジェットヘッド
GB8828047D0 (en) * 1988-12-01 1989-01-05 Willett Int Ltd Method of operating valve
JPH02219655A (ja) * 1989-02-20 1990-09-03 Sharp Corp インクジェットヘッド
JPH02273241A (ja) * 1989-04-14 1990-11-07 Ricoh Co Ltd インクジェット記録装置
JPH0365349A (ja) * 1989-08-03 1991-03-20 Matsushita Electric Ind Co Ltd インクジェットヘッド
JP2839345B2 (ja) * 1989-09-11 1998-12-16 松下電器産業株式会社 インク記録装置
JPH04126255A (ja) * 1990-09-18 1992-04-27 Seiko Epson Corp インクジェットヘッド
US5534900A (en) * 1990-09-21 1996-07-09 Seiko Epson Corporation Ink-jet recording apparatus
JPH04129745A (ja) * 1990-09-21 1992-04-30 Seiko Epson Corp インクジェットヘッド
JPH04357039A (ja) * 1991-06-03 1992-12-10 Rohm Co Ltd インクジェットプリントヘッド
JPH04368851A (ja) * 1991-06-17 1992-12-21 Seiko Epson Corp 磁場発生基板及びそれを用いたインクジェットヘッド
GB9121851D0 (en) * 1991-10-15 1991-11-27 Willett Int Ltd Device
DE4139731A1 (de) * 1991-12-03 1993-06-09 Inno-Print Verpackungs- + Beschriftungssysteme Gmbh, 5060 Bergisch Gladbach, De Tintenstrahl-matrixdrucker aus einzelelementen
JPH05318724A (ja) * 1992-05-19 1993-12-03 Seikosha Co Ltd インクジェット記録装置
JPH06106725A (ja) * 1992-08-14 1994-04-19 Ricoh Co Ltd 静電変形型インクジェットによる記録方法及び静電変形型インクジェットヘッド
JPH06134985A (ja) * 1992-10-28 1994-05-17 Ricoh Co Ltd 1ドット多値が可能な記録装置及び1ドット多値が可能な記録方法
JPH06336011A (ja) * 1993-05-31 1994-12-06 Sharp Corp プリントヘッド装置
EP0671271B1 (en) * 1994-03-09 2000-07-05 Seiko Epson Corporation Ink jet recording apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02150353A (ja) * 1988-11-30 1990-06-08 Nec Home Electron Ltd インクジェットヘッド
WO1997012689A1 (en) * 1995-09-20 1997-04-10 The Board Of Trustees Of The Leland Stanford Junior University Fluid drop ejector and method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 0143, no. 95 (M - 1016) 27 August 1990 (1990-08-27) *

Also Published As

Publication number Publication date
EP1508443A3 (en) 2005-03-16
EP1510339A3 (en) 2005-03-09
ATE352422T1 (de) 2007-02-15
EP1508449B1 (en) 2007-01-24
EP1508444A3 (en) 2005-03-16
ATE352423T1 (de) 2007-02-15
JP4170582B2 (ja) 2008-10-22
ATE353053T1 (de) 2007-02-15
EP1508445B1 (en) 2007-01-31
JP4137965B2 (ja) 2008-08-20
EP1508448B1 (en) 2007-01-17
EP0999933A4 (en) 2000-12-20
EP1512535A1 (en) 2005-03-09
JP2007062379A (ja) 2007-03-15
JP2007062383A (ja) 2007-03-15
ATE289922T1 (de) 2005-03-15
EP1508444A2 (en) 2005-02-23
EP1510339B1 (en) 2007-01-24
JP2007062381A (ja) 2007-03-15
WO1999003680A1 (en) 1999-01-28
ATE352421T1 (de) 2007-02-15
JP4137964B2 (ja) 2008-08-20
JP2001510107A (ja) 2001-07-31
EP1510340A3 (en) 2005-03-09
EP1508446A1 (en) 2005-02-23
EP0999933A1 (en) 2000-05-17
EP1510341A3 (en) 2005-03-16
EP1512535B1 (en) 2007-12-26
JP4173174B2 (ja) 2008-10-29
EP1510340B1 (en) 2007-01-24
EP1508448A1 (en) 2005-02-23
EP1508444B1 (en) 2007-11-21
EP1508446B1 (en) 2007-01-10
EP0999933B1 (en) 2005-03-02
JP2007062382A (ja) 2007-03-15
ATE355972T1 (de) 2007-03-15
JP4171037B2 (ja) 2008-10-22
EP1510339A2 (en) 2005-03-02
EP1510341B1 (en) 2007-01-24
EP1510341A2 (en) 2005-03-02
JP4185538B2 (ja) 2008-11-26
EP1508445A1 (en) 2005-02-23
EP1508443A2 (en) 2005-02-23
EP1508443B1 (en) 2007-03-07
ATE381991T1 (de) 2008-01-15
ATE352420T1 (de) 2007-02-15
JP2007062380A (ja) 2007-03-15
EP1510340A2 (en) 2005-03-02

Similar Documents

Publication Publication Date Title
EP0999933B1 (en) Magnetic-field-acutated ink jet nozzle
EP0999934B1 (en) A thermally actuated ink jet
US6195150B1 (en) Pseudo-3D stereoscopic images and output device
US6044646A (en) Micro cilia array and use thereof
US6087638A (en) Corrugated MEMS heater structure
US6067797A (en) Thermal actuator
US6041600A (en) Utilization of quantum wires in MEMS actuators
US6188415B1 (en) Ink jet printer having a thermal actuator comprising an external coil spring
US7252366B2 (en) Inkjet printhead with high nozzle area density
US7576794B2 (en) Manipulation of digital camera images utilizing stored autofocus settings
US6257705B1 (en) Two plate reverse firing electromagnetic ink jet printing mechanism
US7847836B2 (en) Image processing method using sensed eye position
US6690416B1 (en) Image production utilizing text editing including complex character sets
US6209989B1 (en) Dual chamber single actuator ink jet printing mechanism
US20040100518A1 (en) Inkjet printhead with short nozzle
US6254220B1 (en) Shutter based ink jet printing mechanism
US6241904B1 (en) Method of manufacture of a two plate reverse firing electromagnetic ink jet printer
US20060290741A1 (en) Inkjet printhead chip with a side-by-side nozzle arrangement layout
US6299786B1 (en) Method of manufacture of a linear stepper actuator ink jet printer
US6366693B1 (en) Digital image region detection method and apparatus
US6110754A (en) Method of manufacture of a thermal elastic rotary impeller ink jet print head
US6289262B1 (en) System for high volume printing of optical storage cards using ink dots
US6271931B1 (en) Data addition to optical storage cards using ink dots
AU8323698A (en) A field actuated ink jet

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20041008

AC Divisional application: reference to earlier application

Ref document number: 0999933

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

AKX Designation fees paid

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AC Divisional application: reference to earlier application

Ref document number: 0999933

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

Ref country code: CH

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REF Corresponds to:

Ref document number: 69836992

Country of ref document: DE

Date of ref document: 20070315

Kind code of ref document: P

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070424

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070505

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070625

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

NLV1 Nl: lapsed or annulled due to failure to fulfill the requirements of art. 29p and 29m of the patents act
EN Fr: translation not filed
PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20071025

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070425

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070425

Ref country code: FR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070914

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20070124

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: IE

Payment date: 20120727

Year of fee payment: 15

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20130729

Year of fee payment: 16

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

REG Reference to a national code

Ref country code: GB

Ref legal event code: 732E

Free format text: REGISTERED BETWEEN 20140619 AND 20140625

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130715

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20140715

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20140715