EP1532193A2 - Organosiloxanes - Google Patents

Organosiloxanes

Info

Publication number
EP1532193A2
EP1532193A2 EP03796272A EP03796272A EP1532193A2 EP 1532193 A2 EP1532193 A2 EP 1532193A2 EP 03796272 A EP03796272 A EP 03796272A EP 03796272 A EP03796272 A EP 03796272A EP 1532193 A2 EP1532193 A2 EP 1532193A2
Authority
EP
European Patent Office
Prior art keywords
percent
spin
film
organosiloxane
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03796272A
Other languages
German (de)
French (fr)
Other versions
EP1532193A4 (en
Inventor
William Honeywell International Inc. BEDWELL
Nigel HONEYWELL INTERNATIONAL INC. HACKER
Roger HONEYWELL INTERNATIONAL INC. LEUNG
Nancy Honeywell International Inc. IWAMOTO
Jan Honeywell International Inc. NEDBAL
Songyuan Honeywell International Inc. XIE
Lorenza Honeywell International Inc. MORO
Shyama Honeywell International Inc. MUKHERJEE
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/161,561 external-priority patent/US6962727B2/en
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of EP1532193A2 publication Critical patent/EP1532193A2/en
Publication of EP1532193A4 publication Critical patent/EP1532193A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Definitions

  • the present invention relates to semiconductor devices, and in particular, to semiconductor devices having low dielectric constant materials therein.
  • dielectric constant also referred to as "k"
  • Insulator materials having low dielectric constants are especially desirable, because they typically allow faster signal propagation, reduce capacitance and cross talk between conductor lines, and lower voltages required for driving integrated circuits. Therefore, as interconnect linewidths decrease, concomitant decreases in the dielectric constant of the insulating material are required to achieve the improved performance and speed desired of future semiconductor devices.
  • devices having interconnect linewidths of 0.1 3 or 0.1 0 micron and below seek an insulating material having a dielectric constant (k) ⁇ 3.
  • Semiconductor device manufacturers also seek materials that in addition to having a low dielectric constant, have the mechanical and thermal stability needed to withstand the thermal cycling and processing steps of semiconductor device manufacturing.
  • a line pattern is etched in the surface of a insulating material, and the trenches formed in this manner, i.e., the horizontal structure created to house the horizontal electrical connections within a particular level or layer in a semiconductor device, is filled with copper by electroplating, electroless plating, or sputtering.
  • CMP chemical-mechanical planarization
  • This process is typically repeated several times to form vias, i.e., the vertical structures created to contain the vertical electrical connections that connect the trenches between at least two metal levels or layers of metal in a semiconductor device.
  • via and line formation can be integrated into a single process, which is then called dual damascene process.
  • a via dielectric layer is laid down onto a substrate, and the via dielectric layer is subsequently coated with a patterned etch stop layer, i.e., a layer that controls the etching or removal of the dielectric, whereby voids in the etch stop layer correspond to positions of vias that will be etched into the via dielectric.
  • a line dielectric is deposited onto the etch stop layer, which in turn is coated with a patterned hardmask layer that defines the traces of the lines.
  • Current hardmask layers are made of silicon nitride, silicon oxynitride, silicon oxide, or silicon carbide.
  • via and line traces are formed, whereby the line trenches are etched into the line dielectric until the etchant reaches the etch stop layer. In positions where there is no etch stop layer, the etching process continues through the via dielectric to form a via.
  • etched via and line traces are filled with copper (after applying a Ta(N) barrier layer and a Cu-seed layer) and a CMP step finishes the dual damascene process.
  • Dielectric etching is difficult to control with today's required trench width of 0.1 3 micron.
  • the etch stop performs a critical role in semiconductor device construction.
  • a disadvantage of known hardmask and etch stop materials is their relatively high dielectric constant (k-value) .
  • typical hardmask and etch stop materials including SiN, SiON, SiO 2 , and SiC, have an undesirably high dielectric constant of at least about 4.0 and are applied by chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • US Patent 4,626,556 teaches organosilsesquioxane having required alkyl and alkenyl group side chains bonded thereto and optionally aryl groups and hydrogen side chains bonded thereto as a substitute for a photoresist material. US Patent 4,626,556 does not teach that its organosilsesquioxane may function as an etch stop or hardmask. In Comparative A below, we made an organosilsesquioxane having the required minimum at least 50% methyl groups of US Patent 4,626,556 and this material did not wet known, dielectric materials and thus, would not be useful as an etch stop.
  • US Patent 4,626,556 teaches that its organosilsesquioxane films have low dielectric constants, US Patent 4,626,556 does not report any dielectric constant values. However, as those skilled in the art know, silanol results in an undesirable dielectric constant and US Patent 4, 626, 556's organosilsesquioxane transmission FTIR plots show that silanol (3400- 3700/cm) is present. Also, US Patent 4,626,556 teaches in a preferred embodiment, the presence of a crosslinking agent that is light activated and as those skilled in the art know, that these materials have high dielectric constants.
  • US Patent 4,626,556 teaches that at least 50% of its side chains are alkyl groups since the larger the amount of the alkyl group present, the higher the heat resistance.
  • US Patent 4,626, 556's Examples 1 3 and 1 4 teach that its organosilsesquioxane was applied to a two inch thick silicone wafer wherein a thin film of one micron was formed; the film was then heated at 250°C for 2 hours, at 350°C for 1 hour, and then at 450°C for 30 minutes, and subjected to thermogravimetric analysis, in which no weight loss was observed up to 600°C. It is not clear if the silicone wafer weight was included in the "no weight loss" reported.
  • compositions with lower dielectric constants a) compositions with improved mechanical properties, such as thermal stability, glass transition temperature (T g ), and hardness; c) compositions that are capable of being solvated and spun-on to a wafer or layered material; and d) compositions that are versatile enough to function as a hardmask or an etch stop and can wet dielectric materials.
  • the present invention provides an organosiloxane comprising at least 80 weight percent of Formula I: [Yo.o ⁇ - ⁇ .oSiO ⁇ .5- 2 ]a[Zo.o ⁇ - ⁇ .oSiO ⁇ .5- 2 ]b[Ho.o ⁇ - ⁇ .oSiO ⁇ .5- ]c
  • Y is aryl
  • Z is alkenyl
  • a is from 1 5 percent to 70 percent of Formula I
  • b is from 2 percent to 50 percent of Formula I
  • c is from 20 percent to 80 percent of Formula I.
  • the present composition wets dielectric materials as reported in our Examples below and thus, may be advantageously used as an etch stop. Contrary to US Patent 4, 626, 556's teaching that its organosilsesquioxane requires the presence of alkyl groups for heat resistance and does not require the presence of aryl groups, we have discovered that the present composition requiring the presence of aryl groups but not requiring the presence of alkyl groups has good thermal stability as evidenced by the TGA results reported below.
  • the present composition also has a dielectric constant of preferably less than 3.2. Another benefit of the present composition is that it has a low crosslinking temperature.
  • the present composition may contain up to 20 weight percent of other units as long as the other units do not detract from the desirable properties of the present composition.
  • the present invention provides a spin-on etch stop comprising organosiloxane of Formula I: [Yo.o ⁇ - ⁇ .oSiO ⁇ .5-2]a[Zo.o ⁇ - ⁇ .oSiO ⁇ .5- 2 ]b[Ho.o ⁇ - ⁇ .oSiO ⁇ .5- 2 ]c
  • Y is aryl
  • Z is alkenyl
  • a is from 1 5 percent to 70 percent of Formula I
  • b is from 2 percent to 50 percent of Formula I
  • c is from 20 percent to 80 percent of Formula I and having substantially no silanol and a dielectric constant of less than 3.2.
  • This etch stop advantageously wets dielectric materials, has good adhesion to dielectric materials, has good thermal stability, and a low crosslinking temperature.
  • the present invention provides an organosiloxane having alkenyl groups and thermal stability.
  • Figure 1 shows TGA data for Honeywell Accuglass ® 720 organosiloxane comprising 66% phenyl and 34% methyl.
  • Figure 2 shows TGA data for Honeywell HOSP® organosiloxane having 80% methyl groups and 20% hydrogen.
  • Figure 3 shows TGA data for organosiloxane having 50% phenyl groups and 50% hydrogen.
  • Figure 4 shows TGA data for the present composition.
  • FIG. 5 shows FTIR data for the present composition.
  • organosiloxane as used herein means Si and carbon containing compounds, includes organosilsesquioxane, and excludes the presence of crosslinking agent activated by light as taught by US Patent 4,626,556.
  • thermo stability means less than 0.5 percent weight loss at 450°C.
  • Contemplated polymers comprise a polymer backbone encompassing alternate silicon and oxygen atoms.
  • Y is phenyl, benzyl, substituted phenyl, naphthyl, anthryl, and phenanthryl.
  • Z is vinyl, substituted vinyl, vinyl ether, acrylate, and methacrylate.
  • a is from 30 percent to 70 percent of Formula I and b is from 10 percent to 40 percent of Formula I.
  • Polymers of the present invention may be produced with or without essentially no hydroxyl or alkoxy groups bonded to backbone silicon atoms.
  • each silicon atom in addition to the aforementioned backbone oxygen atoms, is bonded only to hydrogen atoms and/or Y groups or Z groups as defined in Formula I.
  • By attaching only hydrogen and/or Y and Z groups directly to backbone silicon atoms in the polymer unwanted chain lengthening and cross-linking is avoided. And given, among other things, that unwanted chain lengthening and cross-linking is avoided in the resins of the present invention, the shelf life of these resin solutions is enhanced as compared to previously known organosiloxane resins.
  • the shelf life of the organosiloxane resin solutions described herein is enhanced as compared to previously known hydridosiloxane resins.
  • the present organosiloxane has a molecular weight from about 1 ,000 to about 1 00,000.
  • the polymer backbone conformation is a cage configuration. Accordingly, there are only very low levels or reactive terminal moieties in the polymer resin given the cage conformation. A cage conformation of the polymer backbone also ensures that no unwanted chain lengthening polymerization will occur in solution, resulting in an extended shelf life.
  • Each silicon atom of the polymer is bonded to at least three oxygen atoms.
  • Moieties bonded to the polymer backbone include hydrogen and the organic groups described herein.
  • the present organosiloxane composition may be made with a dual phase solvent system using a catalyst.
  • the starting materials encompass trichlorosilane and a combination of organotrichlorosilanes including alkenyl or aryl substituted trichlorosilane.
  • the relative ratios of the trichlorosilane and the organotrichlorosilane determine the mole percent carbon-containing substituents in the polymer.
  • the method is as follows. Mix a solution of hydridotrihalosilanes and organic-substituted trihalosilanes (e.g. trichlorosilane and alkenyl or aryltrichlorosilane) to provide a mixture. Combine the mixture with a dual phase solvent including a non-polar solvent, and a polar solvent to provide a dual phase reaction mixture. Add a solid phase catalyst to the silane/solvent reaction mixture.
  • React the silanes to produce organohydridosiloxanes Recover the organosiloxane from the organic portion of the dual phase solvent system. Additional steps may include washing the recovered organosiloxane to remove any unreacted monomer, and fractionating the organosiloxane product to thereby classify the product according to molecular weight.
  • a catalyst used as a phase transfer catalyst may be used such as tetrabutylammonium chloride, and benzyltrimethylammonium chloride.
  • the phase transfer catalyst is introduced into the reaction mixture and the reaction is allowed to proceed to the desired degree of polymerization.
  • a dual phase solvent system including a continuous phase non-polar solvent and a polar solvent may be used.
  • the non-polar solvent includes, but is not limited to, any suitable alkyl, alkenyl or aryl compounds or a mixture of any or all such suitable compounds, the operational definition of "suitable” in the present context includes the functional characteristics of: 1 ) solubilizing the monomeric silicon compounds, 2) solubilizing the resin product, 3) stability of the resin product in the solvent, and 4) insolubility of unwanted reaction products.
  • Contemplated solvents include any suitable pure or mixture of organic, organometallic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature.
  • the solvent may also comprise any suitable pure or mixture of polar and non-polar compounds.
  • the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butryolactone, methylethylketone, and anisole.
  • the term “pure” means that component that has a constant composition. For example, pure water is composed solely of H2O.
  • the term “mixture” means that component that is not pure, including salt water.
  • polar means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • non- polar means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • Particularly preferred solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride, and mixtures thereof.
  • the second solvent phase is a polar phase, immiscible with the organic, non- polar solvent phase, and includes water, alcohols, and alcohol and water mixtures. It is thought that alcohol solubilizes reactive intermediates that are not yet soluble in the non-polar phase and would ordinarily be unstable in a substantially aqueous phase. The amount of alcohol present is, however, not so high as to significantly dissolve product polymers having molecular weights greater than about 400 AMUs.
  • Alcohols and other polar solvents suitable for use in the polar phase include, but are not limited to, water, methanol, ethanol, isopropanol, glycerol, diethyl ether, tetrahydrofuran, diglyme, and mixtures thereof.
  • the polar solvent includes a water/alcohol mixture wherein the water is present in an amount sufficient to preferentially solubilize ionic impurities not soluble in alcohol, and/or preclude solvent extraction of product compounds that might otherwise be soluble in alcohol.
  • the polar solvent phase advantageously retains the hydrochloric acid (HCI) condensation product and any metal salt or other ionic contaminants that may be present. Since any ionic contaminants are retained in the polar solvent phase, the organosiloxane product of this invention is of high purity and contains essentially no metal contaminants.
  • a solid phase catalyst and/or ion exchange resin such as the Amberjet 4200 or Amberlite 1-6766 ion exchange resins (both available from Rohm and Hass Company, Philadelphia,
  • Amberjet 4200 is a basic anion exchange resin based on the chloride ion.
  • Amberlite 1-6766 is also a basic anion exchange resin.
  • polymerization is catalyzed with a phase transfer catalyst such as tetrabutylammonium chloride.
  • the resulting siloxane/solvent solution is then filtered under ambient conditions via any of the filtration devices well known in the art. It is generally preferable to use a filtration device having a pore size less than about 1 m. A typical filtration process uses a pore size of about 0.1 m. Utility:
  • the present organosiloxane may also comprise additional components such as adhesion promoters, antifoam agents, detergents, flame retardants, pigments, plasticizers, stabilizers, striation modifiers, and surfactants.
  • the present organosiloxane may be used as ceramic binder, high temperature encapsulant, and fiber matrix binder.
  • the present composition is also useful as an adhesion promoter in that it exhibits good adhesive properties when coupled with other materials in non-microelectronic or microelectronic applications.
  • the present composition may be coupled with conventional and not-so-conventional layered materials, such as nanoporous dielectrics, cage-based dielectric materials, anti-reflective coatings, photoresist materials, conformal dielectric materials, substrates, infiltration layers, coatings, and i other layering or filling materials used for producing layered stacks, electronic components, or semiconductors.
  • the present compositions are used in microelectronic applications as etch stops, hardmasks, and dielectrics.
  • Layers or films of the instant compositions may be formed by solution techniques such as spraying, rolling, dipping, spin coating, flow coating, chemical vapor deposition (CVD), or casting, with spin coating being preferred for microelectronics.
  • the composition is placed into an CVD apparatus, vaporized, and introduced into a deposition chamber containing the substrate to be coated.
  • Vaporization may be accomplished by heating the composition above its vaporization point, by the use of vacuum, or by a combination of the above.
  • vaporization is accomplished at temperatures in the range of 50°C-300°C under atmospheric pressure or at lower temperature (near room temperature) under vacuum.
  • APCVD atmospheric pressure CVD
  • LPCVD low pressure CVD
  • PECVD plasma enhanced CVD
  • reactors that are operated in the mass transport limited regime must be designed so that all wafer surfaces are supplied with an equal flux of reactant.
  • the most widely used APCVD reactor designs provide a uniform supply of reactants by horizontally positioning the wafers and moving them under a gas stream.
  • LPCVD reactors operate in a reaction rate-limited mode.
  • the temperature of the process is an important parameter.
  • the reactor temperature must be homogeneous throughout the reactor and at all wafer surfaces.
  • the rate at which the deposited species arrive at the surface is not as critical as constant temperature.
  • LPCVD reactors do not have to be designed to supply an invariant flux of reactants to all locations of a wafer surface.
  • LPCVD reactors Under the low pressure of an LPCVD reactor, for example, operating at medium vacuum (30-250 Pa or 0.25-2.0 torr) and higher temperature (550-600°C), the diffusivity of the deposited species is increased by a factor of approximately 1 000 over the diffusivity at atmospheric pressure. The increased diffusivity is partially offset by the fact that the distance across which the reactants must diffusive increases by less than the square root of the pressure. The net effect is that there is more than an order of magnitude increase in the transport of reactants to the substrate surface and by-products away from the substrate surface.
  • LPCVD reactors are designed in two primary configurations: (a) horizontal tube reactors; and (b) vertical flow isothermal reactors.
  • Horizontal tube, hot wall reactors are the most widely used LPCVD reactors in VLSI processing. They are employed for depositing poly-Si, silicon nitride, and undoped and doped SiO 2 films. They find such broad applicability primarily because of their superior economy, throughput, uniformity, and ability to accommodate large diameter, e.g., 1 50 mm, wafers.
  • the vertical flow isothermal LPCVD reactor further extends the distributed gas feed technique so that each wafer receives an identical supply of fresh reactants.
  • Wafers are again stacked side by side, but are placed in perforated- quartz cages.
  • the cages are positioned beneath long, perforated, quartz reaction- gas injector tubes, one tube for each reactant gas. Gas flows vertically from the injector tubes, through the cage perforations, past the wafers, parallel to the wafer surface and into exhaust slots below the cage.
  • the size, number, and location of cage perforations are used to control the flow of reactant gases to the wafer surfaces.
  • each wafer may be supplied with identical quantities of fresh reactants from the vertically adjacent injector tubes.
  • this design may avoid the wafer-to-wafer reactant depletion effects of the end-feed tube reactors, requires no temperature ramping, produces highly uniform depositions, and reportedly achieves low particulate contamination.
  • the third major CVD deposition method is PECVD. This method is categorized not only by pressure regime, but also by its method of energy input. Rather than relying solely on thermal energy to initiate and sustain chemical reactions, PECVD uses an rf-induced glow discharge to transfer energy into the reactant gases, allowing the substrate to remain at a lower temperature than in APCVD or LPCVD processes. Lower substrate temperature is the major advantages of PECVD, providing film deposition on substrates not having sufficient thermal stability to accept coating by other methods. PECVD may also enhance deposition rates over those achieved using thermal reactions. Moreover, PECVD may produce films having unique compositions and properties. Desirable properties such as good adhesion, low pinpole density, good step coverage, adequate electrical properties, and compatibility with fine-line pattern transfer processes, have led to application of these films in VLSI.
  • PECVD requires control and optimization of several deposition parameters, including rf power density, frequency, and duty cycle.
  • the deposition process is dependent in a complex and interdependent way on these parameters, as well as on the usual parameters of gas composition, flow rates, temperature, and pressure.
  • the PECVD method is surface reaction limited, and adequate substrate temperature control is thus necessary to ensure uniform film thickness.
  • CVD systems usually contain the following components: gas sources, gas feed lines, mass-flow controllers for metering the gases into the system, a reaction chamber or reactor, a method for heating the wafers onto which the film is to be deposited, and in some types of systems, for adding additional energy by other means, and temperature sensors.
  • LPCVD and PECVD systems also contain pumps for establishing the reduced pressure and exhausting the gases from the chamber.
  • Suitable solvents for use in such solutions of the present compositions of the present invention include any suitable pure or mixture of organic, organometallic, or inorganic molecules that are volatized at a desired temperature.
  • Suitable solvents include aprotic solvents, for example, cyclic ketones such as cyclopentanone, cyclohexanone, cycloheptanone, and cyclooctanone; cyclic amides such as N- alkylpyrrolidinone wherein the alkyl has from about 1 to 4 carbon atoms; and N- cyclohexylpyrrolidinone and mixtures thereof.
  • organic solvents may be used herein insofar as they effectively control the viscosity of the resulting solution as a coating solution.
  • Various facilitating measures such as stirring and/or heating may be used to aid in the dissolution.
  • suitable solvents include methyethylketone, methylisobutylketone, dibutyl ether, cyclic dimethylpolysiloxanes, butyrolactone, ⁇ -butyrolactone, 2-heptanone, ethyl 3- ethoxypropionate, polyethylene glycol [dijmethyl ether, propylene glycol methyl ether acetate (PGMEA), anisole, and hydrocarbon solvents such as mesitylene, xylenes, benzene, and toluene.
  • a preferred solvent is cyclohexanone.
  • layer thicknesses are between 0.001 to about 1 5 microns. In microelectronics, the layer thickness is generally less than 2 microns.
  • the amount of solvent added to the composition is at least about 70 weight percent.
  • the present composition may be used as an interlayer dielectric in an interconnect associated with a single integrated circuit ("IC") chip.
  • IC integrated circuit
  • An integrated circuit chip would typically have on its surface a plurality of layers of the instant composition and multiple layers of metal conductors. It may also include regions of the present composition between discrete metal conductors or regions of conductor in the same layer or level of an integrated circuit.
  • a solution of the present composition is applied to a semiconductor wafer using conventional wet coating processes as, for example, spin coating; other well known coating techniques such as spray coating, flow coating, or dip coating may be employed in specific cases.
  • spin coating process the organosiloxane resin solution prepared in the manner described above is dispensed onto a wafer at or near its center.
  • the wafer will remain stationary during the dispense cycle, while in some embodiments, the wafer will turn or spin at a relatively low speed, typically at least about 200 revolutions per minute (rpm) .
  • the dispense cycle may be followed by a short rest period and then additional spins, hereinafter referred to as thickness spins, generally between approximately 500 and 3000 rpm, although other spin speeds may be used, as appropriate.
  • thickness spins generally between approximately 500 and 3000 rpm, although other spin speeds may be used, as appropriate.
  • a cyclohexanone solution of the present composition is spin-coated onto a substrate having electrically conductive components fabricated therein and the coated substrate is then subjected to thermal processing.
  • the present composition may be used in substractive metal (such as aluminum and aluminum/tungsten) processing and dual damascene (such as copper) processing.
  • An exemplary formulation of the instant composition is prepared by dissolving the present composition in cyclohexanone solvent under ambient conditions with strict adherence to a clean-handling protocol to prevent trace metal contamination in any conventional apparatus having a non- metallic lining.
  • the resulting solution comprises based on the total solution weight, from preferably about 0.02 to about 50 weight percent of the present composition and about 50 to about 99.98 weight percent solvent and more preferably from about 0.10 to about 30 weight percent of the present composition and about 70 to about 99.9 weight percent solvent.
  • a solvent solution of the present composition is provided in an amount of from about 0.05 to about 30 weight percent (%) based on the composition.
  • Application of the instant compositions onto planar or topographical surfaces or substrates may be carried out by using any conventional apparatus, preferably a spin coater, because the compositions used herein have a controlled viscosity suitable for such a coater.
  • Complete evaporation of the solvent by any suitable means, such as simple air drying during spin coating, by exposure to an ambient environment, or by heating on a hot plate or a plurality of hot plates up to 350°C, may be employed.
  • the substrate may have on it at least one layer of the present composition.
  • compositions may also be cured by exposure to ultraviolet radiation, microwave radiation, or electron beam radiation as taught by commonly assigned patent publication PCT/US96/08678; PCT/US00/28689 (WO 01 /29052); and PCT/USOO/28738 (WO 01 /29141 ); and US Patents 6,042,994; 6,080,526; 6,1 77, 143; and 6,235,353, which are incorporated herein by reference in their entireties.
  • the present compositions may also be subjected to ultraviolet radiation, microwave radiation, or electron beam radiation to achieve certain desirable film properties.
  • the coated structure is subjected to a bake and cure thermal process at increasing temperatures ranging from about 50°C up to about 450°C to polymerize the coating.
  • the preferred curing temperature is at least about 1 50°C.
  • curing is carried out at temperatures of from about 350°C to about 425°C.
  • Curing may be carried out in a conventional curing chamber such as an electric furnace, hot plate, and the like and is generally performed in an inert (non- oxidizing) atmosphere (nitrogen) in the curing chamber.
  • Any non-oxidizing or reducing atmospheres eg. argon, helium, hydrogen, and nitrogen processing gases
  • One advantage of the present composition is that it has minimal weight loss during curing as indicated in the Examples below.
  • the present coating may act as an interlayer and be on top of or covered by other organic or inorganic coatings, such as other dielectric (SiO 2 ) coatings, SiO 2 modified ceramic oxide layers, silicon containing coatings, silicon carbon containing coatings, silicon nitrogen containing coatings, silicon- nitrogen-carbon containing coatings, diamond like carbon coatings, titanium nitride coatings, tantalum nitride coatings, tungsten nitride coatings, aluminum coatings, copper coatings, tantalum coatings, organosiloxanes coatings, organo silicon glass coatings, and fluorinated silicon glass coatings.
  • Such multilayer coatings are taught in U.S. Pat. No. 4,973,526, which is incorporated herein by reference.
  • the present compositions prepared in the instant process may be readily formed as interlined dielectric layers between adjacent conductor paths on fabricated electronic or semiconductor substrates.
  • a semiconductor device comprising a film of the present composition typically has a second film adjacent to the first film.
  • This second film may be an inorganic or organic material.
  • a preferred organic material is an aromatic or aliphatic hydrocarbon and more preferably, an adamantane or diamantane based material is used. Examples of useful materials for the second film include but are not limited to those disclosed in International Publication WO00/31 1 83 published
  • the present composition has a dielectric constant of preferably less than 3.2 and more preferably from about 2.5 to less than 3.2.
  • the present composition may be used in a desirable all spin-on stacked film as taught by Michael E. Thomas, "Spin-On Stacked Films for Low kett Dielectrics", Solid State Technology (July 2001 ), incorporated herein in its entirety by reference.
  • Dielectric Constant The dielectric constant was determined by coating a thin film of aluminum on the cured layer and then doing a capacitance-voltage measurement at 1 MHz and calculating the k value based on the layer thickness.
  • Shrinkage/Expansion Film shrinkage or expansion was measured by determining the film thickness before and after the process. Shrinkage was expressed in percent of the original film thickness. Shrinkage was positive if the film thickness decreased. The actual thickness measurements were performed optically using a J.A. Woollam M-88 spectroscopic ellipsometer. A Cauchy model was used to calculate the best fit for Psi and Delta (details on Ellipsometry can be found in e.g. "Spectroscopic Ellipsometry and Reflectometry" by H.G. Thompkins and William A. McGahan, John Wiley and Sons, Inc., 1 999) . Refractive Index: The refractive index measurements were performed together with the thickness measurements using a J.A.
  • FTIR analysis FTIR spectra were taken using a Nicolet Magna 550 FTIR spectrometer in transmission mode. Substrate background spectra were taken on uncoated substrates. Film spectra were taken using the substrate as background.
  • Isothermal Gravimetric Analysis Weight Loss: Total weight loss was determined on the TA Instruments 2950 Thermogravimetric Analyzer (TGA) used in conjunction with a TA Instruments thermal analysis controller and associated software. A Platinel II Thermocouple and a Standard Furnace with a temperature range of 25°C to 1 000°C and heating rate of 0.1 °C to 1 00°C/min were used. A small amount of sample (7 to 1 2 mg) was weighed on the TGA's balance (resolution: 0.1 ⁇ g; accuracy: to ⁇ 0.1 %) and heated on a platinum pan. Samples were heated under nitrogen with a purge rate of 1 00 ml/min (60 ml/min going to the furnace and 40 ml/min to the balance).
  • TGA Thermogravimetric Analyzer
  • a grid was scribed into the dielectric layer according to the following.
  • a tape test was performed across the grid marking in the following manner: (1 ) a piece of adhesive tape, preferably Scotch brand #3m600-1 /2X1 296, was placed on the present layer, and pressed down firmly to make good contact; and (2) the tape was then pulled off rapidly and evenly at an angle of 1 80° to the layer surface. The sample was considered to pass if the layer remained intact on the wafer, or to have failed if part or all of the film pulled up with the tape.
  • Particle Count Film particles counts were measured on a KLA 6420 Surfscan. An oxide recipe with a film thickness matching the product film thickness was used. The recipe was set up with the lowest size threshold to be 0.2 microns. The particle number reported is the total number of particles detected above the size threshold.
  • Candela Film Wafers were analyzed with a Candela OSA and spun at 5000 rpm for the measurements. The data was acquired using S-specular acquisition mode with 50 microns track spacing and 16K data points per track. The resulting images were inspected visually for defects. No quantitative analysis was performed.
  • the contact angle measurement was performed to determine the contact angle of the dielectric solution on the inventive product in order to create a Si-wafer/dielectric/inventive product/dielectric stack.
  • a VCA2500 Video Contact Angle System from ASC Products was used to perform the measurements.
  • the wafer was coated with the first dielectric layer and then the inventive product layer.
  • a droplet of the dielectric solution which was to be deposited as the top layer was brought in contact with the inventive product surface.
  • the droplet volume was set to 0.8 microliter.
  • the video image was captured for the next 3.5 seconds beginning with time when the droplet was formed on the surface.
  • the contact angle was then measured on the captured video image using the contact angle measurement software. The average of five measurements is reported.
  • Such organosiloxanes had the required minimum of at least 50% methyl groups taught by US Patent 4,626,556; the contact angle with the aforementioned organic dielectric ranged from 1 5-39°.
  • Such organosiloxanes also included Honeywell HOSP® product comprising about 80% methyl groups and 20% hydrogen groups; the contact angle of HOSP® product with the aforementioned organic dielectric was 35°.
  • Figure 1 shows the TGA results for Honeywell Accuglass ® 720 product comprising organosiloxane with 66% phenyl and 34% methyl.
  • the program was: (a) ramp to 200°C and hold for 1 5 minutes at 200°C, (b) then ramp to 430°C and hold for 90 minutes at 430°C, and (c) two cycles ramping from 200°C to 450°C with a hold at 450°C for 30 minutes. Based on the TGA, the weight loss was 1 .9 percent per hour.
  • HOSPTM Product Bulletins (dated 1 1 /98 and 2/99) teach that HOSPTM polymer is thermally stable to 550°C and Honeywell's "Integration of HOSP® in Cu-Damascene Interconnect", IITC 2000 teaches that HOSP® polymer has TDMS stability to > 450°C.
  • HOSP® polymer comprising organosiloxane having 80% methyl groups and 20% hydrogen
  • a reactor was warmed up to 35°C. The following were added to the reactor: toluene (900 milliliters), 10% t-BACI in water (24.0 grams), deionzed water (23.4 grams), and ethanol (8.0 grams) with stirring.
  • a silane mixture was prepared in a teflon bottle by adding: phenyl trichlorosilane (81.0 grams), vinyl trichlorosilane (61.8 grams), and trichlorosilane (69.1 grams). Toluene (100 milliliters) was added to the mixture. Using a peristaltic pump, the silane mixture was added into reactor over period of 1 hour. The temperature was monitored in 5 minute segments.
  • Cyclohexanone 400 grams was added and distilled down to approximately 200 grams again. The prior step was repeated. The solution was transferred into a teflon bottle and cyclohexanone was added to a total of 700 grams. The final Mw was checked with GPC.
  • Example 2 means that benzyl was used instead of phenyl.
  • the TGA for Example 1 is shown in Figure 4.
  • the program was: (a) ramp to
  • the inventive composition advantageously shows based on the TGA, a weight loss of 0.34 percent per hour.
  • the FTIR for Example 1 is shown in Figure 5. After each processing step, the FTIR was determined as shown in Figure 5. The ratio of silanol to SiO shows that little silanol is present relative to SiO present.
  • compositions of Examples 1 -3 were used for these Examples.
  • the compositions were spun and baked and the baked film results are in Table 3.
  • the final weight-average molecular weight was 1 1 ,800 with polydispersity of 6.3. Solid content of the solution was 1 0.31 wt. % .
  • Resistivity 8" silicone wafers at a spin speed of 1000 rpm. The wafer was baked at
  • the ratio between the peak height at 1 1 36 cm-1 and 1058 cm-1 was 1 .20.
  • Dielectric constant of the film was measured on the low Resistivity wafer. The dielectric constant was 2.90.
  • the capacitance of the film was measured again after heating the wafer in a hot plate at 200°C for 2 minutes in order to drive off adsorbed moisture. The capacitance decreased by 1 .4% after the heating.
  • the 10.31 wt% solution from Example 1 was diluted with cyclohexanone to 1 .6 wt%. Films were spun on 8" wafer at 4 different spin speeds and then baked at 1 50C/200C/350C for 1 minute each. Post-bake thickness were: 21 9 A at 4000 rpm, 250 ⁇ at 3000 rpm, 302 A at 2000 rpm, and 421 A at 4000 rpm.
  • Example 1 9 The 1 .60 wt% solution from Example 1 9 was further diluted with cyclohexanone to four different concentrations: 1 .00%, 0.75%, 0.50%, and 0.25%. Post-bake thickness at different spin speeds were shown in the following table:
  • Example 1 Dried resin powder from solution of Example 1 was obtained by vacuum evaporation of the solvent at temperature below 1 20C. 27.7880 mg of the dried resin was put into TGA under flowing nitrogen. The sample was heated using the following cycle: (1 ) from 30°C to 200°C at 25°C/min and held at 200°C for 1 5 minutes,
  • Cyclohexanone was added to a composition of Example 1 above.
  • the solution was spun onto a film of an organic highly aromatic high temperature dielectric layer.
  • the purpose of the inventive layer was to serve as an etch stop.
  • a second layer of the same organic dielectric layer was spun onto the etch stop layer.
  • the following etch recipe was used: 20millitorr, 1000Watts, 1 20 N 2 /30 O 2 , and 40°C.
  • the O 2 quickly etched the organic dielectric layer.
  • the etch rate of the organic dielectric layer was 5540Angstroms/minute while the etch rate of the inventive layer was 241 Angstroms/minute and therefore, served as an etch stop.
  • Example 1 was repeated except that the solvent used was propylene glycol methyl ether acetate (PGMEA) with a final concentration 10.77%. It was concentrated and re-diluted to 13.49% and filtered with 0.1 micron syringe filter. The composition was spun onto a wafer. The wafer was baked at 1 50°C, 200°C, and 350°C for one minute each. The post-bake film was then cured in a furnace with flowing nitrogen at 400°C for 1 hour. Film results are in the following table where Rl stands for refractive index.
  • PGMEA propylene glycol methyl ether acetate
  • Thickness Rl post Thickness Rl (post Modulus Hardness (Angstroms, baked) (Angstroms, baked) (Gpa) (GPa) post baked) posl cured)
  • a dense stacked dielectric system was formed as follows.
  • a adhesion promoter layer using the composition of Example 1 was applied to a barrier. (Typical thicknesses of the adhesion promoter layer are 25-80 Angstroms with a uniformity of ⁇ 5-10 Angstroms.)
  • a layer of Honeywell GX-3TM dense adamantane dielectric material was then applied to the adhesion promoter layer.
  • a layer of the adhesion promoter was then applied.
  • a hard mask/CMP stop layer was then applied. After 3psi chemical mechanical planarization processing, the Example 1 and GX-3TM layer interface remained intact, i.e., no delamination.
  • a porous stacked dielectric system was formed as follows. A adhesion promoter layer using the composition of Example 1 was applied to a barrier. A layer of Honeywell GX-3PTM porous adamantane based dielectric material was then applied to the adhesion promoter layer. A buried etch stop using the composition of Example 1 was then applied. (Typical thicknesses of the buried etch stop layer are 250 Angstroms with a uniformity of ⁇ 10 Angstroms.) A layer of Honeywell GX- 3PTM porous adamantane based dielectric material was then applied to the buried etch stop layer. A hard mask/CMP stop using the composition of Example 1 was then applied. (Typical thicknesses of the hard mask/CMP stop layer are 500 Angstroms with a uniformity of ⁇ 1 0 Angstroms.)
  • etch stop layer using the composition of Example 1 and propylene glycol methyl ether acetate (PGMEA) solvent instead of cyclohexanone. It is also possible to form a hard mask/CMP stop layer using the composition of Example 1 and propylene glycol methyl ether acetate (PGMEA) solvent instead of cyclohexanone.
  • PGMEA propylene glycol methyl ether acetate
  • a layer of Honeywell GX-3TM dense adamantane based dielectric material was applied to a Si substrate; the applied layer had a thickness of 4000 Angstroms.
  • a layer of the composition of Example 1 was then applied; this applied layer had a thickness of 2400 Angstroms. This structure passed the tape test.
  • a layer of Honeywell NANOGLASS® porous silica dielectric material was applied to a Si substrate; the applied layer had a thickness of 3000 Angstroms.
  • a layer of the composition of Example 1 was then applied; this applied layer had a thickness of 2400 Angstroms. This structure passed the tape test.
  • the glass transition temperature of the composition of Example 1 is greater than 500°C.

Abstract

The present invention provides an organosiloxane comprising at least 80 weight percent of Formula I: [Y0.01-1.0SiO1.5-2]a[Z 0.01-1.0SiO1.5-2 ]b[H0.01-1.0SiO1.5-2]c where Y is aryl; Z is alkenyl; a is from 15 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I.The present organosiloxane may be used as ceramic binder, high temperature encapsulant, and fiber matrix binder. The present composition is also useful as an adhesion promoter in that it exhibits good adhesive properties when coupled with other materials in non-microelectronic or microelectronic applications. Preferably, the present compositions are used in microelectronic applications as etch stops, hardmasks, and dielectrics.

Description

ORGANOSILOXANES
Cross Reference to Related Applications
This application is a continuation-in-part of the following pending applications: a) US Application Serial No. 09/609437 filed on June 30, 2000, which is a divisional of US Patent 6, 1 77, 1 99 issued on January 23, 2001 ; b) US Application Serial No. 09/609499 filed on June 30, 2000, which is a divisional of US Patent 6,21 8,020 issued on April 1 7, 2001 ; c) US Application Serial No. 09/61 0567 filed on July 3, 2000, which is a divisional of US Patent 6,21 8,497 issued on April 1 7, 2001 ; d) US Application Serial No. 09/61 1 528 filed on June 30, 2000, which is a divisional of US Patent 6, 1 43,855 issued on November 7, 2000; and e) US Application Serial No. 1 0/07891 9 filed on February 1 9, 2002 (claiming the benefit of pending provisional patent applications US Serial No. 60/3341 69 filed November 20, 2001 ; US Serial No. 60/3341 72 filed November 29, 2001 ; and US Serial No. 60/336662 filed December 3, 2001 , all of which are incorporated herein by reference in their entireties.
Field of The Invention
The present invention relates to semiconductor devices, and in particular, to semiconductor devices having low dielectric constant materials therein.
Background of the Invention
In an effort to increase the performance and speed of semiconductor devices, semiconductor device manufacturers have sought to reduce the linewidth and spacing of interconnects while minimizing the transmission losses and reducing the capacitative coupling of the interconnects. One way to diminish power consumption and reduce capacitance is to decrease the dielectric constant (also referred to as "k") of the insulating material, or dielectric, that separates the interconnects. Insulator materials having low dielectric constants are especially desirable, because they typically allow faster signal propagation, reduce capacitance and cross talk between conductor lines, and lower voltages required for driving integrated circuits. Therefore, as interconnect linewidths decrease, concomitant decreases in the dielectric constant of the insulating material are required to achieve the improved performance and speed desired of future semiconductor devices. For example, devices having interconnect linewidths of 0.1 3 or 0.1 0 micron and below seek an insulating material having a dielectric constant (k) < 3. Semiconductor device manufacturers also seek materials that in addition to having a low dielectric constant, have the mechanical and thermal stability needed to withstand the thermal cycling and processing steps of semiconductor device manufacturing.
In a typical damascene process, a line pattern is etched in the surface of a insulating material, and the trenches formed in this manner, i.e., the horizontal structure created to house the horizontal electrical connections within a particular level or layer in a semiconductor device, is filled with copper by electroplating, electroless plating, or sputtering. After the copper is deposited onto the entire surface, a chemical-mechanical planarization (CMP) step is employed to remove excess copper, and to planarize the wafer for subsequent processing steps. This process is typically repeated several times to form vias, i.e., the vertical structures created to contain the vertical electrical connections that connect the trenches between at least two metal levels or layers of metal in a semiconductor device.
To further improve the damascene process, via and line formation can be integrated into a single process, which is then called dual damascene process. In the dual damascene process, a via dielectric layer is laid down onto a substrate, and the via dielectric layer is subsequently coated with a patterned etch stop layer, i.e., a layer that controls the etching or removal of the dielectric, whereby voids in the etch stop layer correspond to positions of vias that will be etched into the via dielectric. In a next step, a line dielectric is deposited onto the etch stop layer, which in turn is coated with a patterned hardmask layer that defines the traces of the lines. Current hardmask layers are made of silicon nitride, silicon oxynitride, silicon oxide, or silicon carbide. In a following step via and line traces are formed, whereby the line trenches are etched into the line dielectric until the etchant reaches the etch stop layer. In positions where there is no etch stop layer, the etching process continues through the via dielectric to form a via. As in the damascene process, etched via and line traces are filled with copper (after applying a Ta(N) barrier layer and a Cu-seed layer) and a CMP step finishes the dual damascene process.
Dielectric etching is difficult to control with today's required trench width of 0.1 3 micron. Thus, the etch stop performs a critical role in semiconductor device construction. A disadvantage of known hardmask and etch stop materials is their relatively high dielectric constant (k-value) . For example, typical hardmask and etch stop materials, including SiN, SiON, SiO2, and SiC, have an undesirably high dielectric constant of at least about 4.0 and are applied by chemical vapor deposition (CVD). Although J.J. Waeterloos et al., "Integration of a Low
Permittivity Spin-on Embedded Hardmask for Cu/SiLK Resin Dual Damascene",
Proceedings of the IEEE 2001 International Interconnect Technology Conference, pages 60-62 (June 4-6, 2001 ) teaches that a low-k spin-on organosiloxane film may replace the preceding known etch stop materials to lower the effective k value, the article reports that the organosiloxane film has a k value of 3.2 and does not disclose any details about the organosiloxane used.
US Patent 4,626,556 teaches organosilsesquioxane having required alkyl and alkenyl group side chains bonded thereto and optionally aryl groups and hydrogen side chains bonded thereto as a substitute for a photoresist material. US Patent 4,626,556 does not teach that its organosilsesquioxane may function as an etch stop or hardmask. In Comparative A below, we made an organosilsesquioxane having the required minimum at least 50% methyl groups of US Patent 4,626,556 and this material did not wet known, dielectric materials and thus, would not be useful as an etch stop. Although US Patent 4,626,556 teaches that its organosilsesquioxane films have low dielectric constants, US Patent 4,626,556 does not report any dielectric constant values. However, as those skilled in the art know, silanol results in an undesirable dielectric constant and US Patent 4, 626, 556's organosilsesquioxane transmission FTIR plots show that silanol (3400- 3700/cm) is present. Also, US Patent 4,626,556 teaches in a preferred embodiment, the presence of a crosslinking agent that is light activated and as those skilled in the art know, that these materials have high dielectric constants. Also, US Patent 4,626,556 teaches that at least 50% of its side chains are alkyl groups since the larger the amount of the alkyl group present, the higher the heat resistance. US Patent 4,626, 556's Examples 1 3 and 1 4 teach that its organosilsesquioxane was applied to a two inch thick silicone wafer wherein a thin film of one micron was formed; the film was then heated at 250°C for 2 hours, at 350°C for 1 hour, and then at 450°C for 30 minutes, and subjected to thermogravimetric analysis, in which no weight loss was observed up to 600°C. It is not clear if the silicone wafer weight was included in the "no weight loss" reported. Today's semiconductor manufacturers require a more stringent TGA test of a film alone and not on a wafer. This current more stringent TGA test requires heating and holding at 200°C (Weight loss represents how well the material was dried.), holding at 430°C for 90 minutes (Weight loss represents worst case scenario for shrinkage from low temperature bake to high temperature cure.), and heating at 450°C (Weight loss represents thermal stability.). Thus, US Patent 4,626, 556's organosilsesquioxane does not have the wetting characteristics, low dielectric constant, and thermal stability required by today's semiconductor manufacturers.
In 1 999, AlliedSignal Inc., now Honeywell International Inc., introduced HOSP® product comprising organosiloxane having about 80% methyl groups and 20% hydrogen groups. US Patent Publication 2001 /006848A1 published July 5, 2001 teaches that AlliedSignal's HOSP™ product is useful as a hardmask. Unfortunately, as reported in Comparative A below, this product does not have acceptable wetting properties with organic dielectrics.
Commonly assigned US Patents 5,973,095; 6,020,41 0; 6,043,330;
6, 1 77, 1 43; and 6,287,477 teach organohydridosiloxane resins of the formula (Ho.4-ι.oSiOι.5-ι.8)n(Ro. -ι.oSiOι.5-ι.8)m where R is alkyl groups, aryl groups, and mixtures thereof. See also commonly assigned US Patent 6,01 5,457. Unfortunately, as reported in Comparative B below, a composition comprising 50% phenyl groups and 50% hydrogen subjected to the current stringent TGA test had a weight loss of 1 .0 percent per hour.
Thus, a need still exists in the semiconductor industry to provide: a) compositions with lower dielectric constants; b) compositions with improved mechanical properties, such as thermal stability, glass transition temperature (Tg), and hardness; c) compositions that are capable of being solvated and spun-on to a wafer or layered material; and d) compositions that are versatile enough to function as a hardmask or an etch stop and can wet dielectric materials.
Summary of the Invention
In response to this need in the art, the present invention provides an organosiloxane comprising at least 80 weight percent of Formula I: [Yo.oι-ι.oSiOι.5-2]a[Zo.oι-ι.oSiOι.5-2]b[Ho.oι-ι.oSiOι.5- ]c where Y is aryl; Z is alkenyl; a is from 1 5 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I. Unlike alkyl- containing materials similar to those taught in US Patent 4,626,556 that do not wet known dielectric materials, the present composition wets dielectric materials as reported in our Examples below and thus, may be advantageously used as an etch stop. Contrary to US Patent 4, 626, 556's teaching that its organosilsesquioxane requires the presence of alkyl groups for heat resistance and does not require the presence of aryl groups, we have discovered that the present composition requiring the presence of aryl groups but not requiring the presence of alkyl groups has good thermal stability as evidenced by the TGA results reported below. The present composition also has a dielectric constant of preferably less than 3.2. Another benefit of the present composition is that it has a low crosslinking temperature. The present composition may contain up to 20 weight percent of other units as long as the other units do not detract from the desirable properties of the present composition.
In another embodiment, the present invention provides a spin-on etch stop comprising organosiloxane of Formula I: [Yo.oι-ι.oSiOι.5-2]a[Zo.oι-ι.oSiOι.5-2]b[Ho.oι-ι.oSiOι.5-2]c where Y is aryl; Z is alkenyl; a is from 1 5 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I and having substantially no silanol and a dielectric constant of less than 3.2. This etch stop advantageously wets dielectric materials, has good adhesion to dielectric materials, has good thermal stability, and a low crosslinking temperature.
In another embodiment, the present invention provides an organosiloxane having alkenyl groups and thermal stability.
Brief Description of the Drawings
Figure 1 shows TGA data for Honeywell Accuglass ® 720 organosiloxane comprising 66% phenyl and 34% methyl.
Figure 2 shows TGA data for Honeywell HOSP® organosiloxane having 80% methyl groups and 20% hydrogen.
Figure 3 shows TGA data for organosiloxane having 50% phenyl groups and 50% hydrogen.
Figure 4 shows TGA data for the present composition.
Figure 5 shows FTIR data for the present composition. Detailed Description of the Invention
The phrase "substantially no silanol" as used herein excludes the presence of silanol as evidenced by FTIR silanol peaks taught by US Patent 4,626,556.
The term "organosiloxane" as used herein means Si and carbon containing compounds, includes organosilsesquioxane, and excludes the presence of crosslinking agent activated by light as taught by US Patent 4,626,556.
The phrase "thermal stability" as used herein means less than 0.5 percent weight loss at 450°C.
Contemplated polymers comprise a polymer backbone encompassing alternate silicon and oxygen atoms. In Formula I above, preferably Y is phenyl, benzyl, substituted phenyl, naphthyl, anthryl, and phenanthryl. In Formula I above, preferably Z is vinyl, substituted vinyl, vinyl ether, acrylate, and methacrylate. In Formula I above, preferably a is from 30 percent to 70 percent of Formula I and b is from 10 percent to 40 percent of Formula I.
Polymers of the present invention may be produced with or without essentially no hydroxyl or alkoxy groups bonded to backbone silicon atoms. Preferably, each silicon atom, in addition to the aforementioned backbone oxygen atoms, is bonded only to hydrogen atoms and/or Y groups or Z groups as defined in Formula I. By attaching only hydrogen and/or Y and Z groups directly to backbone silicon atoms in the polymer, unwanted chain lengthening and cross-linking is avoided. And given, among other things, that unwanted chain lengthening and cross-linking is avoided in the resins of the present invention, the shelf life of these resin solutions is enhanced as compared to previously known organosiloxane resins. Furthermore, since silicon-carbon bonds are less reactive than silicon-hydrogen bonds, the shelf life of the organosiloxane resin solutions described herein is enhanced as compared to previously known hydridosiloxane resins. Preferably, the present organosiloxane has a molecular weight from about 1 ,000 to about 1 00,000.
In a preferred embodiment, it is believed but not confirmed that the polymer backbone conformation is a cage configuration. Accordingly, there are only very low levels or reactive terminal moieties in the polymer resin given the cage conformation. A cage conformation of the polymer backbone also ensures that no unwanted chain lengthening polymerization will occur in solution, resulting in an extended shelf life. Each silicon atom of the polymer is bonded to at least three oxygen atoms. Moieties bonded to the polymer backbone include hydrogen and the organic groups described herein.
The present organosiloxane composition may be made with a dual phase solvent system using a catalyst. The starting materials encompass trichlorosilane and a combination of organotrichlorosilanes including alkenyl or aryl substituted trichlorosilane. The relative ratios of the trichlorosilane and the organotrichlorosilane determine the mole percent carbon-containing substituents in the polymer. As an example, the method is as follows. Mix a solution of hydridotrihalosilanes and organic-substituted trihalosilanes (e.g. trichlorosilane and alkenyl or aryltrichlorosilane) to provide a mixture. Combine the mixture with a dual phase solvent including a non-polar solvent, and a polar solvent to provide a dual phase reaction mixture. Add a solid phase catalyst to the silane/solvent reaction mixture.
React the silanes to produce organohydridosiloxanes. Recover the organosiloxane from the organic portion of the dual phase solvent system. Additional steps may include washing the recovered organosiloxane to remove any unreacted monomer, and fractionating the organosiloxane product to thereby classify the product according to molecular weight.
A catalyst used as a phase transfer catalyst may be used such as tetrabutylammonium chloride, and benzyltrimethylammonium chloride. The phase transfer catalyst is introduced into the reaction mixture and the reaction is allowed to proceed to the desired degree of polymerization.
A dual phase solvent system including a continuous phase non-polar solvent and a polar solvent may be used. The non-polar solvent includes, but is not limited to, any suitable alkyl, alkenyl or aryl compounds or a mixture of any or all such suitable compounds, the operational definition of "suitable" in the present context includes the functional characteristics of: 1 ) solubilizing the monomeric silicon compounds, 2) solubilizing the resin product, 3) stability of the resin product in the solvent, and 4) insolubility of unwanted reaction products.
Contemplated solvents include any suitable pure or mixture of organic, organometallic or inorganic molecules that are volatilized at a desired temperature, such as the critical temperature. The solvent may also comprise any suitable pure or mixture of polar and non-polar compounds. In preferred embodiments, the solvent comprises water, ethanol, propanol, acetone, ethylene oxide, benzene, toluene, ethers, cyclohexanone, butryolactone, methylethylketone, and anisole. As used herein, the term "pure" means that component that has a constant composition. For example, pure water is composed solely of H2O. As used herein, the term "mixture" means that component that is not pure, including salt water. As used herein, the term "polar" means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. As used herein, the term "non- polar" means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. Particularly preferred solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride, and mixtures thereof.
The second solvent phase is a polar phase, immiscible with the organic, non- polar solvent phase, and includes water, alcohols, and alcohol and water mixtures. It is thought that alcohol solubilizes reactive intermediates that are not yet soluble in the non-polar phase and would ordinarily be unstable in a substantially aqueous phase. The amount of alcohol present is, however, not so high as to significantly dissolve product polymers having molecular weights greater than about 400 AMUs.
Alcohols and other polar solvents suitable for use in the polar phase include, but are not limited to, water, methanol, ethanol, isopropanol, glycerol, diethyl ether, tetrahydrofuran, diglyme, and mixtures thereof. In one embodiment, the polar solvent includes a water/alcohol mixture wherein the water is present in an amount sufficient to preferentially solubilize ionic impurities not soluble in alcohol, and/or preclude solvent extraction of product compounds that might otherwise be soluble in alcohol. The polar solvent phase advantageously retains the hydrochloric acid (HCI) condensation product and any metal salt or other ionic contaminants that may be present. Since any ionic contaminants are retained in the polar solvent phase, the organosiloxane product of this invention is of high purity and contains essentially no metal contaminants.
In another embodiment of the method disclosed herein, a solid phase catalyst and/or ion exchange resin, such as the Amberjet 4200 or Amberlite 1-6766 ion exchange resins (both available from Rohm and Hass Company, Philadelphia,
Pennsylvania), surface catalyzes the polymerization of the trihalosilane and organo- trihalosilane monomers into the composition of this invention. Amberjet 4200 is a basic anion exchange resin based on the chloride ion. Amberlite 1-6766 is also a basic anion exchange resin. By way of explanation, and not by way of limitation, it is thought polymer chain propagation occurs on the catalyst surface by hydrolysis of the Si-CI bond of the monomer to Si-OH, followed by condensation with another
Si-OH to provide an Si-O-Si bond, thereby extending the polymer chain. In other embodiments, polymerization is catalyzed with a phase transfer catalyst such as tetrabutylammonium chloride.
The resulting siloxane/solvent solution is then filtered under ambient conditions via any of the filtration devices well known in the art. It is generally preferable to use a filtration device having a pore size less than about 1 m. A typical filtration process uses a pore size of about 0.1 m. Utility:
The present organosiloxane may also comprise additional components such as adhesion promoters, antifoam agents, detergents, flame retardants, pigments, plasticizers, stabilizers, striation modifiers, and surfactants.
The present organosiloxane may be used as ceramic binder, high temperature encapsulant, and fiber matrix binder. The present composition is also useful as an adhesion promoter in that it exhibits good adhesive properties when coupled with other materials in non-microelectronic or microelectronic applications. In microelectronic applications, the present composition may be coupled with conventional and not-so-conventional layered materials, such as nanoporous dielectrics, cage-based dielectric materials, anti-reflective coatings, photoresist materials, conformal dielectric materials, substrates, infiltration layers, coatings, and i other layering or filling materials used for producing layered stacks, electronic components, or semiconductors.
Preferably, the present compositions are used in microelectronic applications as etch stops, hardmasks, and dielectrics. Layers or films of the instant compositions may be formed by solution techniques such as spraying, rolling, dipping, spin coating, flow coating, chemical vapor deposition (CVD), or casting, with spin coating being preferred for microelectronics.
For chemical vapor deposition (CVD), the composition is placed into an CVD apparatus, vaporized, and introduced into a deposition chamber containing the substrate to be coated. Vaporization may be accomplished by heating the composition above its vaporization point, by the use of vacuum, or by a combination of the above. Generally, vaporization is accomplished at temperatures in the range of 50°C-300°C under atmospheric pressure or at lower temperature (near room temperature) under vacuum.
Three types of CVD processes exist: atmospheric pressure CVD (APCVD), low pressure CVD (LPCVD), and plasma enhanced CVD (PECVD) . Each of these approaches had advantages and disadvantages. APCVD devices operate in a mass transport limited reaction mode at temperatures of approximately 400°C. In mass- transport limited deposition, temperature control of the deposition chamber is less critical than in other methods because mass transport processes are only weakly dependent on temperature. As the arrival rate of the reactants is directly proportional to their concentration in the bulk gas, maintaining a homogeneous concentration of reactants in the bulk gas adjacent to the wafers is critical. Thus, to insure films of uniform thickness across a wafer, reactors that are operated in the mass transport limited regime must be designed so that all wafer surfaces are supplied with an equal flux of reactant. The most widely used APCVD reactor designs provide a uniform supply of reactants by horizontally positioning the wafers and moving them under a gas stream.
In contrast to APCVD reactors, LPCVD reactors operate in a reaction rate- limited mode. In processes that are run under reaction rate-limited conditions, the temperature of the process is an important parameter. To maintain a uniform deposition rate throughout a reactor, the reactor temperature must be homogeneous throughout the reactor and at all wafer surfaces. Under reaction rate-limited conditions, the rate at which the deposited species arrive at the surface is not as critical as constant temperature. Thus, LPCVD reactors do not have to be designed to supply an invariant flux of reactants to all locations of a wafer surface.
Under the low pressure of an LPCVD reactor, for example, operating at medium vacuum (30-250 Pa or 0.25-2.0 torr) and higher temperature (550-600°C), the diffusivity of the deposited species is increased by a factor of approximately 1 000 over the diffusivity at atmospheric pressure. The increased diffusivity is partially offset by the fact that the distance across which the reactants must diffusive increases by less than the square root of the pressure. The net effect is that there is more than an order of magnitude increase in the transport of reactants to the substrate surface and by-products away from the substrate surface. LPCVD reactors are designed in two primary configurations: (a) horizontal tube reactors; and (b) vertical flow isothermal reactors. Horizontal tube, hot wall reactors are the most widely used LPCVD reactors in VLSI processing. They are employed for depositing poly-Si, silicon nitride, and undoped and doped SiO2 films. They find such broad applicability primarily because of their superior economy, throughput, uniformity, and ability to accommodate large diameter, e.g., 1 50 mm, wafers.
The vertical flow isothermal LPCVD reactor further extends the distributed gas feed technique so that each wafer receives an identical supply of fresh reactants. Wafers are again stacked side by side, but are placed in perforated- quartz cages. The cages are positioned beneath long, perforated, quartz reaction- gas injector tubes, one tube for each reactant gas. Gas flows vertically from the injector tubes, through the cage perforations, past the wafers, parallel to the wafer surface and into exhaust slots below the cage. The size, number, and location of cage perforations are used to control the flow of reactant gases to the wafer surfaces. By properly optimizing cage perforation design, each wafer may be supplied with identical quantities of fresh reactants from the vertically adjacent injector tubes. Thus, this design may avoid the wafer-to-wafer reactant depletion effects of the end-feed tube reactors, requires no temperature ramping, produces highly uniform depositions, and reportedly achieves low particulate contamination.
The third major CVD deposition method is PECVD. This method is categorized not only by pressure regime, but also by its method of energy input. Rather than relying solely on thermal energy to initiate and sustain chemical reactions, PECVD uses an rf-induced glow discharge to transfer energy into the reactant gases, allowing the substrate to remain at a lower temperature than in APCVD or LPCVD processes. Lower substrate temperature is the major advantages of PECVD, providing film deposition on substrates not having sufficient thermal stability to accept coating by other methods. PECVD may also enhance deposition rates over those achieved using thermal reactions. Moreover, PECVD may produce films having unique compositions and properties. Desirable properties such as good adhesion, low pinpole density, good step coverage, adequate electrical properties, and compatibility with fine-line pattern transfer processes, have led to application of these films in VLSI.
PECVD requires control and optimization of several deposition parameters, including rf power density, frequency, and duty cycle. The deposition process is dependent in a complex and interdependent way on these parameters, as well as on the usual parameters of gas composition, flow rates, temperature, and pressure. Furthermore, as with LPCVD, the PECVD method is surface reaction limited, and adequate substrate temperature control is thus necessary to ensure uniform film thickness.
CVD systems usually contain the following components: gas sources, gas feed lines, mass-flow controllers for metering the gases into the system, a reaction chamber or reactor, a method for heating the wafers onto which the film is to be deposited, and in some types of systems, for adding additional energy by other means, and temperature sensors. LPCVD and PECVD systems also contain pumps for establishing the reduced pressure and exhausting the gases from the chamber.
Suitable solvents for use in such solutions of the present compositions of the present invention include any suitable pure or mixture of organic, organometallic, or inorganic molecules that are volatized at a desired temperature. Suitable solvents include aprotic solvents, for example, cyclic ketones such as cyclopentanone, cyclohexanone, cycloheptanone, and cyclooctanone; cyclic amides such as N- alkylpyrrolidinone wherein the alkyl has from about 1 to 4 carbon atoms; and N- cyclohexylpyrrolidinone and mixtures thereof. A wide variety of other organic solvents may be used herein insofar as they effectively control the viscosity of the resulting solution as a coating solution. Various facilitating measures such as stirring and/or heating may be used to aid in the dissolution. Other suitable solvents include methyethylketone, methylisobutylketone, dibutyl ether, cyclic dimethylpolysiloxanes, butyrolactone, γ-butyrolactone, 2-heptanone, ethyl 3- ethoxypropionate, polyethylene glycol [dijmethyl ether, propylene glycol methyl ether acetate (PGMEA), anisole, and hydrocarbon solvents such as mesitylene, xylenes, benzene, and toluene. A preferred solvent is cyclohexanone.
Typically, layer thicknesses are between 0.001 to about 1 5 microns. In microelectronics, the layer thickness is generally less than 2 microns. The amount of solvent added to the composition is at least about 70 weight percent.
The present composition may be used as an interlayer dielectric in an interconnect associated with a single integrated circuit ("IC") chip. An integrated circuit chip would typically have on its surface a plurality of layers of the instant composition and multiple layers of metal conductors. It may also include regions of the present composition between discrete metal conductors or regions of conductor in the same layer or level of an integrated circuit.
In application of the instant polymers to ICs, a solution of the present composition is applied to a semiconductor wafer using conventional wet coating processes as, for example, spin coating; other well known coating techniques such as spray coating, flow coating, or dip coating may be employed in specific cases. In the spin coating process, the organosiloxane resin solution prepared in the manner described above is dispensed onto a wafer at or near its center. In some embodiments, the wafer will remain stationary during the dispense cycle, while in some embodiments, the wafer will turn or spin at a relatively low speed, typically at least about 200 revolutions per minute (rpm) . Optionally, the dispense cycle may be followed by a short rest period and then additional spins, hereinafter referred to as thickness spins, generally between approximately 500 and 3000 rpm, although other spin speeds may be used, as appropriate. As an illustration, a cyclohexanone solution of the present composition is spin-coated onto a substrate having electrically conductive components fabricated therein and the coated substrate is then subjected to thermal processing. The present composition may be used in substractive metal (such as aluminum and aluminum/tungsten) processing and dual damascene (such as copper) processing. An exemplary formulation of the instant composition is prepared by dissolving the present composition in cyclohexanone solvent under ambient conditions with strict adherence to a clean-handling protocol to prevent trace metal contamination in any conventional apparatus having a non- metallic lining. The resulting solution comprises based on the total solution weight, from preferably about 0.02 to about 50 weight percent of the present composition and about 50 to about 99.98 weight percent solvent and more preferably from about 0.10 to about 30 weight percent of the present composition and about 70 to about 99.9 weight percent solvent.
An illustration of the use of the present invention follows. A solvent solution of the present composition is provided in an amount of from about 0.05 to about 30 weight percent (%) based on the composition. Application of the instant compositions onto planar or topographical surfaces or substrates may be carried out by using any conventional apparatus, preferably a spin coater, because the compositions used herein have a controlled viscosity suitable for such a coater. Complete evaporation of the solvent by any suitable means, such as simple air drying during spin coating, by exposure to an ambient environment, or by heating on a hot plate or a plurality of hot plates up to 350°C, may be employed. The substrate may have on it at least one layer of the present composition. Further curing may be achieved by a hot temperature, i.e, greater than 300°C, hot plate or furnace. In addition to furnace or hot plate curing, the present compositions may also be cured by exposure to ultraviolet radiation, microwave radiation, or electron beam radiation as taught by commonly assigned patent publication PCT/US96/08678; PCT/US00/28689 (WO 01 /29052); and PCT/USOO/28738 (WO 01 /29141 ); and US Patents 6,042,994; 6,080,526; 6,1 77, 143; and 6,235,353, which are incorporated herein by reference in their entireties. The present compositions may also be subjected to ultraviolet radiation, microwave radiation, or electron beam radiation to achieve certain desirable film properties.
After application of the present composition to an electronic topographical substrate, the coated structure is subjected to a bake and cure thermal process at increasing temperatures ranging from about 50°C up to about 450°C to polymerize the coating. The preferred curing temperature is at least about 1 50°C. Generally, it is preferred that curing is carried out at temperatures of from about 350°C to about 425°C. Curing may be carried out in a conventional curing chamber such as an electric furnace, hot plate, and the like and is generally performed in an inert (non- oxidizing) atmosphere (nitrogen) in the curing chamber. Any non-oxidizing or reducing atmospheres (eg. argon, helium, hydrogen, and nitrogen processing gases) may be used in the practice of the present invention. One advantage of the present composition is that it has minimal weight loss during curing as indicated in the Examples below.
As indicated earlier, the present coating may act as an interlayer and be on top of or covered by other organic or inorganic coatings, such as other dielectric (SiO2) coatings, SiO2 modified ceramic oxide layers, silicon containing coatings, silicon carbon containing coatings, silicon nitrogen containing coatings, silicon- nitrogen-carbon containing coatings, diamond like carbon coatings, titanium nitride coatings, tantalum nitride coatings, tungsten nitride coatings, aluminum coatings, copper coatings, tantalum coatings, organosiloxanes coatings, organo silicon glass coatings, and fluorinated silicon glass coatings. Such multilayer coatings are taught in U.S. Pat. No. 4,973,526, which is incorporated herein by reference. And, as amply demonstrated, the present compositions prepared in the instant process may be readily formed as interlined dielectric layers between adjacent conductor paths on fabricated electronic or semiconductor substrates.
A semiconductor device comprising a film of the present composition typically has a second film adjacent to the first film. This second film may be an inorganic or organic material. A preferred organic material is an aromatic or aliphatic hydrocarbon and more preferably, an adamantane or diamantane based material is used. Examples of useful materials for the second film include but are not limited to those disclosed in International Publication WO00/31 1 83 published
June 2, 2000 and our pending patent applications Serial PCT/US01 /22204 filed October 1 7, 2001 ; PCT/US01 /501 82 filed December 31 , 2001 ; 60/345374 filed December 31 , 2001 ; 60/3471 95 filed January 8, 2002; 60/384,303 filed May 30, 2002; 60/3501 87 filed January 1 5, 2002 converted 1 0/1 60,773 filed May 30, 2002; 1 0/1 58,548 filed May 30, 2002; and 10/1 58,51 3 filed May 30, 2002; commonly assigned US Patents 6, 1 26,733; 5, 1 1 5,082; 5,986,045; and 6, 1 43,855; and commonly assigned International Patent Publications WO02/29052 published April 26, 2001 ; and WO01 /291 41 published April 26, 2001 .
The present composition has a dielectric constant of preferably less than 3.2 and more preferably from about 2.5 to less than 3.2.
The present composition may be used in a desirable all spin-on stacked film as taught by Michael E. Thomas, "Spin-On Stacked Films for Low kett Dielectrics", Solid State Technology (July 2001 ), incorporated herein in its entirety by reference.
Analytical Test Methods:
Dielectric Constant: The dielectric constant was determined by coating a thin film of aluminum on the cured layer and then doing a capacitance-voltage measurement at 1 MHz and calculating the k value based on the layer thickness.
Shrinkage/Expansion: Film shrinkage or expansion was measured by determining the film thickness before and after the process. Shrinkage was expressed in percent of the original film thickness. Shrinkage was positive if the film thickness decreased. The actual thickness measurements were performed optically using a J.A. Woollam M-88 spectroscopic ellipsometer. A Cauchy model was used to calculate the best fit for Psi and Delta (details on Ellipsometry can be found in e.g. "Spectroscopic Ellipsometry and Reflectometry" by H.G. Thompkins and William A. McGahan, John Wiley and Sons, Inc., 1 999) . Refractive Index: The refractive index measurements were performed together with the thickness measurements using a J.A. Woollam M-88 spectroscopic ellipsometer. A Cauchy model was used to calculate the best fit for Psi and Delta. Unless noted otherwise, the refractive index was reported at a wavelenth of 633nm (details on Ellipsometry can be found in e.g. "Spectroscopic Ellipsometry and Reflectometry" by H.G. Thompkins and William A. McGahan, John Wiley and Sons, Inc., 1 999) .
FTIR analysis: FTIR spectra were taken using a Nicolet Magna 550 FTIR spectrometer in transmission mode. Substrate background spectra were taken on uncoated substrates. Film spectra were taken using the substrate as background.
Film spectra were then analyzed for change in peak location and intensity. The results are reported in an absorbance mode.
Isothermal Gravimetric Analysis (ITGA) Weight Loss: Total weight loss was determined on the TA Instruments 2950 Thermogravimetric Analyzer (TGA) used in conjunction with a TA Instruments thermal analysis controller and associated software. A Platinel II Thermocouple and a Standard Furnace with a temperature range of 25°C to 1 000°C and heating rate of 0.1 °C to 1 00°C/min were used. A small amount of sample (7 to 1 2 mg) was weighed on the TGA's balance (resolution: 0.1 μg; accuracy: to ± 0.1 %) and heated on a platinum pan. Samples were heated under nitrogen with a purge rate of 1 00 ml/min (60 ml/min going to the furnace and 40 ml/min to the balance).
Tape Test: The tape test was performed following the guidelines given in
ASTM D3359-95. A grid was scribed into the dielectric layer according to the following. A tape test was performed across the grid marking in the following manner: (1 ) a piece of adhesive tape, preferably Scotch brand #3m600-1 /2X1 296, was placed on the present layer, and pressed down firmly to make good contact; and (2) the tape was then pulled off rapidly and evenly at an angle of 1 80° to the layer surface. The sample was considered to pass if the layer remained intact on the wafer, or to have failed if part or all of the film pulled up with the tape. Particle Count: Film particles counts were measured on a KLA 6420 Surfscan. An oxide recipe with a film thickness matching the product film thickness was used. The recipe was set up with the lowest size threshold to be 0.2 microns. The particle number reported is the total number of particles detected above the size threshold.
Candela Film: Wafers were analyzed with a Candela OSA and spun at 5000 rpm for the measurements. The data was acquired using S-specular acquisition mode with 50 microns track spacing and 16K data points per track. The resulting images were inspected visually for defects. No quantitative analysis was performed.
Contact Angle: The contact angle measurement was performed to determine the contact angle of the dielectric solution on the inventive product in order to create a Si-wafer/dielectric/inventive product/dielectric stack. A VCA2500 Video Contact Angle System from ASC Products was used to perform the measurements. In preparation for the measurement, the wafer was coated with the first dielectric layer and then the inventive product layer. For the measurement, a droplet of the dielectric solution which was to be deposited as the top layer was brought in contact with the inventive product surface. The droplet volume was set to 0.8 microliter. The video image was captured for the next 3.5 seconds beginning with time when the droplet was formed on the surface. The contact angle was then measured on the captured video image using the contact angle measurement software. The average of five measurements is reported.
Examples:
Comparative A:
Organosilsesquioxanes having 60-80% alkyl groups having 4 carbons or less and 20-40% hydrogen dewetted or had poor wetting with the organic dielectric of commonly assigned pending patent application US Serial 60/3501 87 filed January 1 5, 2002. Such organosiloxanes had the required minimum of at least 50% methyl groups taught by US Patent 4,626,556; the contact angle with the aforementioned organic dielectric ranged from 1 5-39°. Such organosiloxanes also included Honeywell HOSP® product comprising about 80% methyl groups and 20% hydrogen groups; the contact angle of HOSP® product with the aforementioned organic dielectric was 35°.
Comparative B:
Figure 1 shows the TGA results for Honeywell Accuglass ® 720 product comprising organosiloxane with 66% phenyl and 34% methyl. The program was: (a) ramp to 200°C and hold for 1 5 minutes at 200°C, (b) then ramp to 430°C and hold for 90 minutes at 430°C, and (c) two cycles ramping from 200°C to 450°C with a hold at 450°C for 30 minutes. Based on the TGA, the weight loss was 1 .9 percent per hour.
AlliedSignal Inc.'s HOSP™ Product Bulletins (dated 1 1 /98 and 2/99) teach that HOSP™ polymer is thermally stable to 550°C and Honeywell's "Integration of HOSP® in Cu-Damascene Interconnect", IITC 2000 teaches that HOSP® polymer has TDMS stability to > 450°C. However, when we subjected HOSP® polymer comprising organosiloxane having 80% methyl groups and 20% hydrogen to the current more stringent TGA test, the weight loss was 0.56 percent per hour as shown in Figure 2.
We also made an organosiloxane comprising 50% phenyl groups and 50% hydrogen as covered by commonly assigned US Patents 5,973,095; 6,020,410; 6,043,330; 6, 1 77, 1 43; and 6,287,477. Figure 3 shows the TGA results for this product. Based on the TGA, the weight loss was 1 .0 percent per hour. Examples 1 -14:
For Examples 1 and 3, a reactor was warmed up to 35°C. The following were added to the reactor: toluene (900 milliliters), 10% t-BACI in water (24.0 grams), deionzed water (23.4 grams), and ethanol (8.0 grams) with stirring. Separately, a silane mixture was prepared in a teflon bottle by adding: phenyl trichlorosilane (81.0 grams), vinyl trichlorosilane (61.8 grams), and trichlorosilane (69.1 grams). Toluene (100 milliliters) was added to the mixture. Using a peristaltic pump, the silane mixture was added into reactor over period of 1 hour. The temperature was monitored in 5 minute segments. The lines with rinsed with toluene for 10 minutes. After one hour, a GPC sample was taken and Mw was checked. After the Mw reached 30,000, the reaction was terminated. The solution was filtered using a Buchner funnel with paper filter #1 or 4. The solution was transferred into a separatory funnel and mixture of water (200 milliliters) and ethanol (50 milliliters) was added. The funnel mixture was shaken well several times. The aqueous layer was drained out. The solution was filtered through 0.45 micron Teflon or nylon filter in the Buchner funnel. The solution was transferred into a distillation flask and toluene (300 grams) was added. The toluene was distilled off on the Rotovap to approximately 200 grams. Cyclohexanone (400 grams) was added and distilled down to approximately 200 grams again. The prior step was repeated. The solution was transferred into a teflon bottle and cyclohexanone was added to a total of 700 grams. The final Mw was checked with GPC.
For Examples 2 and 4 through 14, the above was repeated except that the starting monomers and amounts used were as follows in Table 1.
The analytical results are in the following Table 2. In Table 2, Example 2, B means that benzyl was used instead of phenyl.
The TGA for Example 1 is shown in Figure 4. The program was: (a) ramp to
200°C and hold for 1 5 minutes at 200°C, (b) then ramp to 430°C and hold for 90 minutes at 430°C, and (c) two cycles ramping from 200°C to 450°C with a hold at
450°C for 30 minutes. Unlike Comparative B above, the inventive composition advantageously shows based on the TGA, a weight loss of 0.34 percent per hour.
The FTIR for Example 1 is shown in Figure 5. After each processing step, the FTIR was determined as shown in Figure 5. The ratio of silanol to SiO shows that little silanol is present relative to SiO present.
The contact angle with the organic dielectric of commonly assigned pending patent application US Serial 60/350187 filed January 15, 2002 ranged from 10°-15°.
Table 2
Examples 1 5-1 7:
The compositions of Examples 1 -3 were used for these Examples. The compositions were spun and baked and the baked film results are in Table 3.
Table 3
The final weight-average molecular weight was 1 1 ,800 with polydispersity of 6.3. Solid content of the solution was 1 0.31 wt. % .
Example 1 8
Solution from Example 1 was spun onto one high Resistivity and one low
Resistivity 8" silicone wafers at a spin speed of 1000 rpm. The wafer was baked at
1 50°C, 200°C, and 350°C for one minute each. The post-bake film was then cured in a furnace with flowing nitrogen at 400°C for 1 hour. Thickness of the post-cure film was 3776A with refractive index of 1 .459. The film expanded 2.1 % from post-bake to post- cure. FTIR of the film measured on the high Resistivity wafer showed the presence of SiH (2248 cm-1 and 884 cm-1 ), Si-phenyl (1432 cm-1 ), Si-vinyl (1 41 1 cm-1 ), and SiC (1 275 cm-1 ). Peak heights at 1 1 36 cm-1 (indicating cage SiO structure) and at 1058 cm- 1 (indicating branch SiO structure) were measured. The ratio between the peak height at 1 1 36 cm-1 and 1058 cm-1 was 1 .20. Dielectric constant of the film was measured on the low Resistivity wafer. The dielectric constant was 2.90. The capacitance of the film was measured again after heating the wafer in a hot plate at 200°C for 2 minutes in order to drive off adsorbed moisture. The capacitance decreased by 1 .4% after the heating.
Example 1 9
The 10.31 wt% solution from Example 1 was diluted with cyclohexanone to 1 .6 wt%. Films were spun on 8" wafer at 4 different spin speeds and then baked at 1 50C/200C/350C for 1 minute each. Post-bake thickness were: 21 9 A at 4000 rpm, 250 Λ at 3000 rpm, 302 A at 2000 rpm, and 421 A at 4000 rpm.
Example 20
The 1 .60 wt% solution from Example 1 9 was further diluted with cyclohexanone to four different concentrations: 1 .00%, 0.75%, 0.50%, and 0.25%. Post-bake thickness at different spin speeds were shown in the following table:
All films showed good uniformity and wetability.
Example 21
Dried resin powder from solution of Example 1 was obtained by vacuum evaporation of the solvent at temperature below 1 20C. 27.7880 mg of the dried resin was put into TGA under flowing nitrogen. The sample was heated using the following cycle: (1 ) from 30°C to 200°C at 25°C/min and held at 200°C for 1 5 minutes,
(2) from 200°C to 430°C at 10°C/min and held at 430°C for 90 minutes,
(3) cool to 200°C in 10 minutes, hold at 200°C for 10 minutes and heat to 450°C in 1 5 minutes,
(4) hold at 450°C for 30 minutes, (5) cool to 200°C in 10 minutes, hold at 200°C for 1 0 minutes and heat to 450°C in 1 5 minutes,
(6) hold at 450°C for 30 minutes,
(7) free cool to room temperature.
Weight loss percents for step (4) and step (6) were 0.37 % and 0.25%, respectively. Example 22
Cyclohexanone was added to a composition of Example 1 above. The solution was spun onto a film of an organic highly aromatic high temperature dielectric layer. The purpose of the inventive layer was to serve as an etch stop. A second layer of the same organic dielectric layer was spun onto the etch stop layer. The following etch recipe was used: 20millitorr, 1000Watts, 1 20 N2/30 O2, and 40°C. The O2 quickly etched the organic dielectric layer. The etch rate of the organic dielectric layer was 5540Angstroms/minute while the etch rate of the inventive layer was 241 Angstroms/minute and therefore, served as an etch stop.
Example 23
Example 1 was repeated except that the solvent used was propylene glycol methyl ether acetate (PGMEA) with a final concentration 10.77%. It was concentrated and re-diluted to 13.49% and filtered with 0.1 micron syringe filter. The composition was spun onto a wafer. The wafer was baked at 1 50°C, 200°C, and 350°C for one minute each. The post-bake film was then cured in a furnace with flowing nitrogen at 400°C for 1 hour. Film results are in the following table where Rl stands for refractive index.
Spin condition Thickness Rl (post Thickness Rl (post Modulus Hardness (Angstroms, baked) (Angstroms, baked) (Gpa) (GPa) post baked) posl cured)
300rpm/20s 5653.20 1.483 5775.90 1.454 5.80+/- 0.47 0.731+/-0.12 150rpm/20s 5784.45 1.496 6087.67 1.457 4.81+/-0.34 0.545+/-0.087
Example 24
In a copper dual damascene process, a dense stacked dielectric system was formed as follows. A adhesion promoter layer using the composition of Example 1 was applied to a barrier. (Typical thicknesses of the adhesion promoter layer are 25-80 Angstroms with a uniformity of ±5-10 Angstroms.) A layer of Honeywell GX-3™ dense adamantane dielectric material was then applied to the adhesion promoter layer. A layer of the adhesion promoter was then applied. A hard mask/CMP stop layer was then applied. After 3psi chemical mechanical planarization processing, the Example 1 and GX-3™ layer interface remained intact, i.e., no delamination.
It is also possible to form an adhesion promoter layer using the composition of Example 1 and propylene glycol methyl ether acetate solvent instead of cyclohexanone.
Example 25
In a copper dual damascene process, a porous stacked dielectric system was formed as follows. A adhesion promoter layer using the composition of Example 1 was applied to a barrier. A layer of Honeywell GX-3P™ porous adamantane based dielectric material was then applied to the adhesion promoter layer. A buried etch stop using the composition of Example 1 was then applied. (Typical thicknesses of the buried etch stop layer are 250 Angstroms with a uniformity of ± 10 Angstroms.) A layer of Honeywell GX- 3P™ porous adamantane based dielectric material was then applied to the buried etch stop layer. A hard mask/CMP stop using the composition of Example 1 was then applied. (Typical thicknesses of the hard mask/CMP stop layer are 500 Angstroms with a uniformity of ± 1 0 Angstroms.)
It is also possible to form an etch stop layer using the composition of Example 1 and propylene glycol methyl ether acetate (PGMEA) solvent instead of cyclohexanone. It is also possible to form a hard mask/CMP stop layer using the composition of Example 1 and propylene glycol methyl ether acetate (PGMEA) solvent instead of cyclohexanone.
Example 26
A layer of Honeywell GX-3™ dense adamantane based dielectric material was applied to a Si substrate; the applied layer had a thickness of 4000 Angstroms. A layer of the composition of Example 1 was then applied; this applied layer had a thickness of 2400 Angstroms. This structure passed the tape test.
Example 27
A layer of Honeywell NANOGLASS® porous silica dielectric material was applied to a Si substrate; the applied layer had a thickness of 3000 Angstroms. A layer of the composition of Example 1 was then applied; this applied layer had a thickness of 2400 Angstroms. This structure passed the tape test.
Example 28
The glass transition temperature of the composition of Example 1 is greater than 500°C.

Claims

Claims
1 . An organosiloxane comprising at least 80 weight percent of Formula I: [Yo.oι-ι.oSiOι.5-2]a[Zo.oι-ι.oSiOι.5-2]b[Ho.oι-ι.oSiOι.5- ]c where Y is aryl; Z is alkenyl; a is from 1 5 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I.
2. The organosiloxane of claim 1 wherein said Y is selected from phenyl, benzyl, substituted phenyl, naphthyl, anthryl, and phenanthryl.
3. The organosiloxane of claim 1 wherein said Z is selected from vinyl, substituted vinyl, vinyl ether, acrylate, and methacrylate.
4. An adhesion promoter comprising said organosiloxane of claim 1 .
5. A film comprising said adhesion promoter of claim 4.
6. A spin-on composition comprising said organosiloxane of claim 1 .
7. A chemical vapor deposition precursor comprising said organosiloxane of claim
1 .
8. A film comprising said spin-on composition of claim 6.
9. A film comprising said chemical vapor deposition precursor of claim 7.
10. A dielectric comprising said spin-on composition of claim 6.
1 1 . A hardmask comprising said spin-on composition of claim 6.
1 2. The hardmask of claim 1 1 additionally comprising solvent.
1 3. The hardmask of claim 1 2 wherein said solvent is cyclohexanone or propylene glycol methyl ether acetate.
14. A chemical mechanical planarization stop comprising said spin-on composition of claim 6.
1 5. The chemical mechanical planarization stop of claim 14 additionally comprising solvent.
1 6. The chemical mechanical planarization stop of claim 1 5 wherein said solvent is cyclohexanone or propylene glycol methyl ether acetate.
1 7. An etch stop comprising said spin-on composition of claim 6.
18. The etch stop of claim 1 7 additionally comprising solvent.
1 9. The etch stop of claim 1 8 wherein said solvent is cyclohexanone or propylene glycol methyl ether acetate.
20. A semiconductor device comprising said film of claim 8 and additionally comprising a second film that is adjacent to said first film.
21 . The semiconductor device of claim 20 wherein said second film is an inorganic material.
22. The semiconductor device of claim 20 wherein said second film is an organic material.
23. ,The semiconductor device of claim 22 wherein said organic material comprises aromatic or aliphatic hydrocarbon.
24. The semiconductor device of claim 22 wherein said organic material comprises adamantane or diamantane based material.
25. The semiconductor device of claim 20 having a dielectric constant of less than 3.2.
26. A spin-on etch stop comprising organosiloxane of Formula I: [Yo.oι-ι.oSiOι.5-2]a[Zo.oι-ι.oSiOι.5-2]b[Ho.oι-ι.oSiOι.5-2]c where Y is aryl; Z is alkenyl; a is from 1 5 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I with substantially no silanol and having a dielectric constant of less than 3.2.
27. The spin-on etch stop of claim 26 wherein said spin-on etch stop comprises at least 80 weight percent of said organosiloxane.
28. The spin-on etch stop of claim 26 wherein said Y is selected from phenyl, benzyl, substituted phenyl, naphthyl, anthryl, and phenanthryl.
29. The spin-on etch stop of claim 28 wherein said Y is selected from phenyl and benzyl.
30. The spin-on etch stop of claim 26 wherein said Z is selected from vinyl, substituted vinyl, vinyl ether, acrylate, and methacrylate.
31 . The spin-on etch stop of claim 26 wherein said dielectric constant is less than or equal to about 3.2.
32. An organosiloxane having alkenyl groups and thermal stability.
33. The organosiloxane of claim 32 wherein said organosiloxane comprises at least 80 weight percent of Formula I: [Yo.5-ιSiOι.5-2]a[Zo.5-ιSiOι.5-2]b[Ho.5-ιSiOι.5-2]c where Y is aryl; Z is alkenyl; a is from 1 5 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I.
34. The organosiloxane of claim 33 wherein said Y is selected from phenyl, benzyl, substituted phenyl, naphthyl, anthryl, and phenanthryl.
35. The organosiloxane of claim 33 wherein said Z is selected from vinyl, substituted vinyl, vinyl ether, acrylate, and methacrylate.
36. An adhesion promoter comprising said organosiloxane of claim 33.
37. A film comprising said adhesion promoter of claim 36.
38. A spin-on composition comprising said organosiloxane of claim 33.
39. A chemical vapor deposition precursor comprising said organosiloxane of claim
33.
40. A film comprising said spin-on composition of claim 38.
41 . A film comprising said chemical vapor deposition precursor of claim 39.
42. A dielectric comprising said spin-on composition of claim 38.
43. A hard mask comprising said spin-on composition of claim 38.
44. A chemical mechanical planarization stop comprising said spin-on composition of claim 38.
45. An etch stop comprising said spin-on composition of claim 38.
46. A semiconductor device comprising said film of claim 40 and additionally comprising a second film that is adjacent to said first film.
47. The semiconductor device of claim 46 wherein said second film is an inorganic material.
48. The semiconductor device of claim 46 wherein said second film is an organic material.
49. The semiconductor device of claim 48 wherein said organic material comprises aromatic or aliphatic hydrocarbon.
50. The semiconductor device of claim 48 wherein said organic material comprises adamantane or diamantane based material.
51 . The semiconductor device of claim 46 having a dielectric constant of less than
3.2.
52. A method of film formation comprising the step of: depositing a composition of at least 80 weight percent of Formula I: [Yo.oι-ι.oSiOι.5-2]a[Zo.oι-ι.oSiOι.5-2]b[Ho.oι-ι.oSiOι.5-2]c where Y is aryl; Z is alkenyl; a is from 1 5 percent to 70 percent of Formula I; b is from 2 percent to 50 percent of Formula I; and c is from 20 percent to 80 percent of Formula I onto a substrate.
53. The method of claim 52 wherein said depositing comprises chemical vapor deposition.
54. The method of claim 52 additionally comprising subjecting said deposited composition to thermal energy, microwave radiation, ultraviolet radiation, or electron beam radiation.
EP03796272A 2002-06-03 2003-06-03 Organosiloxanes Withdrawn EP1532193A4 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/161,561 US6962727B2 (en) 1998-03-20 2002-06-03 Organosiloxanes
US161561 2002-06-03
PCT/US2003/017658 WO2004037877A2 (en) 2002-06-03 2003-06-03 Organosiloxanes

Publications (2)

Publication Number Publication Date
EP1532193A2 true EP1532193A2 (en) 2005-05-25
EP1532193A4 EP1532193A4 (en) 2005-10-26

Family

ID=32174163

Family Applications (1)

Application Number Title Priority Date Filing Date
EP03796272A Withdrawn EP1532193A4 (en) 2002-06-03 2003-06-03 Organosiloxanes

Country Status (7)

Country Link
EP (1) EP1532193A4 (en)
JP (1) JP2006503165A (en)
KR (1) KR20050016505A (en)
CN (1) CN1671772A (en)
AU (1) AU2003298518A1 (en)
TW (1) TW200307709A (en)
WO (1) WO2004037877A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003026807A2 (en) 2001-09-21 2003-04-03 Philip Morris Products Inc. Method and apparatus for applying a material to a web

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070095736A (en) * 2006-03-22 2007-10-01 제일모직주식회사 Hardmask composition coated under photoresist comprising organosilane polymer and process of producing integrated circuit devices using thereof
US9284455B2 (en) * 2006-06-13 2016-03-15 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
KR100930672B1 (en) * 2008-01-11 2009-12-09 제일모직주식회사 Silicon-based hard mask composition and method for manufacturing semiconductor integrated circuit device using same
KR20100114075A (en) * 2008-01-15 2010-10-22 다우 코닝 코포레이션 Silsesquioxane resins
KR101030019B1 (en) 2009-12-31 2011-04-20 제일모직주식회사 Transparent resin for encapsulation material and electronic device including the same
TWI460864B (en) * 2011-11-11 2014-11-11 Au Optronics Corp Thin film transistor and fabricating method thereof
CN109536068B (en) * 2018-11-16 2021-08-17 宁波激智科技股份有限公司 High-viscosity protective film and preparation method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4626556A (en) * 1981-10-03 1986-12-02 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxane, process for producing the same, and semi-conductor using the same
US5859162A (en) * 1995-03-10 1999-01-12 Mitsubishi Denki Kabushiki Kaisha Silicone ladder polymer and process for producing the same
EP1036808A2 (en) * 1999-03-15 2000-09-20 DOW CORNING ASIA, Ltd. Hydrogenated octasilsesquioxane- vinyl group- containing copolymer and method for manufacture

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4626556A (en) * 1981-10-03 1986-12-02 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxane, process for producing the same, and semi-conductor using the same
US5859162A (en) * 1995-03-10 1999-01-12 Mitsubishi Denki Kabushiki Kaisha Silicone ladder polymer and process for producing the same
EP1036808A2 (en) * 1999-03-15 2000-09-20 DOW CORNING ASIA, Ltd. Hydrogenated octasilsesquioxane- vinyl group- containing copolymer and method for manufacture

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2004037877A2 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003026807A2 (en) 2001-09-21 2003-04-03 Philip Morris Products Inc. Method and apparatus for applying a material to a web

Also Published As

Publication number Publication date
KR20050016505A (en) 2005-02-21
WO2004037877A9 (en) 2004-11-11
WO2004037877A3 (en) 2005-01-13
AU2003298518A1 (en) 2004-05-13
WO2004037877A2 (en) 2004-05-06
TW200307709A (en) 2003-12-16
CN1671772A (en) 2005-09-21
JP2006503165A (en) 2006-01-26
EP1532193A4 (en) 2005-10-26
AU2003298518A8 (en) 2004-05-13

Similar Documents

Publication Publication Date Title
US6962727B2 (en) Organosiloxanes
US7011889B2 (en) Organosiloxanes
US7381441B2 (en) Low metal porous silica dielectric for integral circuit applications
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
US6423651B1 (en) Insulating film of semiconductor device and coating solution for forming insulating film and method of manufacturing insulating film
US7915181B2 (en) Repair and restoration of damaged dielectric materials and films
US6924346B2 (en) Etch-stop resins
US7834119B2 (en) Organic silicate polymer and insulation film comprising the same
EP1532193A2 (en) Organosiloxanes
US7148263B2 (en) Hybrid inorganic/organic low k dielectric films with improved mechanical strength
US20050136687A1 (en) Porous silica dielectric having improved etch selectivity towards inorganic anti-reflective coating materials for integrated circuit applications, and methods of manufacture
US20060180900A1 (en) Organo-silsesquioxane polymers for forming low-k dielectrics
WO2004101651A1 (en) Minimization of coating defects for compositions comprising silicon-based compounds and methods of producing and processing
KR20040049802A (en) Chemical Mechanical Polishing Stopper, Process for Preparing the Same, and Chemical Mechanical Polishing Process
JP2000021872A (en) Low-dielectric const. resin compsn., method of forming low-dielectric const. insulation film and manufacturing semiconductor device
JP4004983B2 (en) Insulating film forming material and insulating film using the same
JP2004292767A (en) Insulation film-forming material and insulation film using the same
JP2005200515A (en) Material for forming insulating film and insulating film using the same
KR20050090978A (en) Interlayer adhesion promoter for low k materials

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20041230

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20050909

RIC1 Information provided on ipc code assigned before grant

Ipc: 7C 09D 183/04 B

Ipc: 7H 01L 21/312 B

Ipc: 7H 01L 21/31 B

Ipc: 7C 23C 16/00 B

Ipc: 7B 05D 3/06 B

Ipc: 7B 05D 3/02 B

Ipc: 7C 08G 77/20 B

Ipc: 7C 08G 77/12 A

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20080103