EP1647402A1 - Ink jet nozzle arrangement with actuator mechanism in chamber between nozzle and ink supply - Google Patents

Ink jet nozzle arrangement with actuator mechanism in chamber between nozzle and ink supply Download PDF

Info

Publication number
EP1647402A1
EP1647402A1 EP05109733A EP05109733A EP1647402A1 EP 1647402 A1 EP1647402 A1 EP 1647402A1 EP 05109733 A EP05109733 A EP 05109733A EP 05109733 A EP05109733 A EP 05109733A EP 1647402 A1 EP1647402 A1 EP 1647402A1
Authority
EP
European Patent Office
Prior art keywords
ink
nozzle
layer
actuator
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP05109733A
Other languages
German (de)
French (fr)
Other versions
EP1647402B1 (en
Inventor
Kia Silverbrook
Gregory Mcavoy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silverbrook Research Pty Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AUPO7933A external-priority patent/AUPO793397A0/en
Priority claimed from AUPO8062A external-priority patent/AUPO806297A0/en
Priority claimed from AUPO8039A external-priority patent/AUPO803997A0/en
Priority claimed from AUPO8011A external-priority patent/AUPO801197A0/en
Priority claimed from AUPO7941A external-priority patent/AUPO794197A0/en
Priority claimed from AUPO8043A external-priority patent/AUPO804397A0/en
Priority claimed from AUPO7952A external-priority patent/AUPO795297A0/en
Priority claimed from AUPO7937A external-priority patent/AUPO793797A0/en
Priority claimed from AUPO8006A external-priority patent/AUPO800697A0/en
Priority claimed from AUPO8074A external-priority patent/AUPO807497A0/en
Priority claimed from AUPO8075A external-priority patent/AUPO807597A0/en
Priority claimed from AUPO8037A external-priority patent/AUPO803797A0/en
Priority claimed from AUPO7946A external-priority patent/AUPO794697A0/en
Priority claimed from AUPO7943A external-priority patent/AUPO794397A0/en
Priority claimed from AUPO7944A external-priority patent/AUPO794497A0/en
Priority claimed from AUPO8068A external-priority patent/AUPO806897A0/en
Priority claimed from AUPO8051A external-priority patent/AUPO805197A0/en
Priority claimed from AUPO8078A external-priority patent/AUPO807897A0/en
Priority claimed from AUPO8040A external-priority patent/AUPO804097A0/en
Priority claimed from AUPO8001A external-priority patent/AUPO800197A0/en
Priority claimed from AUPO8033A external-priority patent/AUPO803397A0/en
Priority claimed from AUPO8045A external-priority patent/AUPO804597A0/en
Priority claimed from AUPO8064A external-priority patent/AUPO806497A0/en
Priority claimed from AUPO7951A external-priority patent/AUPO795197A0/en
Priority claimed from AUPO8008A external-priority patent/AUPO800897A0/en
Priority claimed from AUPO7947A external-priority patent/AUPO794797A0/en
Priority claimed from AUPO8002A external-priority patent/AUPO800297A0/en
Priority claimed from AUPO8057A external-priority patent/AUPO805797A0/en
Priority claimed from AUPO8007A external-priority patent/AUPO800797A0/en
Priority claimed from AUPO8079A external-priority patent/AUPO807997A0/en
Priority claimed from AUPO8052A external-priority patent/AUPO805297A0/en
Priority claimed from AUPO8010A external-priority patent/AUPO801097A0/en
Priority claimed from AUPO8038A external-priority patent/AUPO803897A0/en
Priority claimed from AUPO8056A external-priority patent/AUPO805697A0/en
Priority claimed from AUPO7948A external-priority patent/AUPO794897A0/en
Priority claimed from AUPO8034A external-priority patent/AUPO803497A0/en
Priority claimed from AUPO8042A external-priority patent/AUPO804297A0/en
Priority claimed from AUPO8046A external-priority patent/AUPO804697A0/en
Priority claimed from AUPO7945A external-priority patent/AUPO794597A0/en
Priority claimed from AUPO8050A external-priority patent/AUPO805097A0/en
Priority claimed from AUPO8503A external-priority patent/AUPO850397A0/en
Priority claimed from AUPO9392A external-priority patent/AUPO939297A0/en
Priority claimed from AUPO9390A external-priority patent/AUPO939097A0/en
Priority claimed from AUPO9393A external-priority patent/AUPO939397A0/en
Priority claimed from AUPO9391A external-priority patent/AUPO939197A0/en
Priority claimed from AUPO9389A external-priority patent/AUPO938997A0/en
Priority claimed from AUPP0892A external-priority patent/AUPP089297A0/en
Priority claimed from AUPP0873A external-priority patent/AUPP087397A0/en
Priority claimed from AUPP0888A external-priority patent/AUPP088897A0/en
Priority claimed from AUPP0891A external-priority patent/AUPP089197A0/en
Priority claimed from AUPP0882A external-priority patent/AUPP088297A0/en
Priority claimed from AUPP0894A external-priority patent/AUPP089497A0/en
Priority claimed from AUPP0889A external-priority patent/AUPP088997A0/en
Priority claimed from AUPP0875A external-priority patent/AUPP087597A0/en
Priority claimed from AUPP0893A external-priority patent/AUPP089397A0/en
Priority claimed from AUPP0872A external-priority patent/AUPP087297A0/en
Priority claimed from AUPP0874A external-priority patent/AUPP087497A0/en
Priority claimed from AUPP1398A external-priority patent/AUPP139898A0/en
Priority claimed from AUPP1396A external-priority patent/AUPP139698A0/en
Priority claimed from AUPP2593A external-priority patent/AUPP259398A0/en
Priority claimed from AUPP2592A external-priority patent/AUPP259298A0/en
Priority claimed from AUPP3990A external-priority patent/AUPP399098A0/en
Priority claimed from AUPP3991A external-priority patent/AUPP399198A0/en
Priority claimed from AUPP3985A external-priority patent/AUPP398598A0/en
Priority claimed from AUPP3984A external-priority patent/AUPP398498A0/en
Priority claimed from AUPP3989A external-priority patent/AUPP398998A0/en
Priority claimed from AUPP3983A external-priority patent/AUPP398398A0/en
Priority claimed from AUPP3986A external-priority patent/AUPP398698A0/en
Priority claimed from AUPP3987A external-priority patent/AUPP398798A0/en
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Priority claimed from EP98933352A external-priority patent/EP0999934B1/en
Publication of EP1647402A1 publication Critical patent/EP1647402A1/en
Application granted granted Critical
Publication of EP1647402B1 publication Critical patent/EP1647402B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14427Structure of ink jet print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1629Manufacturing processes etching wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1632Manufacturing processes machining
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1635Manufacturing processes dividing the wafer into individual chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1637Manufacturing processes molding
    • B41J2/1639Manufacturing processes molding sacrificial molding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1642Manufacturing processes thin film formation thin film formation by CVD [chemical vapor deposition]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1643Manufacturing processes thin film formation thin film formation by plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1645Manufacturing processes thin film formation thin film formation by spincoating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1648Production of print heads with thermal bend detached actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2202/00Embodiments of or processes related to ink-jet or thermal heads
    • B41J2202/01Embodiments of or processes related to ink-jet heads
    • B41J2202/15Moving nozzle or nozzle plate

Definitions

  • the present invention relates to the field of ink jet printing systems.
  • US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilised by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
  • Piezo-electric ink jet printers are also one form of commonly utilised ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.
  • the ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media.
  • Printing devices utilising the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.
  • a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
  • esoteric techniques are also often utilised. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.
  • a sacrificial material to build up a mechanical system, within the sacrificial material being subsequently etched away so as to release the required mechanical structure.
  • a suitable common sacrificial material includes silicon dioxide which can be etched away in hydrofluoric acid.
  • MEMS devices are often constructed on silicon wafers having integral electronics such as, for example, using a multi-level metal CMOS layer.
  • the CMOS process includes the construction of multiple layers which may include the utilization of materials which can be attacked by the sacrificial etchant. This often necessitates the construction of passivation layers using extra processing steps so as to protect other layers from possible unwanted attack by a sacrificial etchant.
  • the present invention relates to ink jet printing and in particular, discloses a new form of ink jet printer which utilises a planar thermoelastic bend actuator to eject ink from a nozzle chamber.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port.
  • the thermal actuator comprises a lower planar surface constructed from a highly conductive material interconnected to an upper planar material constructed from an electrically resistive material such that upon passing a current between the planar surface, the thermal actuator is caused to bend towards the ink ejection port so as to thereby cause the ejection of ink from the ink ejection port.
  • the actuator is attached to a substrate and further includes a stiff paddle portion which increases the degree of bending of the actuator near the point where it is attached to the substrate.
  • the stiff paddle is formed of silicon nitride.
  • the actuator further includes an expansion coating having a high coefficient of thermal expansion on top of the upper planar surface so as to increase the amount of bending of the actuator.
  • the expansion coating can comprise substantially polytetrafluoroethylene. Between the upper and lower planar surfaces there is provided a gap, constructed through the utilisation of a sacrificial material which is deposited and subsequently etched away so as to leave the gap.
  • the upper planar surface includes a plurality of etchant holes provided to allow a more rapid etching of the sacrificial layer during construction.
  • the upper planar surface of the actuator comprises substantially Indium Tin Oxide (ITO) whereas the lower planar surface of the actuator comprises substantially a metal layer. Both surfaces are further coated with a passivation material as required.
  • ITO Indium Tin Oxide
  • the ink jet nozzle construction can be formed on a silicon wafer utilising micro-electro mechanical systems construction techniques.
  • an ink jet nozzle chamber having an ink ejection port in one wall of the chamber and an ink supply source interconnected to the chamber.
  • the ink jet nozzle chamber can comprise two actuators the first actuator for ejecting ink from the ink ejection port and a second actuator for pumping ink into the chamber from the ink supply source after the first actuator has caused the ejection of ink from the nozzle chamber.
  • the actuators can utilize thermal bending caused by a conductive heater element encased within a material having a high coefficient of thermal expansion whereby the actuators operate by means of electrical heating by the heater elements.
  • the heater elements can be of serpentine form and concertinaed upon heating so as to allow substantially unhindered expansion of said actuation material during heating.
  • the first actuator is arranged substantially opposite the ink ejection port and both actuators form segments of the nozzle chamber wall opposite the ink ejection port and between the nozzle chamber and the ink supply source.
  • the method for driving the actuators for the ejection of ink from the ink ejection port comprises utilizing the first actuator to eject ink from the ejection port and utilizing the second actuator to pump ink towards the ink ejection port so as to rapidly refill the nozzle chamber around the area of the ink ejection port.
  • the method for driving the actuators can comprise the following steps:
  • the material of the two actuators having a high coefficient of thermal expansion can comprise substantially polytetrafluoroethylene and the surface of the actuators are treated to make them hydrophilic.
  • the heater material embedded in the thermal actuators comprises substantially copper.
  • the actuators are formed by utilization of a sacrificial material layer which is etched away to release the actuators.
  • the ink jet nozzle chamber can be formed from crystallographic etching of a silicon substrate.
  • the thermal actuators are attached to a substrate at one end and the heating of the actuators is primarily near the attached end of the devices.
  • the ink jet nozzle is preferably constructed via fabrication from a silicon wafer utilizing semiconductor fabrication techniques.
  • an ink jet nozzle comprising an ink ejection port for the ejection of ink, an ink supply with an oscillating ink pressure interconnected to the ink ejection port, a shutter mechanism interconnected between the ink supply and the ink ejection port, which blocks the ink ejection port, and an actuator mechanism for moving the shutter mechanism on demand away from the ink ejection port so as to allow for the ejection of ink on demand from the ink ejection port.
  • the actuator can comprise a thermal actuator which is activated by the heating of one side of the actuator.
  • the actuator has a coiled form and is uncoiled upon heating.
  • the actuator can include a serpentine heater element encased in a material having a high coefficient of thermal expansion.
  • the serpentine heater can concertina upon heating.
  • the actuator includes a thick return trace for the serpentine heater element.
  • the material in which the serpentine heater element is encased can comprise polytetrafluoro-ethylene.
  • the actuator can be formed within a nozzle chamber which is formed on a silicon wafer and ink is supplied to the ejection port through channels etched through the silicon wafer.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply under a varying pressure interconnected to the nozzle chamber, and a shutter means located between the nozzle chamber and the ink supply source, which is activated on demand to allow ink to pass through the shutter means and to thereby cause ink to be ejected from the nozzle chamber. Further, the shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate.
  • the actuation means can comprise a serpentine conductive material encased within an expansive material having a high coefficient of thermal expansion such that, upon heating of the serpentine conductive material, the material concertinas so as to expand at a similar rate to the expansive material.
  • the expansive material comprises substantially polytetrafluoroethylene
  • the serpentine conductive material comprises substantially copper.
  • the buckling of the actuator can be between stable end connector portions constructed from the conductive material. In its quiescent state the shutter means is closed.
  • the ink supply source includes an ink supply channel interconnecting the shutter means by means of a through hole etching of the silicon wafer.
  • the through hole etching is produced preferably by high density low pressure plasma etching of the silicon wafer.
  • the ink supply source is driven with a substantially oscillating ink pressure.
  • a method of ejecting ink from a nozzle chamber in an ink jet nozzle that comprises a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, which includes an ink supply under varying pressure, and a shutter means located between the nozzle chamber and the ink supply source.
  • the shutter is activated on demand to allow ink to pass through the shutter means and the thereby cause ink to be ejected from the nozzle chamber.
  • the shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate.
  • the method can comprise the following steps:
  • an ink jet printing device comprising an ink chamber having an oscillating ink pressure, a plurality of nozzle apparatuses in fluid communication with the ink chamber which include a grilled shutter having a first open state permitting the expulsion of ink from the nozzle apparatus and a second closed state substantially restricting the expulsion of ink from the nozzle chamber, and a shutter activation means adapted to drive, on demand, the grilled shutter from a first to a second of these states.
  • the nozzle apparatus can include a locking means adapted to lock the grilled shutter in an open or closed state as required.
  • the method of operating the ink jet printing device of the type in accordance with the present invention can comprise the following steps:
  • the ink jet printing device has a shutter activation means that comprises a thermocouple device.
  • the thermocouple device can consist of two arms, one arm having a thermal jacket of low thermal conductivity.
  • the arm having the thermal jacket includes a thinned portion adapted to increase the travel of the thermocouple upon activation.
  • both the magnitude and frequency of the oscillating ink pressure in the ink chamber can be altered.
  • the size and period of each cycle can be scaled in accordance with such pre-calculated factors such as the number of nozzles ejecting ink and the pressure requirements for nozzle refill with different inks.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber and a thermal actuator unit activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator unit comprises a plurality of the thermal actuator petal devices arranged around a central stem so that upon activation of the thermal actuator petal devices, the devices bend in unison, thereby initiating the ejection of ink from the nozzle chamber.
  • the thermal actuator unit is located opposite the ink ejection port and the petal devices bent generally in the direction of the ink ejection port.
  • the thermal actuator petal devices can comprise a first material having a high coefficient of thermal expansion surrounding a second material which conducts resistively so as to provide for heating of the first material. Further the second material can be constructed so as to concertina upon expansion of the first material.
  • an air bubble forms under the thermal actuator during operation.
  • the first material of the thermal actuator petal can comprise substantially polytetrafluoroethylene, and the second material can comprise substantially copper.
  • the actuator petal devices are attached to a substrate and the heating of the petal devices is primarily near the attached end of the device.
  • the outer surface of the ink chamber can include a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • an ink jet printing device comprising an ink chamber containing ink subject to a periodic pressure variation, at least one ink jet nozzle apparatus which comprises a nozzle chamber having an aperture for the ejection of ink, a moveable shutter having a closed position covering the nozzle chamber and an open position allowing the nozzle chamber to be in fluid communication with the ink chamber and an actuation means responsive to a control signal and adapted to move the moveable shutter from a first of the positions to a second position upon activation of the control signal.
  • the first position is the closed position and the second position is the open position.
  • the actuator means can comprise a coiled thermal actuator, which is actuated via one of differing resistivities, differing cross-sectional areas, differing thermal expansion or differing thermal conductivities in the thermal actuator.
  • the periodic pressure variation in the ink jet printing device is derived from an ultrasonic transducer in fluid communication with the ink in the ink chamber.
  • a method of ejecting ink from a nozzle chamber in fluid communication with an ink reservoir, having a shutter controlling the flow of ink from the ink reservoir to the nozzle chamber which comprises the steps of:
  • the method of ejecting ink from a nozzle comprises periodic pressure waves including periods of negative pressure within the ink chamber and the shutter remains open during the periods of negative pressure so as to cause separation of ejected ink from the nozzle chamber.
  • the period of negative pressure is followed by a period of positive pressure in which the nozzle chamber is refilled with ink.
  • an ink jet nozzle arrangement comprising at least one nozzle chamber having an ink ejection port at one wall thereof and a plurality of vane units being adapted to be actuated by actuators and arranged around the ink ejection port. Further, the vane units are adapted to be actuated by the vane actuators so as to pressurise the volume around the ink ejection port so as to cause the ejection of ink from the ink ejection port.
  • the vane actuators each comprise two arms, being an expanding, flexible arm, and a rigid arm.
  • the flexible arm can comprise a conductive heater material encased within an expansion material having a high coefficient of thermal expansion.
  • the conductive heater material in the flexible arm is constructed so as to concertina upon expansion of the expansion material.
  • the heater material is of a serpentine form so as to allow substantially unhindered expansion of the expansion material during heating.
  • the rigid arm of the thermal actuator can include the return trace of the heater and the vane.
  • the vane units are arranged in a circumference around the ink ejection port and operate as an iris around the ink ejection port.
  • each ink jet nozzle can comprise four vane units.
  • the expansion material of the thermal actuators can be substantially comprised of polytetra-fluoroethylene and the conductive heater material can comprise substantially copper.
  • the outer surface of the nozzle chamber can include a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • a thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby the actuator operates via means of electrically heating the heater element of the thermal actuator, wherein the heater element has a corrugated structure so as to improve the thermal distribution of heat from the heater element to the actuation material so as to increase the speed of actuation of the thermal actuator.
  • the heater element can be of a serpentine or concertina form so as to allow substantially unhindered expansion of the actuation material during heating.
  • the thermal actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber.
  • both surfaces of the actuator are hydrophilic and the heater material within the actuator can comprise substantially copper.
  • the hydrophilic material can be formed by means of suitable processing a hydrophobic material.
  • a thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein the thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of the conductor material has a series of slots or holes so as to allow the actuation material to be integrally joined together so as to reduce the likelihood of delamination of the layers.
  • the portion having a series of slots or holes comprises a stiff structural paddle at one end of the actuator.
  • stiff structural paddle can include a regularly spaced array of holes defined therein.
  • an ink jet nozzle comprising the thermal actuator as one wall of an ink chamber, wherein the thermal actuator is attached to a wall of the nozzle chamber, and an ink chamber with an ejection port for the ejection of ink in a wall opposite to the wall formed by the thermal actuator.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator comprising two layers of actuator material having a high coefficient of thermal expansion, a top layer being substantially non conductive and a bottom layer being conductive, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer, which is cooled by the chamber ink.
  • the bottom layer comprises portions being conductive and portions being non-conductive such that a circuit is formed for the heating of the bottom layer through the interaction of the conductive and non-conductive portions.
  • the resistive circuit is created having predetermined area of low circuit cross-sectional area so as to produce high levels of heating of the actuators in those areas.
  • the non-conductive portions are formed from the same material as the top layer.
  • an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer.
  • the bottom of the actuator can have a hydrophobic surface and during operation the hydrophobic surface causes an air bubble to form under the thermal actuator.
  • the bottom surface of the actuator can be air vented so as to reduce the actuation energy required to eject ink from the nozzle chamber.
  • the air venting comprises a series of small holes underneath the actuator, the holes being interconnected to an air supply channel for the supply of air to the back of the actuator.
  • the area around the bottom surface of the actuator can be constructed from hydrophobic material.
  • the holes are of a size such that, during operation, any fluid is retained within the nozzle chamber.
  • the actuator is attached at one end to the nozzle chamber and the holes are located near the attached end and the actuator is constructed from polytetrafluoroethylene.
  • the actuator can a bottom layer treated in portions so as to form a conductive material.
  • an ink jet printing device of the type having at least one nozzle connected to an ink supply and having a buckle plate able to be deformed so as to eject ink on demand from the nozzle.
  • the buckle plate can be constructed from a first material having a high coefficient of thermal expansion and from a second electrically resistive material for heating the buckle plate.
  • the second material can have a lower coefficient of thermal expansion than the first material and is constructed in a serpentine manner so as to allow the expansion of the length of the heater means substantially in accordance with the expansion of the first material.
  • the first material comprises substantially polytetrafluoroethylene and the second material comprises substantially copper.
  • the energy of activation of the buckle plate for the ejection of a drop of ink is less than about 20 microjoules.
  • an ink ejection nozzle arrangement comprising an ink chamber having an ink ejection port, a pivotally mounted paddle wheel with a first plurality of radial paddle wheel vanes and a second plurality of fixed paddle chambers each of which has a corresponding one of the pivotally mounted paddle wheel vanes defining a surface of the paddle chamber such that upon rotation of the paddle wheel, ink within the paddle chambers is pressurised resulting in the ejection of ink through the ejection port.
  • the paddle chambers can include a side wall having a radial component relative to the pivotally mounted paddle wheel.
  • the ink ejection port is located above the pivot point of the paddle wheel.
  • the radial components of the paddle chamber's side walls are located substantially on the circumference of the pivotally mounted paddle wheel.
  • the rotation of the paddle wheel is controlled by a thermal actuator.
  • the thermal actuator comprises an internal electrically resistive element and an external jacket around the resistive element, made of a material having a high coefficient of thermal expansion relative to the embedded resistive element.
  • the resistive element can be of a substantially serpentine form, and preferably, the outer jacket comprises substantially polytetrafluoroethylene. The thermal actuator can undergo circumferential expansion relative to the pivotally mounted paddle wheel.
  • a method is provided to eject ink from an ink jet nozzle interconnected to the ink chamber.
  • the method comprises construction of a series of paddle chambers within the ink chamber, each of which has at least one moveable wall connected to a central pivoting portion activated by an activation means. After substantially filling the ink chamber with ink, utilization of the activation means connected to the moveable walls to reduce the volume in the paddle chambers results in an increased ink pressure within the chambers and consequential ejection of ink from the ink jet nozzle.
  • an actuated paddle for the movement of liquid within a chamber comprising a first surface having a hydrophobic surface, wherein the paddle defines a cavity between the hydrophobic surface and a wall of the chamber so as to be amendable to the collection of gasses within the cavity, and the paddle is actuated to move the hydrophobic surface away from the wall of the chamber. Further the degree of movement of the actuated paddle is insufficient to substantially disperse gasses within the cavity.
  • the actuated paddle is thermally actuated by means of a first structure having a low coefficient of thermal expansion and a second structure having a substantially larger coefficient of thermal expansion.
  • the structure having a high coefficient of thermal expansion is located closer to the cavity than the structure having a low coefficient of thermal expansion.
  • the actuated paddle includes a further surface adjacent to the liquid and the structure having a low coefficient of thermal expansion is located closest to the further surface.
  • the structure having the low coefficient of thermal expansion is substantially liquid cooled by the liquid, whereas the structure having the high coefficient of thermal expansion is located substantially in the cavity.
  • the structure having a high coefficient of thermal expansion and the first surface is substantially comprised from polytetrafluoroethylene.
  • the actuated paddle is attached to the chamber wall.
  • an ink jet nozzle comprising the actuated paddle located within a nozzle chamber, an ink supply interconnected to the nozzle chamber and an ink ejection portal in one wall opposite the actuated paddle for the ejection of ink.
  • a method of ejecting ink from the ink jet nozzle comprising the utilizing the activation of the actuated paddle to eject ink from the nozzle chamber, wherein the activation causes the actuated paddle to move towards the wall of the ink jet nozzle chamber comprising the ink ejection portal.
  • a thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby the actuator operates via means of electrically heating the heater element of the thermal actuator wherein the heater element has a corrugated structure so as to improve the thermal distribution of heat from the heater element to the actuation material so as to increase the speed actuation of the thermal actuator.
  • the heater element is of a serpentine or concertina form so as to allow substantially unhindered expansion of the actuation material during heating.
  • the thermal actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber.
  • one surface of the actuator is hydrophobic and the other surface is hydrophilic and the heater material within the actuator comprises substantially copper.
  • the hydrophilic material is formed by means of processing the hydrophobic material.
  • a thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein the thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of the conductor material has a series of slots or holes so as to allow the actuation material to be integrally joined together so as to reduce the likelihood of delamination of the layers.
  • the portion having a series of slots or holes comprises a stiff structural petal at an end of the actuator.
  • the stiff structural petal can include a regularly spaced array of holes defined therein.
  • the thermal vent actuator is attached at one end of a substrate and includes an actuation material having a high coefficient of thermal expansion, and further the actuator comprises a stable clamp on top of the actuator at the end attached to the substrate, which acts to decrease the likelihood of separation of the actuation material from the substrate.
  • the thermal vent actuator is utilized for the ejection of ink from a chamber via an ink nozzle.
  • the stable clamp forms part of a grille structure for the filtering of ink flow into the chamber for subsequent ejection.
  • the substrate is fabricated from a silicon wafer and the clamp is substantially comprised of silicon-nitride and is formed by means of a sacrificial etching process.
  • an ink jet print nozzle including a nozzle chamber having an ink ejection port for the ejection of ink defmed in one wall of said nozzle chamber; an ink channel supply means for the supply of ink to the nozzle chamber; and an actuator mechanism located in the nozzle chamber and adapted to be activated so as to cause the ejection of ink from the nozzle chamber, the actuator mechanism including a portion located between said nozzle chamber and the ink channel supply means.
  • the actuator mechanism comprises a substantially planar thermal actuator and includes a serpentine conductive gold heater element layer encased within an expansive layer such that, upon activation, the thermal actuator is caused to bend towards said ink ejection port so as to cause the expulsion of ink from said nozzle chamber.
  • One surface of the planar thermal actuator can include a portion having a hydrophobic properties such that, during operation, an air bubble is formed between said surface and a wall of said nozzle chamber so as to increase the efficiency of operation of said thermal actuator.
  • the nozzle chamber is preferably formed on a silicon wafer and the ink channel supply means is formed through the deep anisotropic back etching of a silicon wafer.
  • the actuator can be made from polytetrafluroethylene which is normally hydrophobic and which is plasma treated through said ink channel supply means to make it hydrophilic.
  • the nozzle chamber can be formed on a CMOS substrate and can include aluminium portions constructed so as to protect said substrate for sacrificial etching of said CMOS substrate.
  • an ink jet nozzle arrangement for the ejection of ink from an nozzle chamber including a nozzle chamber interconnected to an ink supply and having an ink ejection port in one wall thereof; an ejection paddle for the ejection of ink from the ink ejection port; a thermal actuator mechanism attached to an ejection paddle for the actuation of the ejection paddle causing the ejection of ink; wherein the thermal actuator comprises materials having a high Young's modulus which produce a bending motion upon heating thereby causing the ejection paddle to eject ink from the ink ejection port.
  • the thermal actuator can be pivoted so as to increase the degree of travel of the ejection paddle upon actuation of the thermal actuator and can be of a horseshoe shaped form and pivoted substantially around a midpoint.
  • the pivot point can be constructed on a wall of the chamber by means of a thinned membrane, there by allowing the thermal actuator operates in the ambient atmosphere.
  • the nozzle chamber is constructed on a silicon wafer and the ink is supplied through the silicon wafer.
  • the thermal actuator can be constructed from a thin conductive section having a high Young's modulus and a substantially thicker non conductive portion.
  • the thin conductive portion can comprise titanium diboride and the thicker portion can comprise glass.
  • the nozzle chamber walls can include a number of small sacrificial etchant holes for utilization in construction of the arrangement, the holes being of sufficiently small diameter so as to prevent the ejection of ink therefrom.
  • the arrangement can be constructed using micro-electro mechanical systems techniques including a sacrificial etch and the ejection paddle is released in the sacrificial etch to be in a prefiring position.
  • the moveable vane in its quiescent position, can be located substantially adjacent a first end of the slot and the actuator can be actuated to move the moveable vane from the first end of the slot to a second end of the slot.
  • the actuator can comprise a thermal actuator which is actuated by means of an electric current passed through the thermal actuator resulting in resistive heating of the actuator.
  • the thermal actuator can be constructed of a conductive material having a high Young's modulus and include first and second arms, the first arm having a thinned cross-section relative to the second arm, the first arm undergoing resistive heating to thereby cause the first arm to bend resulting in actuation by the thermal actuator.
  • the arms can be attached to a substrate at one end and the second arm can include a thinned portion at one end thereof adjacent the attachment to the substrate.
  • the actuator device preferably operates in an ambient atmosphere and preferably includes portions of the actuator located adjacent the exterior of the slotted side wall which are coated with a hydrophobic material. Further, the arrangement can be formed on a silicon wafer and the ink supply channel is formed through the etching of a channel through the silicon wafer.
  • a thermal actuator activated by means of conductive heating of an electrically conductive material
  • the actuator comprising: a first non-conductive strip of material attached to a substrate at a first end of the strip and adapted to apply a force to a load at a second end of the strip; a second conductive material formed along one side of the strip, the conductive strip including a first wire portion running from substantially the first end to the second end and a second wire strip running from substantially the second end to the first end, the wire strips being joined together at the second end so as to form a circuit, the wire strips being further connected to a controlled power supply at the first end such that activation of the controlled power supply causes heating of the conductive material so as to actuate the thermal actuator thereby applying a force to the load.
  • the strip is in the form of a coil and the second conductive material is formed along a radially inner surface of the strip.
  • the first wire and the second wire can be electrically insulated from one another by a layer of the first non-conductive material.
  • the second conductive material comprises a material having a high Young's modulus and the first conductive strip is substantially thicker then the second conductive material.
  • the substrate can comprises an electronic circuitry layer of a silicon wafer, the second conductive material can comprise substantially titanium di-boride and the first non-conductive material can comprise substantially glass.
  • the actuator can be interconnected through a slot in a nozzle chamber to a paddle type device, the nozzle chamber being filled with ink and the actuator being activated to eject ink from a port defined in one wall of the nozzle chamber.
  • an ink jet printer having a series of ink ejection nozzle chambers each containing: an ink ejection port defmed in one wall of the chamber for the ejection of ink therefrom; a paddle within the chamber, actuated by an actuator for the ejection of ink from the nozzle chamber via the ink ejection port; a slot defined in a second wall thereof for the communication with the paddle of an actuator device; a thermal actuator activated by means of conductive heating of an electrically conductive material, the actuator comprising a first non-conductive strip of material attached to a substrate at a first end of the strip and adapted to apply a force to a load at a second end of the strip; a second conductive material formed along one side of the strip, the conductive strip including a first wire portion running from substantially the first end to the second end and a second wire strip running from substantially the second end to the first end, the wire strips being joined together at the second end so as to form
  • the nozzle chambers can be formed on a silicon wafer and include a series of ink supply channels etched through the wafer for the supply of ink to the nozzle chamber.
  • a fluid ejection apparatus including a trough having side walls and an exposed roof, the trough being substantially filled with fluid; a paddle vane located within the trough and offset from one wall when the paddle vane when in a quiescent position; an actuation mechanism attached to the paddle vane such that, upon activation of the actuation mechanism, the paddle vane is caused to move towards the one wall, resulting in an increase in pressure in the fluid between the one wall and the paddle vane, resulting in a consequential ejection of fluid via the exposed roof.
  • the present invention can be utilized in an ink jet printing system.
  • the actuation mechanism can be interconnected to the paddle vane via an arm extending over one edge of the exposed roof and the actuation mechanism can comprise a coiled thermal actuator having a first conductive arm and a second substantially non-conductive arm, the conductive arm expanding upon electrical resistive heating to thereby cause the actuation of the thermal actuator.
  • the first conductive arm can comprise substantially titanium diboride and the second non-conductive arm can comprise substantially silicon nitride.
  • the actuation mechanism can operate in the ambient atmosphere.
  • the trough is formed within a silicon wafer and the apparatus further comprises an ink supply channel etched through a back surface of the wafer and interconnecting a bottom surface of the trough for the supply of ink to the trough.
  • the interconnection is preferably between the paddle vane and a second wall of the trough.
  • an apparatus for ejecting fluids from a nozzle chamber comprising a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located between the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of a first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • the actuator can comprises a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction.
  • the heater elements can be arranged on opposite sides of a central arm, the central arm having a low thermal conductivity.
  • the paddle vane and the actuator can be joined at a fulcrum pivot point, the fulcrum pivot point comprising a thinned portion of the nozzle chamber wall.
  • the actuator can include one end fixed to a substrate and a second end containing a bifurcated tongue having two leaf portions on each end of the bifurcated tongue, the leaf portions interconnecting to a corresponding side of the paddle with the tongue such that, upon actuation of the actuator, one of the leaf portions pulls on the paddle end.
  • the apparatus can further comprise a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber, the connection being in a wall of the chamber substantially adjacent the quiescent position of the paddle vane.
  • the connection can comprise a slot defined in the wall of the chamber, the slot having similar dimensions to a cross-sectional profile of the paddle vane.
  • the central arm can comprise substantially glass.
  • Each fluid ejection aperture preferably includes a rim defined around an outer surface thereof.
  • a multiplicity of apparatuses can be arranged such that the fluid ejection apertures are grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of the rows in phases.
  • the nozzle chambers can be further grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defmed in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism comprising the steps of actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator causing the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • an apparatus for ejecting fluids from a nozzle chamber including a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; and an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • the apparatus can include a baffle located between the first and second fluid ejection apertures such that the paddle vane moving in the first direction causes an increase in pressure of the fluid in the volume adjacent the first aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the second aperture. Further, the paddle vane moving in the second direction can cause an increase in pressure of the fluid in the volume adjacent the second aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the first aperture.
  • the paddle vane and the actuator can be interconnected so as to pivot around a wall of the chamber and the apparatus can further comprise a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber, the connection being in a wall of the chamber substantially adjacent the pivot point of the paddle vane.
  • One wall of the nozzle chamber can include at least one smaller aperture interconnecting the nozzle chamber with an ambient atmosphere, the size of the smaller aperture being of such dimensions that, during normal operation of the apparatus, the net flow of fluid through the smaller aperture is zero.
  • the actuator can comprise a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction.
  • the heater elements can be arranged on opposite sides of a central arm, the central arm having a low thermal conductivity.
  • the central arm can comprise substantially glass.
  • the paddle vane and the actuator are preferably joined at a fulcrum pivot point, the fulcrum pivot point comprising a thinned portion of the nozzle chamber wall.
  • the thermal actuator preferably operates in an ambient atmosphere and the thinned portion of the nozzle chamber wall can include a series of slots at opposing sides so as to allow for the flexing of the wall during actuation of the actuator.
  • the external surface adjacent the slots comprises a planar or concave surface so as to reduce wicking.
  • the fluid ejection apertures can include a rim defined around an outer surface thereof.
  • the thermal actuator can include one end attached to a substrate and a second end having a thinned portion, the thinned portion providing for the flexible attachment of the actuator to the moveable paddle vane.
  • a large number of fluid ejection apertures can be grouped together spatially into spaced apart rows and fluid ejected from the fluid ejection apertures of each of the rows in phases.
  • the apparatuses can be ideally utilized for ink jet printing with the nozzle chambers further being grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism comprising the steps of: actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator to cause the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • An array of nozzle chambers can be arranged in a pagewidth print head and the moveable paddles of each nozzle chamber are driven in phase for the ejection of ink onto a page.
  • an apparatus for ejecting fluids from a nozzle chamber comprising: a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; and an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • the apparatus further comprises a baffle located between the first and second fluid ejection apertures and wherein the paddle vane moving in the first direction causes an increase in pressure of the fluid in the volume adjacent the first aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the second aperture.
  • the apparatus preferably includes a deepened etched pit below the second fluid ejection aperture, the baffle and end portion of the moveable paddle vane.
  • the apparatus can include a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber and one surface of the paddle vane includes at least one protrusion, such that, during the movement of the paddle in at least one of the directions, the at least one protrusion mates with a rim of the fluid supply channel so as to restrict the flow of fluid into the fluid supply channel.
  • the moveable paddle vane preferably includes a lip on an end portion adjacent the baffle, the lip substantially abutting the surface of the baffle during operation of the moveable paddle vane.
  • the walls of the chamber can include at least one smaller aperture interconnecting the nozzle chamber with the ambient atmosphere and of such a dimension that, during normal operation of the paddle vane, the surface tension effects across the smaller aperture results in the meniscus across the smaller aperture remaining substantially close to the smaller aperture or within the nozzle chamber.
  • at least one smaller aperture(s) is substantially adjacent the first one of the fluid ejection apertures such that, whilst ink is ejected from the second fluid ejection aperture, the meniscus of the first fluid ejection aperture and the at least one smaller aperture are interconnected within the nozzle chamber.
  • each aperture can include a ribbed rim around the outer surface thereof.
  • the baffle can include a wall surface having portions spaced at a substantially constant radius from the axis of the second fluid ejection aperture.
  • the actuator can comprise a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction.
  • a suitable material for the heater elements is a copper nickel alloy.
  • the heater elements are preferably arranged on opposite sides of a central arm, the central arm having a low thermal conductivity and the thermal actuator preferably operates in an ambient atmosphere.
  • the central arm can be made from glass.
  • the actuator mechanism is interconnected with the moveable paddle vane through a slot in the wall of the nozzle chamber and includes at least one protruding portion for minimizing any wicking of the fluid along the actuator mechanism.
  • the protrusion can comprise a cusped rim on the actuator mechanism.
  • the slot connects the internal portions of the nozzle chamber with an external ambient atmosphere and preferably the external surface adjacent the slots comprises a planar or concave surface so as to reduce wicking.
  • the present invention is suitable for forming an ink jet print head comprising a multiplicity of apparatuses as previously described with the fluid ejection apertures grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of the rows in phases.
  • the nozzle chambers are further grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism comprising the steps of: actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator to cause the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • the array of nozzle chambers can be arranged in a pagewidth print head and the moveable paddles of each nozzle chamber can be driven in phase.
  • an ink jet printing nozzle arrangement including an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber; an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; wherein the paddle vane includes a concave surface in the area adjacent the ink ejection nozzle.
  • the paddle vane includes a cup shaped surface in the area adjacent the ink ejection nozzle.
  • the nozzle arrangement can be formed utilizing normal micro-electro mechanical construction techniques and the concave surface can be formed as the result of the deposition of a film over a pit.
  • the actuator means can include an actuating portion located externally to the nozzle chamber and operational in an external ambient atmosphere of the arrangement.
  • the ink chamber can further include a slot defined in a wall thereof such that the actuator means communicates with the moveable paddle vane through the slot.
  • the actuator means can comprise a thermal actuator which includes a conductive heater element having a high bend efficiency such that when an electric current is passed through the conductive heater element, the heater element undergoes thermal expansion causing the actuator means to move the paddle towards the ink ejection nozzle.
  • the external surfaces of the slot are profiled so as to minimize any wicking of the ink out of the slot.
  • the profile can include a surface having a protruding rim around the slot and the actuator means can be shaped so as to minimize wicking of ink along the actuator means.
  • the paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into the ink chamber.
  • an ink jet nozzle arrangement comprising a nozzle chamber having an fluid ejection nozzle in one surface of the chamber; a paddle vane located within the chamber, the paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of the chamber via the fluid ejection nozzle; and a thermal actuator device located externally of the nozzle chamber and attached to the paddle vane the thermal actuator device including a plurality of separate spaced apart elongated thermal actuator units.
  • the thermal actuator units are interconnected at a first end to a substrate and at a second end to a rigid strut member.
  • the rigid strut member can, in turn, be interconnected to a lever arm having one end attached to the paddle vane.
  • the thermal actuator units can operate upon conductive heating along a conductive trace and the conductive heating includes the generation of a substantial portion of the heat in the area adjacent the first end.
  • the conductive heating trace can include a thinned cross-section adjacent the first end.
  • the heating layers of the thermal actuator units can comprise substantially either a copper nickel alloy or titanium nitride.
  • the paddle can be constructed from a similar conductive material to portions of the thermal actuator units however it is conductively insulated therefrom.
  • the thermal actuator units are constructed from multiple layers utilizing a single mask to etch the the multiple layers.
  • the nozzle chamber can include an actuator access port in a second surface of the chamber.
  • the access port can comprise a slot in a corner of the chamber and the actuator is able to move in an arc through the slot.
  • the actuator can include an end portion which mates substantially with a wall of the chamber at substantially right angles to the paddle vane.
  • the paddle vane can include a depressed portion substantially opposite the fluid ejection port.
  • a thermal actuator including a series of lever arms attached at one end to a substrate, the thermal actuator being operational as a result of conductive heating of a conductive trace, the conductive trace including a thinned cross-section substantially adjacent the attachment to the substrate.
  • an ink jet nozzle arrangement comprising a nozzle chamber having an fluid ejection nozzle in one surface of the chamber; a paddle vane located within the chamber, the paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of the chamber via the fluid ejection nozzle; and a thermal actuator device located externally of the nozzle chamber and attached to the paddle vane.
  • the thermal actuator device includes a lever arm having one end attached to the paddle vane and a second end attached to a substrate.
  • the thermal actuator preferably operates upon conductive heating along a conductive trace and the conductive heating includes the generation of a substantial portion of the heat in the area adjacent the second end.
  • the conductive heating preferably occurs along a thinned cross-section adjacent the second end.
  • the thermal actuator includes a first and second layer of a material having similar thermal properties such that upon cooling after deposition of the layers, the two layers act against one another so as to maintain the actuator substantially in a predetermined position.
  • the layers can comprise substantially either a copper nickel alloy or titanium nitride.
  • the paddle can be constructed from a similar conductive material to portions of the thermal actuator however it is conductive insulated therefrom.
  • the thermal actuator can be constructed from multiple layers utilizing a single mask to etch the multiple layers.
  • the nozzle chamber preferably includes an actuator access port in a second surface of the chamber which comprises a slot in a corner of the chamber and the actuator is able to move in an arc through the slot.
  • the actuator can include an end portion which mates substantially with a wall of the chamber at substantially right angles to the paddle vane.
  • the paddle vane can includes a depressed portion substantially opposite the fluid ejection port.
  • a thermal actuator device including two layers of material having similar thermal properties such that upon cooling after deposition of the layers, the two layers act against one another so as to maintain the actuator substantially in a predetermined position.
  • a thermal actuator including a lever arm attached at one end to a substrate, the thermal actuator being operational as a result of conductive heating of a conductive trace, the conductive trace including a thinned cross-section substantially adjacent the attachment to the substrate.
  • an ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, the arrangement comprising: a nozzle chamber for the storage of ink to be ejected; an ink ejection nozzle having a rim formed on one wall of the chamber; and a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of the nozzle chamber adjacent the rim, the actuator paddles further being actuated in unison so as to eject ink from the nozzle chamber via the ink ejection nozzle.
  • the actutator paddles can include a surface which bends inwards towards the centre of the nozzle chamber upon actuation.
  • the actuator paddles are preferably actuated by means of a thermal actuator device.
  • the thermal actuator device can comprise a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion.
  • the element can be serpentine shaped to allow for substantially unhindered expansion of the second material.
  • the actuator paddles are preferably arranged radially around the nozzle rim.
  • the actuator paddles can form a membrane between the nozzle chamber and an external atmosphere of the arrangement and the paddles bend away from the external atmosphere so as to cause an increase in pressure within the nozzle chamber thereby initiating a consequential ejection of ink from the nozzle chamber.
  • the paddle actuators can bend towards a central axis of the ejection nozzle.
  • the arrangement can be formed on a wafer utilizing micro-electro mechanical techniques and further can comprise an ink supply channel interconnected to the nozzle chamber, the ink supply channel being etched through the wafer.
  • the ink jet nozzle arrangement can include the ink ejection nozzle supported by a series of struts and the actuator paddles are preferably further interconnected to the nozzle rim and the struts further can include a conductive power rail for supplying power to the actuator paddles.
  • the arrangement can be formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
  • an ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, the arrangement comprising: a nozzle chamber for the storage of ink to be ejected; an ink ejection nozzle having a rim formed on one wall of the chamber; and a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of the nozzle chamber adjacent the rim, the actuator paddles further being actuated in unison so as to eject ink from the nozzle chamber via the ink ejection nozzle.
  • the actutator paddles can include a surface which bends inwards towards the centre of the nozzle chamber upon actuation.
  • the actuator paddles are preferably actuated by means of a thermal actuator device.
  • the thermal actuator device can comprise a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion.
  • the element can be serpentine shaped to allow for substantially unhindered expansion of the second material.
  • the actuator paddles are preferably arranged radially around the nozzle rim.
  • the actuator paddles can form a membrane between the nozzle chamber and an external atmosphere of the arrangement and the paddles bend away from the external atmosphere so as to cause an increase in pressure within the nozzle chamber thereby initiating a consequential ejection of ink from the nozzle chamber.
  • the paddle actuators can bend towards a central axis of the ejection nozzle.
  • the arrangement can be formed on a wafer utilizing micro-electro mechanical techniques and further can comprise an ink supply channel interconnected to the nozzle chamber, the ink supply channel being etched through the wafer.
  • the ink jet nozzle arrangement can include the ink ejection nozzle supported by a series of struts and the actuator paddles are preferably further interconnected to the nozzle rim and the struts further can include a conductive power rail for supplying power to the actuator paddles.
  • the arrangement can be formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
  • an ink jet nozzle arrangement comprising: a nozzle chamber for storage of ink to be ejected from an ink ejection nozzle formed in one wall of the nozzle chamber; and a moveable paddle actuator mechanism formed in a first wall of the nozzle chamber, one end of the paddle actuator traversing along a second wall of the nozzle chamber, the second wall being substantially perpendicular to the first wall; the one end further including a flange including a surface abutting the second wall, the movable paddle actuator mechanism being operable to cause the ejection of ink from the ink ejection nozzle with the flange moving substantially tangentially to the second wall.
  • the arrangement can further include an ink supply channel interconnected to the nozzle chamber for the resupply of ink to the nozzle chamber, the interconnection comprising a slot in a wall of the chamber, the slot being substantially opposite an end of the flange.
  • the slot can be arranged in a corner of a third wall of the chamber and the second wall of the chamber can further form one wall of the ink supply channel.
  • the arrangement can be formed on a silicon wafer and the ink supply channel can be formed by back etching a back surface of the wafer.
  • the back etching can comprise a plasma etching of the back surface.
  • the moveable paddle actuator in being actuated to eject a drop of ink, can constricts the flow of ink into the nozzle chamber
  • the arrangement further preferably can include a slot around a substantial portion of the moveable paddle actuator, the slot interconnecting the nozzle chamber with an external ambient atmosphere, the slot being dimensioned to provide for fluid movement during operation of the moveable paddle actuator mechanism so as to minimize the required energy for drop ejection whilst not allowing for the ejection of fluid out of the nozzle chamber.
  • the movable paddle actuator mechanism preferably can includes a thermal actuator for actuation of the mechanism on demand.
  • the thermal actuator can comprise a conductive heater layer between layers of a substantially non-conductive material having a high coefficient of thermal expansion.
  • the conductive heater layer can be arranged in a serpentine form so that, on conductive heating of the conductive heater layer, the conductive heater layer concertinas so as to allow for substantially unhindered expansion of the substantially non-conductive material.
  • the substantially non-conductive material can comprise substantially polytetrafluroethylene.
  • the silicon wafer can be initially processed utilizing a CMOS processing system so as to form the electrical circuitry required to operate the ink jet nozzle arrangement on the silicon wafer.
  • a method of manufacturing a planar thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a pump action refill ink jet printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacturing a PTFE surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer which can comprise a double side polished CMOS wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a buckle strip grill oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching at least one nozzle shutter ink inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the at least one nozzle shutter ink inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a shutter based print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a curling calyx thermoelastic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle ink inlet hole in the electrical circuitry layer in addition to a series of vias at predetermined positions interconnecting with the electrical circuitry; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle ink inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer located around the vias; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer ; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductive
  • the disk preferably can include a series of radial slots.
  • the step (h) preferably can include etching a series of small holes in the inert material layer and the nozzle chamber preferably can include a lip around the circumference of the disk.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a thermal actuated ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) deposing and etching a first sacrificial material layer including vias for electrical interconnection of the electrical circuitry layer with subsequent layers; (d) depositing a first expansion layer of material having a high coefficient of thermal expansion over the nozzle chamber; (e) depositing and etching a conductive material layer on the first expansion layer so as to form a heater element conductively interconnected to the electrical circuitry layer; (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over the conductive material layer, the etching including etching at least two leaf portions over the
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a plasma etch of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • an iris motion ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first sacrificial material layer over the electrical circuitry layer including, the etching including etching holes for nozzle chamber posts and actuator anchor points in the first sacrificial material layer located around the vias; (c) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer ; (d) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (e) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including
  • the vane elements are preferably arranged around the ink ejection nozzle.
  • the step (i) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially glass.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a direct firing thermal bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching a first expansion layer of material having a high coefficient of thermal expansion over the nozzle chamber; (e) depositing and etching a conductive material layer on the first layer to form a conductive heater element over the first expansion layer, the heater element being conductively interconnected to the electrical circuitry layer; (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over at least the conductive material layer, the etching including etching a leaf portion over
  • the step (c) further can comprise etching the first expansion layer of material so that it has an undulating surface.
  • the step (d) preferably can include retaining the undulating surface in the conductive heater element.
  • the epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a conductive PTFE bend activator vented ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • a method of manufacturing a buckle plate ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • thermo elastic rotary impeller ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal
  • thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to said substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a series of vias in the wafer at predetermined positions interconnecting with the electrical circuitry; (c) depositing and etching a first sacrificial material layer, the etching including etching an actuator anchor area in the first sacrificial material layer located around the vias; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a
  • the method further includes the step of treating the top of the second expansion layer so as form a hydrophilic surface.
  • the step (h) preferably can include etching a series of small holes in the inert material layer.
  • the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially glass.
  • the ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • thermoelastic bend actuator using polytetrafluroethylene (PTFE) and corrugated copper ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • PTFE polytetrafluroethylene
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate surface.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the surface of the substrate.
  • multiple ink jet nozzles are formed on a single wafer and wherein the back etching includes forming a single ink supply channel supplying multiple different nozzle chambers.
  • Each of the ink supply channels abuts a nitride wall of the nozzle chamber the nitride wall dividing the ink supply channel into multiple supply channels.
  • the heater structure layers can be formed by the steps of depositing a first expansive material layer on top of the first sacrificial layer; depositing a conductive heater layer on to of the first expansive material layer; and depositing a second expansive material layer on top of the conductive heater layer.
  • the conductive heater layer can be formed from gold utilizing chemical mechanical planarization.
  • the circuitry layer can preferably include metal conductive lines which are utilized to from a barrier to protect other portions of the circuitry layer from unwarranted etching by any sacrificial etchant utilized in etching of the sacrificial layer.
  • the nozzle chamber layer can ideally include a series of small etchant holes utilized in the etching of the sacrificial layers and can comprise substantially Silicon Nitride.
  • the nozzle chamber layer can include portions formed directly on the heater structure layers which act to firmly clamp the heater structure layers to lower layers.
  • a method of manufacturing a bend actuator direct ink supply print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single silicon wafer planar substrate.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with the integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, wherein said device has a high young's modulus comprising the steps of initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; depositing a first sacrificial layer on top of the silicon and circuitry wafer layer and etching said first sacrificial layer in an area defining a first portion of a nozzle chamber wall; depositing a first heater material layer having a high young's modulus and forming a first layer of the thermal actuator and a portion of said nozzle chamber wall; depositing a second heater material layer being conductive and being provided for the heating of said first material layer and further having a portion interconnected to said circuitry wafer layer for heating said second heater material; depositing a second sacrificial layer and
  • the method can further include the etching an ink supply channel through said wafer for the supply of ink to said nozzle chamber.
  • the second material heater layer can comprise titanium diboride and said first material heater layer can comprise substantially glass.
  • the sacrificial material can comprise substantially aluminium and the nozzle chamber walls can be constructed substantially from glass.
  • the nozzle chamber walls can include a thin membrane utilized by said thermal actuator as a pivot point and the nozzle wall material layer can include a series of small etchant holes for assisting in the etching of said sacrificial layers.
  • an array of nozzles are formed on a single wafer layer utilizing planar monolithic deposition, lithographic and etching processes. Standard vlsi/ulsi processing can be used.
  • the silicon and circuitry layer can comprise a CMOS process and the ink is ejected from said substrate substantially normal to said substrate.
  • thermoelastic Inkjet ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with the integrated drive electronics preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • a method of manufacturing a thermally actuated slotted chamber wall ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • an ink jet printer having a thermal actuator comprising an external coil spring wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing with integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching an ink inlet aperture in the circuitry wafer layer; (c) depositing and etching a first sacrificial layer on top of the silicon and circuitry wafer layer and etching the first sacrificial layer in an area defming a first portion of a nozzle chamber wall, a thermal actuator anchor and a thermal actuator end point; (d) depositing and etching a first inert material layer in defining a first actuator path starting at the thermal actuator anchor; (e) depositing and etching a first conductive material layer adjacent the first actuator path and attached to the first inert
  • the conductive material layers are preferably formed from a material having a high Youngs modulus such as titanium nitride.
  • the first and second inert material layers can comprise substantially glass.
  • the first actuator path can comprise substantially a coil.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacture of an ink jet printer which includes a trough having side walls and an exposed roof, the trough being substantially filled with fluid during operation; a paddle vane located within the trough and offset from one wall when the paddle vane is in a quiescent position; an actuation mechanism attached to the paddle vane such that, upon activation of the actuation mechanism, the paddle vane is caused to move towards the one wall, resulting in an increase in pressure in the fluid between the one wall and the paddle vane, resulting in a consequential ejection of fluid via the exposed roof, the method comprising the steps of (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching the trough in the surface of the wafer; (c) creating the actuation mechanism and the paddle vane on the silicon wafer by means of depositing and etching a series of sacrificial layers to form
  • a method of manufacturing a Dual Chamber Single Vertical Actuator Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • the print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate.
  • the drive electronics preferably being of a CMOS type.
  • ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacturing a dual nozzle single horizontal fulcrum actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet printer which preferably can include a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture, the method comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the actuator mechanism on demand; (b) etching a trough in the wafer to provide for an ink supply channel through a portion of the wafer; (c) creating the nozzle chamber and the actuation mechanism and
  • the step (c) can comprise the steps of: (i)depositing and etching a first series of sacrificial layers to form a first supporting structure; (ii)depositing and etching a first non-conductive material layer to form a first structure including a portion of the nozzle chamber and a first portion of the actuation mechanism; (iii)depositing and etching a first conductive material layer to form a lower heater structure of the actuation mechanism; (iv)depositing and etching a second non-conductive material layer forming a central portion of the actuation mechanism, a portion of the nozzle wall and the panel vane in addition to a supporting structure for an upper heater structure; (v)depositing and etching a second conductive material layer to form an upper heater structure of the actuation mechanism; (vi)depositing and etching a further third non-conductive material layer so as to form the paddle mechanism, the nozzle chamber walls and a portion affixing one end of the actuation
  • the first and second conductive material can comprise substantially a copper nickel alloy and the non-conductive material can comprise substantially silicon dioxide.
  • the sacrificial layers can comprise substantially glass and/or aluminium.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • an ink jet printer having a dual nozzle single horizontal actuator wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing and integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching at least one nozzle chamber pit in the circuitry wafer layer and the silicon wafer; (c) depositing and etching a sacrificial layer filling the ink chamber pit, the etching including etching a first portion of a nozzle chamber wall mould and an actuator anchor mould; (d) depositing and etching a first inert material layer, filling the nozzle chamber wall mould and the actuator anchor mould, the etching including etching a via to the electrical circuitry; (e) depositing and etching a first conductive material layer defining a lower heater element conductively interconnected
  • the step (k) preferably can include etching an interconnecting channel to one of the nozzle chamber pits.
  • the number of ink ejection nozzles can be at least two.
  • the conductive material layers are preferably formed from a material having a high Youngs modulus such as titanium nitride or a copper nickel alloy.
  • the inert material layers can comprise substantially glass.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacturing a single bend actuator cupped paddle ink jet print nozzle wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet printing nozzle arrangement on a wafer including: an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber, the paddle vane including a concave surface in the area adjacent the ink ejection nozzle; and an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; the method comprising the steps of: forming an etched pit in the wafer; depositing and etching a series of layers on a wafer so as to from an array of ink jet nozzle arrangements, the layers including at least one paddle vane formation layer, the paddle vane formation layer being deposited over portions of the etched pit resulting in the formation of the concave surface of the paddle vane.
  • the paddle vane formation layer can be deposited on a sacrificial layer deposited before the paddle vane formation layer, the sacrificial layer forming a concave surface profile as a result of deposition over the etched pit.
  • the maximum thickness of any one of the deposited layers can be 6 microns.
  • the series of layers are preferably each of a low thickness outside of the etched pit so as to cause minimal thermal stress on the wafer layer.
  • the actuator means can be initially constructed on a sacrificial layer which can be subsequently etched away, such that when the sacrificial layer can be etched away, the paddle vane can be moved by the actuator means from a constrained position having the paddle vane close to the ink ejection nozzle to a quiescent position having the paddle vane positioned further away from the ink ejection nozzle.
  • the steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • the paddle vane formation layer is deposited on a sacrificial layer deposited before the paddle vane formation layer, the sacrificial layer forming a concave surface profile as a result of deposition over the etched pit.
  • the maximum thickness of any one of the deposited layers is 6 ⁇ m and the series of layers are each of a low thickness outside of the etched pit so as to cause minimal thermal stress on the wafer layer.
  • the actuator means can be initially constructed on a sacrificial layer which is subsequently etched away, such that when the sacrificial layer is etched away, the paddle vane is moved by the actuator means from a constrained position having the paddle vane close to the ink ejection nozzle to a quiescent position having the paddle vane positioned further away from the ink ejection nozzle.
  • the paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into the ink chamber.
  • a method of manufacture of a thermally actuated ink jet printer having a series of thermal actuator units wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • the substrate can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the electrical circuitry layer so as to define a nozzle chamber area; (c) depositing and etching a first sacrificial layer, the etching defining a series of nozzle chamber walls and an actuator anchor point; (d) depositing a first heater material layer; (e) depositing an intermediate material layer; (f) etching the first heater material layer and the intermediate material layer to define portions of an actuator, ejection paddle and nozzle chamber walls; (g) depositing and etching a second sacrificial layer, the etching including etching a cavity defming a portion of the nozzle chamber walls; (h) depositing and etching a further glass layer to define the roof of the nozzle chamber and the walls thereof
  • the intermediate layer can comprise substantially glass.
  • the first heater material layer can comprise substantially Titanium Nitride.
  • the steps further can include the step of etching anti-wicking notches in the surface of the circuitry layer.
  • the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects and the etching of layers preferably can includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
  • the wafer can comprise a double side polished CMOS wafer.
  • the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • the steps aforementioned are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • a method of manufacture of an ink jet printing nozzle arrangement on a wafer including: an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber, the paddle vane including a concave surface in the area adjacent the ink ejection nozzle; and an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; the method comprising the steps of:
  • a method of manufacturing a Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing and the integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) depositing and etching a first material layer, the first material having a high coefficient of thermal expansion, the etching including etching for vias through the first material layer for electrical interconnection of subsequently deposited layers with the circuitry layer; (d) depositing and etching a conductive material layer on the first material layer, the etching resulting in the conductive material layer forming a heater pattern; (e) depositing and etching a second material layer, the second material layer having a high coefficient of thermal expansion, the etching defining a nozzle chamber rim and a rim at the edge of the nozzle chamber; (f) etching the wafer to define the nozzle
  • the step (f) can comprise performing a crystallographic etch of the wafer utilizing slots created as a result of etching the second material layer.
  • the crystallographic etch forms a nozzle chamber having an inverted square pyramid shape.
  • the step (g) can comprise a through wafer etch from a back surface of the wafer.
  • the first material layer or the second material layer can comprise substantially polytetrafluroethylene and the conductive material layer can comprise substantially gold, copper or aluminum.
  • a method of manufacturing a Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing and the integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process.
  • the method of manufacture can comprise the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) depositing and etching a first material layer, the first material having a high coefficient of thermal expansion, the etching including etching for vias through the first material layer for electrical interconnection of subsequently deposited layers with the circuitry layer; (d) depositing and etching a conductive material layer on the first material layer, the etching resulting in the conductive material layer forming a heater pattern; (e) depositing and etching a second material layer, the second material layer having a high coefficient of thermal expansion, the etching defining a nozzle chamber rim and a rim at the edge of the nozzle chamber; (f) etching the wafer to define the nozzle chamber; (g) etching an ink supply channel through the wafer in fluid communication
  • the step (f) can comprise performing a crystallographic etch of the wafer utilizing slots created as a result of etching the second material layer.
  • the crystallographic etch forms a nozzle chamber having an inverted square pyramid shape.
  • the step (g) can comprise a through wafer etch from a back surface of the wafer.
  • the first material layer or the second material layer can comprise substantially polytetrafluroethylene and the conductive material layer can comprise substantially gold, copper or aluminum.
  • a method of manufacture of a Surface Bend Actuator Vented Ink Supply Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • the substrate can be a silicon wafer.
  • the print heads are preferably formed utilising standard vlsi/ulsi processing.
  • Integrated drive electronics are preferably formed on the same substrate.
  • the integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) plasma etching the wafer in the area of the nozzle cavity area to create a nozzle chamber; (d) depositing and etching a first sacrificial layer so as to fill the nozzle chamber; (e) etching the first sacrificial layer to create an actuator end cavity volume; (f) depositing and etching a first material layer over the first sacrificial layer so as to fill the end cavity volume and to form a lower portion of a thermal actuator unit on the sacrificial layer; (g) depositing and etching a conductive heater layer on top of the lower portion, the conductive heater layer forming a heater element on the lower portion, the
  • the step (i) further preferably can includes etching the layer to form a rim around the slot and the nozzle.
  • the wafer can comprise a double side polished CMOS wafer and the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • the first material layer and the second material layer can comprise a non conductive material having a high coefficient of thermal expansion such as polytetrafluroethylene.
  • the conductive material layer can comprise substantially gold, copper or aluminum.
  • a method of constructing a heater for heating a micro mechanical structure by means of an electric heater element comprising the step of constructing said heater in a corrugated form so as to improve the rate of thermal transfer to said micro mechanical structure.
  • a thermal actuator comprising a first conductive material encased in a second non-conductive, expansive material, wherein the first constructive material is constructed in the form of a corrugated heater element so as to increase the rate of thermal transfer to the second non-conductive, expansive material.
  • a micro-mechanical liquid pumping system having a pumping actuator means including a hydrophobic surface surrounded by other hydrophobic surfaces, a method of reducing the operation energy requirements of said pumping actuator means comprising the step of providing an air inlet in fluid communication with said hydrophobic surface, said air inlet allowing the flow of air into and out of the volume adjacent said hydrophobic surface.
  • the air inlet is surrounded by hydrophobic material and includes a plurality of small spaced apart holes.
  • the micro-electro mechanical system comprises an ink jet printing device.
  • a method to reduce the possibility of laminar separation of planar actuation components fixed at one end to a substrate comprising the step of constructing a fixed clamping structure on top of the planar actuation components at the end fixed to the substrate.
  • the fixed clamping structure is of a grill form and comprises substantially nitride and the micro-electro mechanical system is an ink jet printing device.
  • a method of constructing conductive portions within a substantially non-conductive material comprising utilisation of quantum wires of a substantially conductive material.
  • a thermal actuator comprising a substantially non-conductive, expansive material interposted with a series of quantum wires of a substantially conductive material.
  • the non-conductive, expansive material comprises substantially polytetrafluoroethylene and the conductive material comprises substantially copper.
  • a thermal actuator comprising a first and second layers of substantially non-conductive, expansive material, wherein one of the layers comprises quantum wires of a substantially conductive material interposted with the non-conductive, expansive material.
  • the non-conductive, expansive material comprises substantially polytetrafluorothylene and the conductive material comprises substantially copper.
  • a micro-electro mechanical system for controlling the flow of a liquid, this system being constructed in a large planar form, a method of reducing the effect of impurities in the liquid comprising the step of fabricating an integral grill structure in the path of the flow of the liquid so as to filter foreign bodies in the liquid.
  • the micro-electro mechanical system comprises an ink jet printing system and the grill forms one wall of a nozzle chamber for filtering ink entering the nozzle chamber.
  • the filter comprises substantially nitride.
  • a micromechanical thermal actuator comprising a first material having a high coefficient of thermal expansion and a serpentine heater material having a lower coefficient of thermal expansion in thermal contact with the first material and adapted to heat the first material on demand, wherein the serpentine heater material being elongated upon heating so as to accommodate the expansion of first material.
  • a micro-mechanical thermal actuator comprising a first layer having a first coefficient of thermal expansion, a second layer having a relatively higher coefficient of thermal expansion than the first layer, and a heater element in thermal contact with the first and second layers such that, on heating the heater, the actuator moves from a first quiescent position to a second actuation position.
  • the heater element comprises a serpentine layer of poly-silicon, which is sandwiched between the first and second layers.
  • the first layer comprises polytetrafluoroethylene
  • the second layer comprises silicon dioxide or silicon nitride.
  • a micro-mechanical liquid pumping system including an actuator device for instigating the pumping, wherein the actuator has a first surface for applying pressure to the liquid and a second surface opposite the first surface which is in fluid communication with the fluid, comprising utilising a hydrophobic material for the second surface. Further the surfaces surrounding the second surface are also hydrophobic.
  • the micro-electro mechanical system comprises an ink jet printing device.
  • a thermal actuator comprising a series of independently heatable resistive elements connected to a common line formed on an actuation substrate such that, when heated they together provide, through means of thermal expansion, for the controlled movement in a vertical and a horizontal direction of an end point of the thermal actuator.
  • resistive elements are formed from a conductive material having a low coefficient of thermal expansion and an actuation material having a high coefficient of thermal expansion such that, upon heating, said actuation material is able to expand substantially unhindered by the conductive material.
  • the conductive material undergoes a concertinaing action upon expansion and contraction, and is formed in a serpentine or helical form.
  • the common line comprises a conductive material having a series of spaced apart slots suitable for increasing the degree of bending of the conductive material.
  • the actuation material is formed around the conductive material including the slots. The actuator is attached to a lower substrate and the series of resistive elements include two heater elements arranged on a lower portion of the actuation substrate and a single heater and the common line formed upon portion of the action substrate.
  • the actuation material comprises substantially polytetrafluoroethylene.
  • One end of the thermal actuation is surface treated so as to increase its coefficient of friction. Further, one end of the thermal actuator comprises only the actuation material.
  • a cilia array of thermal actuators comprising one end that is driven so as to continuously engage a moveable load so as to push it in one direction only. Further, adjacent thermal actuators in the cilia array are grouped into different groups with each group being driven together in a different phase cycle from adjacent groups. Preferably the number of phases is four.
  • a method of constructing a micro electromechanical structure system on a semiconductor wafer having an integrated circuit layer or layers, such as a multi-level CMOS process, formed thereon and utilizing a sacrificial etchant to etch predetermined sacrificial portions of the structure including the step of utilizing the non corrosive layers such as the metal layers of the integrated circuit layer to form a protective barrier between portions of the integrated circuitry layer which are required to be etched away in the construction of the structures and other portions of the integrated circuit layer which are not to be etched during the construction of the structures.
  • the non corrosive layer can comprises a metal layer of the integrated circuit layer.
  • a lever arrangement for interconnecting a first lever arm with a second lever arm, the arrangement comprising the first lever arm having two thinned leaf arms each connected to either side of a second lever arm such that movement of the first lever arm relative to the second lever arm causes one of the thinned leaf arms to pull on an end of the second lever arm whilst allowing for resilient rotation of the rod relative to the arm, the arms resiliently flexing with the rotation of the arms.
  • the second lever arm is interconnected to a third lever arm and the second lever arm is mounted on a leafed wall so as to pivot at the wall and the wall comprises a thinned flexible portions adjacent the lever arm, the arm pivoting around the wall, with one of the thinned flexible portions pulling on the lever arm so as to cause the pivoting.
  • the third lever arm also contains two thinned leaf arms connected to either side of the second lever arm such that movement of the second lever arm relative to the third lever arm causes one of the arms to pull on an end of the rod whilst allowing for resilient rotation of the rod relative to the arm.
  • the arrangement can constructed as a micro-electro mechanical system on a silicon wafer.
  • the first lever arm is substantially at right angles to the second lever arm with the thinned leaf arms interconnected substantially at one end of the lever arm.
  • an integrated circuit type device having a series of bond pads for the interconnection of the device with external power or communication lines
  • a method of improving the registration accuracy of the interconnection comprising the step of forming a guide rail on the device spaced adjacent to the bond pads for abutting the external power and communication lines against so as to accurately position the lines for interconnection with the bond pads.
  • the bond pads are arranged in a line along one edge of the integrated circuit type device and the lines are in the form of a Tape Automated Bonding strip.
  • the guide rail can be formed utilising a standard micromechanical systems deposition process and is ideally utilized in a pagewidth ink jet printing system.
  • the preferred embodiments and other embodiments will be discussed under separate headings with the heading including an IJ number for ease of reference.
  • the headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.
  • an ink jet printer having nozzle chambers.
  • Each nozzle chamber includes a thermoelastic bend actuator that utilises a planar resistive material in the construction of the bend actuator.
  • the bend actuator is activated when it is required to eject ink from a chamber.
  • nozzle arrangement 210 can be formed as part of an array of nozzles fabricated on a semi-conductor wafer utilising techniques known in the production of micro-electro-mechanical systems (MEMS).
  • MEMS micro-electric mechanical system
  • SPIE International Society for Optical Engineering
  • the nozzle arrangement 210 includes a boron doped silicon wafer layer 212 which can be constructed by a back etching a silicon wafer 218 which has buried boron doped EPITAXIAL LAYER.
  • the boron doped layer can be further etched so as to define a nozzle hole 213 and rim 214.
  • the nozzle arrangement 210 includes a nozzle chamber 216 which can be constructed by utilisation of an anisotropic crystallographic etch of the silicon portions 218 of the wafer.
  • a glass layer 220 which can comprise CMOS drive circuitry including a two level metal layer (not shown) so as to provide control and drive circuitry for the thermal actuator.
  • CMOS glass layer 220 On top of the CMOS glass layer 220 is provided a nitride layer 221 which includes side portions 222 which act to passivate lower layers from etching that is utilised in construction of the nozzle arrangement 210.
  • the nozzle arrangement 210 includes a paddle actuator 224 which is constructed on a nitride base 225 which acts to form a rigid paddle for the overall actuator 224.
  • an aluminium layer 227 is provided with the aluminium layer 227 being interconnected via the vias 228 to the lower CMOS circuitry so as to form a first portion of a circuit.
  • the aluminium layer 227 is interconnected at a point 230 to an Indium Tin Oxide (ITO) layer 229 which provides for resistive heating on demand.
  • ITO layer 229 includes a number of etch holes 231 for allowing the etching away of a lower level sacrificial layer which is formed between the layers 227, 229.
  • the ITO layer is further connected to the lower glass CMOS circuitry layer via the via 232.
  • a polytetrafluoroethylene layer which provides for insulation and the further form of rapid expansion of the top layer 229 upon heating as a result of passing a current through the bottom layer 227 and ITO layer 229 (not shown).
  • the back surface of the nozzle arrangement 210 is placed in an ink reservoir so as to allow ink to flow into nozzle chamber 216.
  • a current is passed through the aluminium layer 227 and ITO layer 229.
  • the aluminium layer 227 provides a very low resistance path to the current whereas the ITO layer 229 provides a high resistance path to the current.
  • Each of the layers 227, 229 are passivated by means of coating by a thin nitride layer (not shown) so as to insulate and passivate the layers from the surrounding ink.
  • the top of the actuator 224 expands more rapidly than the bottom portions of the actuator 224.
  • a gap 228 which can be constructed via utilisation of etching of sacrificial layers so as to dissolve away sacrificial material between the two layers.
  • ink is allowed to enter this area and thereby provides a further cooling of the lower surface of the actuator 224 so as to assist in accentuating the bending.
  • the actuator 224 Upon de-activation of the actuator 224, it returns to its quiescent position above the nozzle chamber 216.
  • the nozzle chamber 216 refills due to the surface tension of the ink through the gaps between the actuator 224 and the nozzle chamber 216.
  • the PTFE layer has a high coefficient of thermal expansion and therefore further assists in accentuating any bending of the actuator 224. Therefore, in order to eject ink from the nozzle chamber 216, a current is passed through the planar layers 227, 229 resulting in resistive heating of the top layer 229 which further results in a general bending down of the actuator 224 resulting in the ejection of ink.
  • the nozzle arrangement 210 is mounted on a second silicon chip wafer which defines an ink reservoir channel to the back of the nozzle arrangement 210 for resupply of ink.
  • Fig. 2 there is illustrated an exploded perspective view illustrating the various layers of a nozzle arrangement 210.
  • the arrangement 210 can, as noted previously, be constructed from back etching to the boron doped layer.
  • the actuator 224 can further be constructed through the utilisation of a sacrificial layer filling the nozzle chamber 216 and the depositing of the various layers 225, 227, 229 and optional PTFE layer before sacrificially etching the nozzle chamber 216 in addition to the sacrificial material in area 228.
  • the nitride layer 221 includes side portions 222 which act to passivate the portions of the lower glass layer 220 which would otherwise be attacked as a result of sacrificial etching.
  • each nozzle chamber having a nozzle ejection portal further includes two thermal actuators.
  • the first thermal actuator is utilised for the ejection of ink from the nozzle chamber while a second thermal actuator is utilised for pumping ink into the nozzle chamber for rapid ejection of subsequent drops.
  • ink chamber refill is a result of surface tension effects of drawing ink into a nozzle chamber.
  • the nozzle chamber refill is assisted by an actuator which pumps ink into the nozzle chamber so as to allow for a rapid refill of the chamber and therefore a more rapid operation of the nozzle chamber in ejecting ink drops.
  • a single nozzle chamber is schematically illustrated in section.
  • the nozzle arrangement 810 includes a nozzle chamber 811 filled with ink and a nozzle ink ejection port 812 having an ink meniscus 813 in a quiescent position.
  • the nozzle chamber 811 is interconnected to an ink reservoir 815 for the supply of ink to the nozzle chamber.
  • Two paddle-type thermal actuators 816, 817 are provided for the control of the ejection of ink from nozzle portal 812 and the refill of chamber 811.
  • Both of the thermal actuators 816, 817 are controlled by means of passing an electrical current through a resistor so as to actuate the actuator.
  • the structure of the thermal actuators 816, 817 will discussed further herein after.
  • the arrangement of Fig. 20 illustrates the nozzle arrangement when it is in its quiescent or idle position.
  • the actuator 816 When it is desired to eject a drop of ink via the portal 812, the actuator 816 is activated, show in Fig. 21. The activation of activator 816 results in it bending downwards forcing the ink within the nozzle chamber out of the portal 812, thereby resulting in a rapid growth of the ink meniscus 813. Further, ink flows into the nozzle chamber 811 as indicated by arrow 819.
  • the main actuator 816 is then retracted as illustrated in Fig. 22, which results in a collapse of the ink meniscus so as to form ink drop 820.
  • the ink drop 820 eventually breaks off from the main body of ink within the nozzle chamber 811.
  • the actuator 817 is activated so as to cause rapid refill in the area around the nozzle portal 812.
  • the refill coming generally from ink flows 821, 822.
  • Fig. 24 basically comprises the return of actuator 817 to its quiescent position with the nozzle portal area 812 refilling by means of surface tension effects drawing ink into the nozzle chamber 811.
  • the actuator 816 is activated simultaneously which is illustrated in Fig. 25 with the return of the actuator 817 to its quiescent position. This results in more rapid refilling of the nozzle chamber 811 in addition to simultaneous drop ejection from the ejection nozzle 812.
  • FIG. 26 there is a illustrated a section perspective view of an single nozzle arrangement 810 of an embodiment.
  • An embodiment can be constructed on a silicon wafer with a large number of nozzles 810 being constructed at any one time.
  • the nozzle chambers can be constructed through back edging a silicon wafer to a boron doped epitaxial layer 830 advising the boron doping as an etchant stop.
  • the boron doped layer is then further etched utilising the relevant masks to form the nuzzle portal 812 and nozzle rim 831.
  • the nozzle chamber proper is formed from a crystallographic etch of the portion of the silicon wafer 832.
  • the silicon wafer can include a two level metal standard CMOS layer 833 which includes the interconnect and drive circuitry for the actuator devices.
  • the CMOS layer 833 being interconnected to the actuators via appropriate vias.
  • On top of the CMOS layer 833 is placed a nitride layer 834.
  • the nitride layer is provided to passivate the lower CMOS layer 833 from any sacrificial etchant which is utilised to etch sacrificial material in construction of the actuators 816, 817.
  • the actuators 816, 817 can be constructed by filling the nozzle chamber 811 with a sacrificial material, such as sacrificial glass and depositing the actuator layers utilising standard micro-electro-mechanical systems (MEMS) processing techniques.
  • MEMS micro-electro-mechanical systems
  • a first PTFE layer 835 Following a copper layer 836 and a secondary PTFE layer 837. These layers are utilised with appropriate masks so as to form the actuators 816, 817.
  • the copper layer 836 is formed near the top surface of the corresponding actuators and is in a serpentine shape. Upon passing a current through the copper layer 836, the copper layer is heated. The copper layer 836 is encased in the PTFE layers 835, 837.
  • the ptfe has a much greater coefficient of thermal expansion than copper (77010-6) and hence is caused to expand more rapidly than the copper layer 836, such that, upon heating, the copper serpentine shaped layer 836 expands via concertinaing at the same rate as the surrounding teflon layers.
  • the copper layer 836 is formed near the top of each actuator and hence, upon heating of the copper element, the lower PTFE layer 835 remains cooler than the upper PTFE layer 837. This results in a bending of the actuator so as to achieve its actuation effects.
  • the copper layer 837 is interconnected to the lower CMOS layer via means of vias eg 839.
  • the PTFE layers 835/837 which are normally hydrophobic, undergo treatment so as to be hydrophilic. Many suitable treatments exist such as plasma damaging in an ammonia atmosphere. In addition, other materials having considerable properties can be utilised.
  • Fig. 27 there is illustrated an exploded perspective of the various layers of any ink jet nozzle 810 as constructed in accordance with a single nozzle arrangement 810 of an embodiment.
  • the layers include the lower boron layer 830, the silicon and anisotropically etched layer 832, CMOS glass layer 833, nitride passivation layer 834, copper heater layer 836 and PTFE layers 835/837, which are illustrated in one layer but formed with an upper and lower teflon layer embedding copper layer 836.
  • an array of ink jet nozzles is provided with each of the nozzles being under the influence of a outside pulsed magnetic field.
  • the outside pulsed magnetic field causes selected nozzles to eject ink from their ink nozzle chambers.
  • FIG. 42 illustrates a nozzle in a quiescent position
  • Fig. 43 illustrates a nozzle in an ink ejection position.
  • the ink jet nozzle 910 has an ink ejection port 911 for the ejection of ink on demand.
  • the ink jet ejection port 911 is connected to an ink nozzle chamber 912 which is usually filled with ink and supplied from an ink reservoir 913 via holes eg. 915.
  • a magnetic actuation device 925 is included and comprises a magnetic soft core 917 which is surrounded by a nitride coating eg. 918.
  • the nitride coating includes an end protuberance 927.
  • the magnetic core 917 operates under the influence of an external pulsed magnetic field. Hence, when the external magnetic field is very high, the actuator 925 is caused to move rapidly downwards and to thereby cause the ejection of ink from the ink ejection port 911.
  • Adjacent the actuator 920 is provided a locking mechanism 920 which comprises a thermal actuator which includes a copper resistive circuit having two arms 922, 924. A current is passed through the connected arms 922, 924 thereby causing them to be heated.
  • the arm 922 being of a thinner construction undergoes more resistive heating than the arm 924 which has a much thicker structure.
  • the arm 922 is also of a serpentine nature and is encased in polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion, thereby increasing the degree of expansion upon heating.
  • PTFE polytetrafluoroethylene
  • the copper portions expand with the PTFE portions by means of concertinaing.
  • the arm 924 has a thinned portion 929 (Fig. 44) which becomes the concentrated bending region in the resolution of the various forces activated upon heating. Hence, any bending of arm 924 is accentuated in the region 929 and upon heating, the region 929 bends so that end portion 926 (Fig. 42) moves out to block any downward movement of the edge 927 of the actuator 925.
  • the locking mechanism 920 when it is desired to eject an ink drop from a current nozzle chamber, the locking mechanism 920 is not activated and as a result ink is ejected from the ink ejection port during the next external magnetic pulse phase.
  • the locking mechanism 920 is activated to block any movement of the actuator 925 and therefore stop the ejection of ink from the chamber.
  • the actuator 920 is located within a cavity 928 such that the volume of ink flowing past arm 922 is extremely low whereas the arm 924 receives a much larger volume of ink flow during operation.
  • Fig. 44 there is illustrated an exploded perspective view of a single ink jet nozzle 910 illustrating the various layers which make up the nozzle.
  • the nozzle 910 can be constructed on a semiconductor wafer utilising standard semiconductor processing techniques in addition to those techniques commonly used for the construction of micro-electromechanical systems (MEMS).
  • MEMS micro-electromechanical systems
  • SPIE International Society for Optical Engineering
  • volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
  • the bottom level 930 is constructed the nozzle plate including the ink ejection port 911.
  • the nozzle plate 930 can be constructed from a buried boron doped epitaxial layer of a silicon wafer which has been back etched to the point of the epitaxial layer. The epitaxial layer itself is then etched utilising a mask so as to form the nozzle rim (not shown) and the nozzle hole 911.
  • the silicon wafer layer 932 which is etched so as to include the nozzle chamber 912.
  • the silicon layer 932 can be etched to contain substantially vertical side walls through the utilisation of high density, low pressure plasma etching such as that available from Surface Technology Systems and subsequently filled with sacrificial material which will be later etched away.
  • CMOS circuitry layer 933 On top of the silicon layer is deposited a two level CMOS circuitry layer 933 which comprises substantially glass in addition to the usual metal and poly layers.
  • the layer 933 includes the formation of the heater element contacts which can be constructed from copper.
  • the PTFE layer 935 can be provided as a departure from normal construction with a bottom PTFE layer being first deposited followed by the copper layer 934 and a second PTFE layer to cover the copper layer 934.
  • a nitride passivation layer 936 which acts to provide a passivation surface for the lower layers in addition to providing a base for a soft magnetic Nickel Ferrous layer 917 which forms the magnetic actuator portion of the actuator 925.
  • the nitride layer 936 includes bending portions 940 utilised in the bending of the actuator.
  • a nitride passivation layer 939 is provided so as to passivate the top and side surfaces of the nickel iron (NiFe) layer 917.
  • an oscillating ink reservoir pressure is utilised to eject ink from ejection nozzles.
  • Each nozzle has an associated shutter which normally blocks the nozzle. The shutter is moved away from the nozzle by an actuator whenever an ink drop is to be fired.
  • Fig. 61 there is illustrated an exploded perspective view of a single ink jet nozzle 1610 as constructed in accordance with the principles of the present invention.
  • the exploded perspective illustrates a single ink jet nozzle 1610.
  • the nozzles are formed as an array at a time on a bottom silicon wafer 1612.
  • the silicon wafer 1612 is processed so as to have two level metal CMOS circuitry which includes metal layers and glass layers 1613 and which are planarised after construction.
  • the CMOS metal layer has a reduced aperture 1614 for the access of ink from the back of silicon wafer 1612 via the larger radius portal 1615.
  • a bottom nitride layer 1616 is constructed on top of the CMOS layer 1613 so as to cover, protect and passivate the CMOS layer 1613 from subsequent etching processes. Subsequently, there is provided a copper heater layer 1618 which is sandwiched between two polytetrafluoroethylene (PTFE) layers 1619,1620.
  • the copper layer 1618 consists of a circuit having two arms e.g. 1622,1623.
  • the copper layer 1618 is connected to lower CMOS layer 1613 through vias 1625,1626.
  • the copper layer 1618 and PTFE layers 1619,1620 are encapsulated within nitride borders e.g.
  • nitride top layer 1629 which includes an ink ejection portal 1630 in addition to a number of sacrificial etched access holes 1632 which are of a smaller dimension than the ejection portal 1630 and are provided for allowing access of a etchant to lower sacrificial layers thereby allowing the utilisation of a the etchant in the construction of layers, 1618,1619,1620 and 1628.
  • FIG. 62 there is shown a cut-out perspective view of a fully constructed ink jet nozzle 1610.
  • the ink jet nozzle uses an oscillating ink pressure to eject ink from ejection port 1630.
  • Each nozzle has an associated shutter 1631 which normally blocks it.
  • the shutter 1631 is moved away from the ejection port 1630 opening by an actuator 1635 whenever an ink drop is to be fired.
  • the nozzles 1630 are connected to ink chambers which contain the actuators 1635. These chambers are connected to ink supply channels 1636 which are etched through the silicon wafer.
  • the ink supply channels 1636 are substantially wider than the nozzles 1630, to reduce the fluidic resistance to the ink pressure wave.
  • the ink channels 1636 are connected to an ink reservoir.
  • An ultrasonic transducer (for example, a piezoelectric transducer) is positioned in the reservoir. The transducer oscillates the ink pressure at approximately 100 KHz. The ink pressure oscillation is sufficient that ink drops would be ejected from the nozzle were it not blocked by the shutter 1631.
  • the shutters are moved by a thermoelastic actuator 1635.
  • the actuators are formed as a coiled serpentine copper heater 1623 embedded in polytetrafluoroethylene (PTFE) 1619/1620.
  • PTFE polytetrafluoroethylene
  • the current return trace 1622 from the heater 1623 is also embedded in the PTFE actuator 1635, the current return trace 1622 is made wider than the heater trace 1623 and is not serpentine. Therefore, it does not heat the PTFE as much as the serpentine heater 1623 does.
  • the serpentine heater 1623 is positioned along the inside edge of the PTFE coil, and the return trace is positioned on the outside edge. When actuated, the inside edge becomes hotter than the outside edge, and expands more. This results in the actuator 1635 uncoiling.
  • the heater layer 1623 is etched in a serpentine manner both to increase its resistance, and to reduce its effective tensile strength along the length of the actuator. This is so that the low thermal expansion of the copper does not prevent the actuator from expanding according to the high thermal expansion characteristics of the PTFE.
  • the shutter 1631 can be positioned between the fully on and fully off positions. This may be used to vary the volume of the ejected drop. Drop volume control may be used either to implement a degree of continuous tone operation, to regulate the drop volume, or both.
  • the actuator 1635 When data signals distributed on the print head indicate that a particular nozzle is turned on, the actuator 1635 is energised, which moves the shutter 1631 so that it is not blocking the ink chamber.
  • the peak of the ink pressure variation causes the ink to be squirted out of the nozzle 1630.
  • the shutter 1631 As the ink pressure goes negative, ink is drawn back into the nozzle, causing drop break-off.
  • the shutter 1631 is kept open until the nozzle is refilled on the next positive pressure cycle. It is then shut to prevent the ink from being withdrawn from the nozzle on the next negative pressure cycle.
  • Each drop ejection takes two ink pressure cycles.
  • Preferably half of the nozzles 1610 should eject drops in one phase, and the other half of the nozzles should eject drops in the other phase. This minimises the pressure variations which occur due to a large number of nozzles being actuated.
  • the amplitude of the ultrasonic transducer can be altered in response to the viscosity of the ink (which is typically affected by temperature), and the number of drops which are to be ejected in the current cycle. This amplitude adjustment can be used to maintain consistent drop size in varying environmental conditions.
  • the drop firing rate can be around 50 KHz.
  • the ink jet head is suitable for fabrication as a monolithic page wide print head.
  • Fig. 62 shows a single nozzle of a 1600 dpi print head in "up shooter" configuration.
  • the wafer is processed so as to add CMOS layers 1613 with an aperture 1614 being inserted.
  • the nitride layer 1616 is laid down on top of the CMOS layers so as to protect them from subsequent etchings.
  • a thin sacrificial glass layer is then laid down on top of nitride layers 1616 followed by a first PTFE layer 1619, the copper layer 1618 and a second PTFE layer 1620. Then a sacrificial glass layer is formed on top of the PTFE layer and etched to a depth of a few microns to form the nitride border regions 1628. Next the top layer 1629 is laid down over the sacrificial layer utilising the mask for forming the various holes including the processing step of forming the rim 1640 on nozzle 1630. The sacrificial glass is then dissolved away and the channel 1615 formed through the wafer by means of utilisation of high density low pressure plasma etching such as that available from Surface Technology Systems.
  • an inkjet nozzle includes a shutter mechanism which interconnects the nozzle chamber with an ink supply reservoir, the reservoir being under an oscillating ink pressure. Hence, when the shutter is open, ink is forced through the shutter mechanism and out of the nozzle chamber. Closing of the shutter mechanism results in the nozzle chamber remaining in a stable state and not ejecting any ink from the chamber.
  • a single nozzle chamber 1710 as constructed in accordance with the principles of an embodiment.
  • the nozzle chamber 1710 can be constructed on a silicon wafer 1711, having an electrical circuitry layer 1712 which contains the control circuitry and drive transistors.
  • the layer 1712 can comprise a two level metal CMOS layer or another suitable form of semi conductor processing layer.
  • a nitride passivation layer1713 On top of the layer 1712 is deposited a nitride passivation layer1713.
  • Fig. 77 illustrates the shutter in a closed state while Fig. 78 illustrates the shutter when in an open state.
  • Fig. 79 illustrates an exploded perspective view of the various layers of the inkjet nozzle when the shutters are in an open state as illustrated in Fig. 78.
  • the nitride layer 1713 includes a series of slots e.g. 1715, 1716 and 1717 which allow for the flow of ink from an ink channel 1719 etched through the silicon wafer 1711.
  • the nitride layer 1713 also preferably includes bottom portion 1720 which acts to passivate those exposed portions of lower layer 1712 which may be attacked in any sacrificial etch utilised in the construction of the nozzle chamber 1710.
  • the next layers include a polytetrafluoroethylene (PTFE) layer 1722 having an internal copper structure 1723.
  • PTFE polytetrafluoroethylene
  • the PTFE layers 1722 and internal copper portions 1723 comprise the operational core of the nozzle chamber arrangement 1710.
  • the copper layer 1723 includes copper end posts, e.g. 1725-1727, interconnecting serpentine copper portions 1730,1731.
  • the serpentine copper portions 1730,1731 are designed for concertinaing greatly upon heating.
  • the heating circuit is provided by means of interconnecting vias (not shown) between the end portions, e.g. 1725-1727, and lower level CMOS circuitry at CMOS level 1712. Hence when it is desired to open the shutter, a current is passed through the two portions 1730,1731 thereby heating up portions 1734,1735 of the PTFE layer 1722.
  • the PTFE layer has a very high co-efficient of the thermal expansion (approximately 77010 -6 ) and hence expands more rapidly than the copper portions 1730,1731.
  • the copper portions 1730,1731 are constructed in a serpentine manner which allows the serpentine structure to concertina to accommodate the expansion of the PTFE layer. This results in a buckling of the PTFE layer portions 1734,1735 which in turn results in a movement of the shutter portions e.g. 1737 generally in the direction 1738. The movement of the shutter 1737 in direction 1738 in turn results in an opening of the nozzle chamber 1710 to the ink supply.
  • Fig. 77 there is illustrated the shutter in a closed position whereas in Fig. 78, there is illustrated an open shutter after activation by means of passing a current through the two copper portions 1730,1731.
  • the portions 1730,1731 are positioned along one side within the portions 1734,1735 so as to ensure buckling in the correct direction.
  • Nitride layers including side walls 1740 and top portion 1741, are constructed to form the rest of a nozzle chamber 1710.
  • the top surface includes an ink ejection nozzle 1742 in addition to a number of smaller nozzles 1743 which are provided for sacrificial etching purposes.
  • the nozzles 1743 are much smaller than the nozzle 1742 such that, during operation, surface tension effects restrict any ejection of ink from the nozzles 1743.
  • the ink supply channel 1719 is driven with an oscillating ink pressure.
  • the oscillating ink pressure can be induced by means of driving a piezoelectric actuator in an ink chamber.
  • the shutter is opened forcing the drop of ink out of the nozzle 1742 during the next high pressure cycle of the oscillating ink pressure.
  • the ejected ink is separated from the main body of ink within the nozzle chamber 1710 when the pressure is reduced.
  • the separated ink continues to the paper.
  • the shutter is kept open so that the ink channel may refill during the next high pressure cycle. Afterwards it is rapidly shut so that the nozzle chamber remains full during subsequent low cycles of the oscillating ink pressure.
  • the nozzle chamber is then ready for subsequent refiring on demand.
  • the inkjet nozzle 1710 can be constructed as part of an array of inkjet nozzles through MEMS depositing of the various layers utilising the required masks, starting with a CMOS layer 1712 on top of which the nitride layer 1713 is deposited having the requisite slots.
  • a sacrificial glass layer can then be deposited followed by a bottom portion of the PTFE layer 1722, followed by the copper layer 1723 with the lower layers having suitable vias for interconnecting with the copper layer.
  • an upper PTFE layer is deposited so as to encase to the copper layer 1723 within the PTFE layer 1722.
  • a further sacrificial glass layer is then deposited and etched, before a nitride layer is deposited forming side walls 1740 and nozzle plate 1741.
  • the nozzle plate 1741 is etched to have suitable nozzle holes 1742 and sacrificial etching holes 1743 with the plate also being etched to form a rim around the nozzle hole 1742.
  • the sacrificial glass layers can be etched away, thereby releasing the structure of the actuator of the PTFE and copper layers.
  • the wafer can be through etched utilising a high density low pressure plasma etching process such as that available from Surface Technology Systems.
  • nozzles can be formed on a single wafer with the nozzles grouped into their desired width heads and the wafer diced in accordance with requirements.
  • the diced print heads can then be interconnected to a print head ink supply reservoir on the back portion thereof, for operation, producing a drop on demand inkjet printer.
  • An embodiment utilises an ink reservoir with oscillating ink pressure and a shutter activated by a thermal actuator to eject drops of ink.
  • Fig. 94 there is illustrated two ink nozzle arrangements 1820, 1821 as constructed in accordance with an embodiment.
  • the ink nozzle arrangement 1820 is shown in an open position with the ink nozzle arrangement 1821 shown in a closed position.
  • the ink nozzle arrangement of Fig. 94 can be constructed as part of a large array of nozzles or print heads on a silicon wafer utilising micro-electro mechanical technologies (MEMS).
  • MEMS micro-electro mechanical technologies
  • SPIE International Society for Optical Engineering
  • each of the ink nozzle arrangements 1820, 1821 covers an ink nozzle eg. 1822 from which ejection of ink occurs when the ink nozzle arrangement is in an open state and the pressure wave is at a maximum.
  • thermocouple actuator device 1809 having two arms.
  • the ink nozzle arrangement 1820 utilises arms 1824, 1825 and the ink apparatus 1821 utilising thermocouple arms 1826, 1827.
  • the thermocouple arms 1824, 1825 are responsible for movement of a grated shutter device within a shutter cage 1829.
  • thermocouple arms 1824, 1825 and shutter 1830 of Fig. 94 without the cage.
  • the shutter 1830 includes a number of apertures 1831 for the passage of ink through the shutter 1830 when the shutter is in an open state.
  • the thermocouple arms 1824, 1825 are responsible for movement of the shutter 1830 upon activation of the thermocouple via means of an electric current flowing through bonding pads 1832, 1833 (Fig. 94).
  • the thermal actuator of Fig. 95 operates along similar principles to that disclosed in the aforementioned proceedings by the authors J. Robert Reid, Victor M. Bright and John. H. Comtois with a number of significant differences in operation which will now be discussed.
  • the arm 1824 can comprise an inner core of poly-silicon surrounded by an outer jacket of thermally insulating material.
  • the cross-section of the arm 1824 is illustrated in Fig. 95 and includes the inner core 1840 and the outer core 1841.
  • the arm 1824 includes an inner resistive element 1840, preferably comprising polysilicon or the like which heats up upon a current being passed through it.
  • the thermal jacket 1841 is provided to isolate the inner core 1840 from the ink chamber 1811 in which the arms 1824, 1825 are immersed.
  • the arm 1824 contains a thermal jacket whereas the arm 1825 does not include a thermal jacket. Hence, the arm 1825 will be generally cooler than the arm 1824 and undergo a different rate of thermal expansion.
  • the two arms acting together to form a thermal actuator.
  • the thermocouple comprising arms 1824, 1825 results in movement of the shutter 1830 generally in the direction 1834 upon a current being passed through the two arms.
  • the arm 1825 includes a thinned portion 1836 (in Fig. 94) which amplifies the radial movement of shutter 1830 around a central axis near the bonding pads 1832, 1833 (in Fig. 94).
  • thermocouples 1824, 1825 can be activated to move the shutter 1830 from the closed position as illustrated generally 1821 in Fig. 94 to an open position as illustrated 1820 in Fig. 94.
  • thermocouple actuator 1850 is also provided having first and second arms 1851, 1852.
  • the actuator 1850 operates on the same physical principals as the arm associated with the shutter system 1830.
  • the arm 1850 is designed to be operated so as to lock the shutter 1830 in an open or closed position.
  • the arm 1850 locking the shutter 1830 in an open position Is illustrated in Fig. 94.
  • the arm 1850 locks the shutter by means of engagement of knob 1838 with a cavity on shutter 1830 (not shown).
  • the shutter 1830 is deactivated, and the hot arm 1824 (Fig. 95) of the actuator begins to cool.
  • Fig. 96 there is illustrated generally 1855 a first pressure plot which illustrates the pressure fluctuation around an ambient pressure within the ink chamber (1811 of Fig. 95) as a result of the driving of the piezo-electric actuator in a substantially sinusoidal manner.
  • the pressure fluctuation 1870 is also substantially sinusoidal in nature and the printing cycle is divided into four phases being a drop formation phase 1871, a drop separation phase 1872, a drop refill phase 1873 and a drop settling phase 1874.
  • FIG. 96 Also shown in Fig. 96 are clock timing diagrams 1856 and 1857.
  • the first diagram 1856 illustrates the control pulses received by the shutter thermal actuator of a single ink nozzle so as to open and close the shutter.
  • the second clock timing diagram 1857 is directed to the operation of the second thermal actuator or latch (eg. 1850 of Fig. 94).
  • the latch 1850 is actuated 1859 to an open state. Subsequently, the shutter is also actuated 1860 so that it also moves from a closed to an open position. Next, the latch 1850 is deactivated 1861 thereby locking the shutter in an open position with the head 1863 (Fig. 94) of the latch 1850 locking against one side of the shutter 1830. Simultaneously, the shutter 1830 is deactivated 1862 to reduce the power consumption in the nozzle.
  • the ink meniscus will be expanding out of the ink nozzle.
  • the drop separation phase 1872 is entered wherein the chamber undergoes a negative pressure causing a portion of the flowing ink flowing out of the ink nozzle back into the chamber.
  • This rapid flow causes ink bubble separation from the main body of ink.
  • the ink bubble or jet then passes to the print media while the surface meniscus of the ink collapses back into the ink nozzle.
  • the pressure cycle enters the drop refill stage 1873 with the shutter still open with a positive pressure cycle experienced. This causes rapid refilling of the ink chamber.
  • the latch 1850 is opened 1863 causing the now cold shutter to spring back to a closed position.
  • the latch is closed 1864 locking the shutter in the closed position, thereby completing one cycle of printing.
  • the closed shutter allows a drop settling stage 1874 to be entered which allows for the dissipation of any resultant ringing or transient in the ink meniscus position while the shutter is closed.
  • the state has returned to the start of the drop formation stage 1871 and another drop can be ejected from the ink nozzle.
  • the pressure wave oscillation which is shown to be a constant oscillation in magnitude and frequency can be altered in both respects.
  • the size and period of each cycle can be scaled in accordance with such pre-calculated factors such as the number of nozzles ejecting ink and the tuned pressure requirements for nozzle refill with different inks.
  • the clock periods of operation can be scaled to take into account differing effects such as actuation speeds etc.
  • Fig. 97 there is illustrated 1880 an exploded perspective view of one form of construction of the ink nozzle pair 1820, 1821 of Fig. 94.
  • the ink jet nozzles are constructed on a buried boron-doped layer 1881 of a silicon wafer 1871 which includes fabricated nozzle rims, e.g. 1883 which form part of the layer 1881 and limit any hydrophilic spreading of the meniscus on the bottom end of the layer 1881.
  • the nozzle rim, e.g. 1883 can be dispensed with when the bottom surface of layer 1881 is suitably treated with a hydrophobosizing process.
  • CMOS layer 1885 On top of the wafer 1882 is constructed a CMOS layer 1885 which contains all the relevant circuitry required for driving of the two nozzles. This CMOS layer is finished with a silicon dioxide layer 1886. Both the CMOS layer 1885 and the silicon dioxide 1886 include triangular apertures 1887 and 1888 allowing for the fluid communication with the nozzle ports, e.g. 1884.
  • a first shutter layer 1890 is constructed from a first layer of polysilicon and comprises the shutter and actuator mechanisms.
  • a second shutter layer 1891 can be constructed from a polymer, for example, polyamide and acts as a thermal insulator on one arm of each of the thermocouple devices.
  • a final covering cage layer 1892 is constructed from a second layer of polysilicon.
  • nozzles 1880 relies upon standard semi-conductor fabrication processes and MEMS process known to those skilled in the art.
  • MEMS micro-electro mechanical system
  • nozzle arrangement 1880 would be to utilise a silicon wafer containing a boron doped epitaxial layer which forms the final layer 1881.
  • the silicon wafer layer 1882 is formed naturally above the boron doped epitaxial 1881.
  • the layer 1885 is formed on top of this layer.
  • the apertures 1887, 1888 can be formed within the layers by means of plasma etching utilising an appropriate mask. Subsequently, these layers can be passivated by means of a nitride covering and then filled with a sacrificial material such as glass which will be subsequently etched.
  • a sacrificial material with an appropriate mask can also be utilised as a base for the moveable portions of the layer 1890 which are again deposited utilising appropriate masks. Similar procedures can be carried out for the layers 1891, 1892.
  • the wafer can be thinned by means of back etching of the wafer to the boron doped epitaxial layer 1891 which is utilised as an etchant stop.
  • the nozzle rims and nozzle apertures can be formed and the internal portions of the nozzle chamber and other layers can be sacrificially etched away releasing the shutter structure.
  • the wafer can be diced into appropriate print heads attached to an ink chamber wafer and tested for operational yield.
  • each layer many other materials can be utilised to form the construction of each layer.
  • the shutter and actuators could be constructed from tantalum or a number of other substances known to those skilled in the art of construction of MEMS devices.
  • an ink jet print head is constructed from an array of ink nozzle chambers which utilise a thermal actuator for the ejection of ink having a shape reminiscent of the calyx arrangement of a flower.
  • the thermal actuator is activated so as to close the flower arrangement and thereby cause the ejection of ink from a nozzle chamber. formed in the space above the calyx arrangement.
  • the calyx arrangement has particular advantages in allowing for rapid refill of the nozzle chamber in addition to efficient operation of the thermal actuator.
  • the nozzle arrangement 1910 is based around a calyx type structure 1911 which includes a plurality of petals eg. 1913 which are constructed from polytetrafluoroethylene (PTFE).
  • the petals 1913 include an internal resistive element 1914 which can comprise a copper heater.
  • the resistive element 1914 is generally of a serpentine structure, such that, upon heating, the copper heater 1914 can concertina and thereby expand at the rate of expansion of the PTFE petals, e.g. 1913.
  • the PTFE petal 1913 has a much higher coefficient thermal expansion (770 x 10 6 ) and therefore undergoes substantial expansion upon heating.
  • the copper portions 1914 are constructed nearer to the lower surface of the PTFE petal 1913 and as a result, the bottom surface of PTFE petal 1913 is heated more rapidly than the top surface.
  • the difference in thermal grading results in a bending upwards of the petals 1913 upon heating.
  • Each petal eg. 1913 is heated together which results in a combined upward movement of all the petals at the same time which in turn results in the imparting of momentum to the ink within chambers 1916 such that ink is forced out of the ink nozzle 1914.
  • the forcing out of ink out of ink nozzle 1917 results in an expansion of the meniscus 1918 and subsequently results in the ejection of drops of ink from the nozzle 1917.
  • PTFE is normally hydrophobic.
  • the bottom surface of petals 1913 comprises untreated PTFE and is therefore hydrophobic. This results in an air bubble 1920 forming under the surface of the petals. The air bubble contracts on upward movement of petals 1913 as illustrated in Fig. 115 which illustrates a cross-sectional perspective view of the form of the nozzle after activation of the petal heater arrangement.
  • the top of the petals is treated so as to reduce its hydrophobic nature. This can take many forms, including plasma damaging in an ammonia atmosphere.
  • the top of the petals 1913 is treated so as to generally make it hydrophilic and thereby attract ink into nozzle chamber 1916.
  • the nozzle chamber 1916 is constructed from a circular rim 1921 of an inert material such as nitride as is the top nozzle plate 1922.
  • the top nozzle plate 1922 can include a series of the small etchant holes 1923 which are provided to allow for the rapid etching of sacrificial material utilised in the construction of the nozzle chamber 1910.
  • the etchant holes 1923 are large enough to allow the flow of etchant into the nozzle chamber 1916 however, they are small enough so that surface tension effects retain any ink within the nozzle chamber 1916.
  • a series of posts 1924 are further provided for support of the nozzle plate 1922 on a wafer 1925.
  • the wafer 1925 can comprise a standard silicon wafer on top of which is constructed data drive circuitry which can be constructed in the usual manner such as two level metal CMOS with portions one level of metal (aluminium) being utilised 1926 for providing interconnection with the copper circuitry portions 1927.
  • data drive circuitry which can be constructed in the usual manner such as two level metal CMOS with portions one level of metal (aluminium) being utilised 1926 for providing interconnection with the copper circuitry portions 1927.
  • the arrangement 1910 of Fig. 114 has a number of significant advantages in that, in the petal open position, the nozzle chamber 1916 can experience rapid refill, especially where a slight positive ink pressure is utilised. Further, the petal arrangement provides a degree of fault tolerance in that, if one or more of the petals is non-functional, the remaining petals can operate so as to eject drops of ink on demand.
  • a nozzle arrangement 1910 is constructed on a base wafer 1925 which can comprise a silicon wafer suitably diced in accordance with requirements.
  • a silicon glass layer which can include the usual CMOS processing steps to construct a two level metal CMOS drive and control circuitry layer. Part of this layer will include portions 1927 which are provided for interconnection with the drive transistors.
  • CMOS layer 1926 On top of the CMOS layer 1926, 1927 is constructed a nitride passivation layer 1929 which provides passivation protection for the lower layers during operation and also should an etchant be utilised which would normally dissolve the lower layers.
  • the PTFE layer 1930 really comprises a bottom PTFE layer below a copper metal layer 1931 and a top PTFE layer above it, however, they are shown as one layer in Fig. 116. Effectively, the copper layer 1931 is encased in the PTFE layer 1930 as a result. Finally, a nitride layer 1932 is provided so as to form the rim 1921 of the nozzle chamber and nozzle posts 1924 in addition to the nozzle plate.
  • the arrangement 1910 can be constructed on a silicon wafer by utilisation of micro-electro-mechanical systems techniques.
  • MEMS micro-electro mechanical system
  • SPIE International Society for Optical Engineering
  • the PTFE layer 1930 can be constructed on a sacrificial material base such as glass, wherein a via for stem 1933 of layer 1930 is provided.
  • the layer 1932 is constructed on a second sacrificial etchant material base so as to form the nitride layer 1932.
  • the sacrificial material is then etched away utilising a suitable etchant which does not attack the other material layers so as to release the internal calyx structure.
  • the nozzle plate 1932 includes the aforementioned etchant holes eg. 1923 so as to speed up the etching process, in addition to the nozzle 1917 and the nozzle rim 1934.
  • the nozzles 1910 can be formed on a wafer of print heads as required.
  • the print heads can include supply means either in the form of a "through the wafer” ink supply means which utilises high density low pressure plasma etching such as that available from Surface Technology Systems or via means of side ink channels attached to the side of the print head. Further, areas can be provided for the interconnection of circuitry to the wafer in the normal fashion as is normally utilised with MEMS processes.
  • Fig. 132 in an embodiment 2001, there is provided an ink chamber 2002 which is supplied from an ink supply conduit 2003.
  • a piezo electric actuator 2004 is driven in a substantially sign waive form so as to set up pressure waves 2006 within the chamber 2002.
  • the ultrasonic transducer 2004 can typically comprise a piezo electric transducer positioned within the reservoir.
  • the transducer 2004 oscillates the ink pressure within the chamber 2002 at approximately 100 KHz. The pressure is sufficient to eject the ink drops from each nozzle apparatus 2012 when required.
  • Each nozzle 2008 is provided with a shutter 2010 which is opened and foreclosed on demand.
  • Fig. 133 there is illustrated a single nozzle apparatus 2012 of Fig. 132.
  • Each nozzle apparatus 2012 includes a nozzle hole 2013 for the output of ink and a nozzle chamber 2014 which is normally filled with ink. Further, each nozzle is provided with a shutter 2010 which is designed to open and close nozzle chamber 2014 on demand. The shutter 2010 is actuated by a coiled thermal actuator 2015.
  • the coiled actuator 2015 is constructed from laminated conductors of either differing resistivities, different cross-section areas, different indices of thermal expansion, different thermal conductivities to the ink, different length, or some combination thereof.
  • the coiling radius of the actuator 2015 changes when a current is passed through the conductors, as one side of the coiled beam expands differently than the other.
  • One method can be to utilise two current paths2035, 2036, which are made of electrically conductive material.
  • the current paths 2036, 2037 are connected at the shutter end 2017 of the thermal actuator 2015.
  • One current path 2036 is etched in a serpentine manner to increase its resistance. When a current is passed through paths 2035, 2036, the side of the coiled actuator 2015 that comprises the serpentine path expands more. This results in the actuator 2015 uncoiling.
  • the thermal actuator 2015 controls the position of the shutter 2010 so that it can cover none, all or part of the nozzle chamber 2014. If the shutter 2010 does not cover any of the nozzle chamber 2014 then the oscillating ink pressure will be transmitted to the nozzle chamber 2014 and the ink will be ejected out of the nozzle hole 2013. When the shutter 2010 covers the ink chamber 2014, then the oscillating ink pressure of the chamber is significantly attenuated at the nozzle hole 2013. The ink pressure within the chamber 2014 will not be entirely stopped, due to leakage around the shutter 2010 when in a closed position and fixing of the shutter under varying pressures.
  • the shutter 2010 may also be driven to be part way across the nozzle chamber 2014, resulting in a partial attenuation of the ink pressure variation. This can be used to vary the volume of the ejected drop. This can be utilised to implement a degree of continuation tone operation of the nozzle hole 2013, to regulate the drop volume, or both.
  • the shutter is normally shut, and is opened on demand.
  • the piezo electric device is driven in a sinusoidal manner which in turn causes a sinusoidal variation 2070 in the pressure within the ink chamber 2002 (Fig. 132) with respect to time.
  • the operation of the printed devices utilises four phases being an ink ejection phase 2071, an ink separation phase 2072 an ink refill phase 2073 and an idle ink nozzle phase 2074.
  • the shutter 2010 is located over the ink chamber 2014 and the ink forms a meniscus 2081 over the nozzle hole 2013.
  • the actuator coil is activated and the shutter 2010 moves away from its position over the chamber 2014 as illustrated in Fig. 136.
  • the chamber undergoes positive pressure, the meniscus 2081 grows and the volume of ink 2091 outside the nozzle hole 2013 increases due to an ink flow 2082.
  • the separation phase 2072 of Fig. 134 is entered. In this phase, the pressure within the chamber 2014 becomes less than the ambient pressure. This causes a back flow 2083 (Fig. 137) within the chamber 2014 and results in the separation of a body of ink 2084 from the nozzle hole 2013. The negative pressure 2083 forcing the meniscus 2085 up the ink chamber 2014.
  • the ink chamber enters the refill phase 2073 of Fig. 134 wherein positive pressure is again experienced.
  • the actuator is turned off and the shutter 2010 returns to its original position ready for reactivation (idle phase 2074 of Fig. 134).
  • the cycle operation as illustrated in Fig. 134 has a number of advantages.
  • the level and duration of each sinusoidal cycle can be closely controlled by means of controlling the signal to the piezo electric actuator 2004 (Fig. 132).
  • a number of further variations are possible.
  • half the nozzles, e.g., nozzles 2020, 2022 and 2024 of Fig. 132 could be ejected in one phase and the other half of the nozzles e.g.,2021, 2023 could be ejected during a second phase. This allows for minimisation of the pressure variations which occurs due to large numbers of nozzles being actuated simultaneously.
  • the amplitude of the driving signal to the actuator 2004 can be altered in response to the viscosity of the ink which will be typically effected by such factors as temperature and the number of drops which are to be ejected in the current cycle.
  • Each nozzle further includes drive circuitry which activates the actuator spiral when the shutter is to be opened.
  • the nozzle chamber should be carefully dimensioned such that the radius of the nozzle tip is carefully selected to control the drop velocity and drop size. Further, the nozzle chamber 2014 of Fig. 133 should be wide enough so that fiscous drag from the chamber walls do not significantly increase the force required from the ultrasonic oscillator.
  • the shutter 2010 is of a disk form which covers the nozzle chamber.
  • the disk preferably has a honeycomb like structure to maximise strength while minimising its inertial mass.
  • a suitable passivation layer can include silicon nitride (Si 3 N 4 ), diamond like carbon (DLC), or other chemically inert, highly impermeable layer.
  • the passivation layer is obviously especially important for device lifetime, as the active device will be immersed in ink.
  • Fig. 140 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
  • each nozzle includes an actuator device comprising a plurality of actuators which actuate a series of paddles that operate in an iris type motion so as to cause the ejection of ink from a nozzle chamber.
  • the ink is ejected out of the nozzle port 2211 from a nozzle chamber area 2212 which is formed from 2204 substantially identical iris vanes 2214.
  • Each iris vane 2214 is operated simultaneously to cause the ink within the nozzle chamber 2212 to be squeezed out of the nozzle chamber, thereby ejected the ink from ink ejection port 2211.
  • Each nozzle vane 2214 is actuated by means of a thermal actuator 2215 in its base.
  • the thermal actuator 2215 has two arms, an expanding, flexible arm 2225 and a rigid arm 2226. Both arms are fixed at one end 2227 and are joined at the other end 2228.
  • the expanding arm 2225 can be constructed from a polytetrafluoroethylene (PTFE) layer 2229, inside of which is constructed a serpentine copper heater 2216.
  • the rigid arm 2226 of the thermal actuator 2215 comprises the return trays of the copper heater 2216 and the vane 2214.
  • the result of the heating of the expandable arm 2225 of the thermal actuator 2215 is that the outer PTFE layer 2229 is caused to bend around thereby causing the vane 2214 to push ink towards the centre of nozzle chamber 2212.
  • the serpentine trays of copper layer 2216 concertinas in response to the high thermal expansion of the PTFE layer 2229.
  • the other vanes 2218-2220 are operated simultaneously. The four vanes therefore cause a general compression of the ink within the nozzle chamber 2212 resulting in a subsequent ejection from the ink ejection port 2211.
  • the roof of the nozzle arrangement is formed from a nitride layer 2222 and is supported by posts 2223.
  • the nitride top layer 2222 includes a series of holes 2224 which are provided in order to facilitate more rapid etching of sacrificial materials within the lower layers during construction.
  • the nitride layer etchant holes 2224 are provided of a small diameter such that surface tension effects are sufficient to stop any ink being ejected from the nitride holes 2224 as opposed to ink ejection port 2211 upon activation of the iris vanes.
  • Fig. 154 can be constructed on a silicon wafer utilising standard semi-conductor fabrication and micro-electro-mechanical systems (MEMS) techniques.
  • MEMS micro-electro mechanical system
  • SPIE International Society for Optical Engineering
  • the nozzle arrangement 2210 can be constructed on a silicon wafer and built up from utilising various sacrificial materials where necessary as is common practice with MEMS constructions.
  • Fig. 156 there is illustrated an exploded perspective view of a single nozzle arrangement 2210 illustrated the various layers utilised in the construction of a single nozzle.
  • the lowest layer of the construction comprises a silicon wafer base 2230.
  • a silicon wafer base 2230 On top of the silicon wafer layer 2230 is first constructed a CMOS circuitry/glass layer 2231 which provides all the necessary interconnections and driving control circuitry for the various heater circuits.
  • a nitride passivation layer 2232 On top of the CMOS layer 2231 is constructed a nitride passivation layer 2232 which is provided for passivating the lower CMOS layer 2231 against any etchants which may be utilised. Layer 2232 having the appropriate vias (not shown) for connection of the heating elements to the relevant portion of the lower CMOS layer 2231.
  • the aluminium layer 2233 which includes the various heater element circuits in addition to vias to the lower CMOS layer.
  • a PTFE layer 2234 is provided with the PTFE layer 2234 actually comprising 2 layers which encase the lower copper layer 2233.
  • a first nitride layer 2236 is constructed for the iris vanes 2214, 2218-2220 of Fig. 154.
  • a second nitride layer 2237 which forms the posts and nozzle roof of the nozzle chamber.
  • the various layers 2233, 2234, 2236 and 2237 can be constructed utilising intermediate sacrificial layers which are, as standard with MEMS processes, subsequently etched away so as to release the functional device. Suitable sacrificial materials include glass. When necessary, such as in the construction of nitride layer 2237, various other semi-conductor processes such as dual damascene processing can be utilised.
  • ink is ejected from a nozzle through the utilisation of the bending of a thermal actuator so as to eject the ink.
  • the nozzle arrangement 2301 includes a thermal actuator 2302 located above a nozzle chamber 2303 and nozzle 2304.
  • the thermal actuator 2302 includes an electrical circuit comprising leads 2306, 2307 connected to a serpentine resistive element 2308.
  • the resistive element 2308 can comprise the copper layer in this respect, a copper stiffener 2309 is provided to provide support for one end of the thermal actuator 2302.
  • the copper resistive element 2308 is constructed in a serpentine manner to provide very little tensile strength along the length of the thermal actuator panel 2302.
  • the copper resistive element is embedded in a polytetrafluoroethylene (PTFE) layer 2312.
  • the PTFE layer 2312 has a very high coefficient of thermal expansion (approximately 770 x 10 -6 ). This layer undergoes rapid expansion when heated by the copper heater 2308.
  • the copper heater 2308 is positioned closer to the top surface of the PTFE layer 2312, thereby heating the upper level of the PTFE layer 2312 faster than the bottom level, resulting in a bending down of the thermal actuator 2302 towards the nozzle 2304 in the nozzle chamber 2303.
  • the operation of the nozzle arrangement 2301 is as follows:
  • a wafer upon which the active circuitry and nozzles are fabricated (the print head wafer) and a further wafer in which the ink channels are fabricated. This is the ink channel wafer.
  • Fig. 171 illustrates an exploded perspective view of a single ink jet nozzle constructed in accordance with an embodiment.
  • the thermal actuator could be operated in a reverse mode wherein passing current through the actuator results in movement of the paddle to an ink loading position when the subsequent cooling of the paddle results in the ink being ejected.
  • this has a number of disadvantages in that cooling is likely to take a substantially longer time than heating and this arrangement would require a constant current to be passed through nozzles when not in use.
  • an inkjet nozzle having a thermally based actuator which is highly energy efficient.
  • the thermal actuator is located within a chamber filled with ink and relies upon the thermal expansion of materials when an electric current is being passed through them to activate the actuator thereby causing the ejection of ink out of a nozzle provided in the nozzle chamber.
  • Fig. 189 there are illustrated two adjoining inkjet nozzles 2410 constructed in accordance with an embodiment, with Fig. 190 showing an exploded perspective and Fig. 192 and 2404 showing various sectional views.
  • Each nozzle 2410 can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • MEMS micro machining and micro fabrication process technology
  • the nozzle chamber 2410 includes a ink ejection port 2411 for the ejection of ink from within the nozzle chamber.
  • Ink is supplied via an inlet port 2412 which has a grill structure fabricated from a series of posts 2414, the grill acting to filter out foreign bodies within the ink supply and also to provide stability to the nozzle chamber structure.
  • a thermal actuator device 2416 which is interconnected to an electric circuit (not shown) which, when thermally actuated, acts as a paddle bending upwards so as to cause the ejection of ink from each ink ejection port 2411.
  • a series of etchant holes e.g.
  • nozzle chamber 2410 are also provided in the top of nozzle chamber 2410, the holes 2418 being provided for manufacturing purposes only so to allow a sacrificial etchant to easily etch away the internal portions of nozzle chamber 2410.
  • the etchant ports 2418 are of a sufficiently small diameter so that the resulting surface tension holds the ink within chamber 2410 such that no ink leaks out via ports 2418.
  • the thermal actuator 2416 is composed primarily of polytetrafluoroethylene (PTFE) which is a generally hydrophobic material.
  • PTFE polytetrafluoroethylene
  • the top layer of the actuator 2416 is treated or coated so as to make it hydrophilic and thereby attract water/ink via inlet port 2412. Suitable treatments include plasma exposure in an ammonia atmosphere.
  • the bottom surface remains hydrophobic and repels the water from the underneath surface of the actuator 2416.
  • Underneath the actuator 2416 is provided a further surface 2419 also composed of a hydrophobic material such as PTFE.
  • the surface 2419 has a series of holes 2420 in it which allow for the flow of air into the nozzle chamber 2410. The diameter of the nozzle holes 2420 again being of such a size so as to restrict the flow of fluid out of the nozzle chamber via surface tension interactions. out of the nozzle chamber.
  • the surface 2419 is separated from a lower level 2423 by means of a series of spaced apart posts e.g. 2422 which can be constructed when constructing the layer 2419 utilising an appropriate mask.
  • the nozzle chamber 2410 but for grill inlet port 2412, is walled on its sides by silicon nitride walls e.g. 2425,2426.
  • An air inlet port is formed between adjacent nozzle chambers such that air is free to flow between the walls 2425,2428. Hence, air is able to flow down channel 2429 and along channel 2430 and through holes e.g. 2420 in accordance with any fluctuating pressure influences.
  • the air flow acts to reduce the vacuum on the back surface of actuator 2416 during operation. As a result, less energy is required for the movement of the actuator 2416.
  • the actuator 2416 is thermally actuated so as to move upwards and cause ink ejection.
  • the actuator Upon deactivation of the actuator 2416, the actuator lowers with a corresponding airflow out of port 2420 along channel 2430 and out of channel 2429.
  • Any fluid within nozzle chamber 2410 is firstly repelled by the hydrophobic nature of the bottom side of the surface of actuator 2416 in addition to the top of the surface 2419 which is again hydrophobic.
  • the limited size holes e.g. 2420 further stop the fluid from passing the holes 2420 as a result of surface tension characteristics.
  • a further preferable feature of nozzle chamber 2410 is the utilisation of the nitride posts 2414 to also clamp one end of the surfaces 2416 and 2419 firmly to bottom surface 2420 thereby reducing the likelihood delaminating during operation.
  • Fig. 190 there is illustrated an exploded perspective view of a single nozzle arrangement 2410.
  • the exploded perspective view illustrates the form of construction of each layer of a simple nozzle arrangement 2410.
  • the nozzle arrangement can be constructed on a base silicon wafer 2434 having a top glass layer which includes the various drive and control circuitry and which, for example, can comprise a two level metal CMOS layer with the various interconnects (not shown).
  • CMOS layer with the various interconnects (not shown).
  • a nitride passivation layer 2423 of approximately one micron thickness which includes a number of vias (not shown) for the interconnection of the subsequent layers to the CMOS layer 2435.
  • the nitride layer is provided primarily to protect lower layers from corrosion or etching, especially where sacrificial etchants are utilized.
  • a one micron PTFE layer 2419 is constructed having the aforementioned holes e.g. 2420 and posts 2422.
  • the structure of the PTFE layer 2419 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2419 is deposited.
  • the PTFE layer 2419 includes various features, for example, a lower ridge portion 2438 in addition to a hole 2439 which acts as a via for the subsequent material layers.
  • the actuator proper is formed from two PTFE layers 2440,2441.
  • the lower PTFE layer 2440 is made conductive.
  • the PTFE layer 2440 can be made conductive utilising a number of different techniques including:
  • a second PTFE layer 2441 which can be a standard non conductive PTFE layer and can include filling in those areas in the lower PTFE layer e.g. 2443 which are not conductive.
  • the top of the PTFE layer is further treated or coated to make it hydrophilic.
  • a nitride layer can be deposited to form the nozzle chamber proper.
  • the nitride layer can be formed by first laying down a sacrificial glass layer and etching the glass layer to form walls e.g. 2425, 2426 and grilled portion e.g. 2414.
  • the mask utilised results a first anchor portion 2445 which mates with the hole 2439 in layer 2419 so as to fix the layer 2419 to the nitride layer 2423.
  • the bottom surface of the grill 2414 meets with a corresponding step 2447 in the PTFE layer 2441 so as to clamp the end portion of the PTFE layers 2441,2440 and 2439 to the wafer surface so as to guard against delamination.
  • a top nitride layer 2450 can be formed having a number of holes e.g. 2418 and nozzle hole 2411 around which a rim can be etched through etching of the nitride layer 2450. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.
  • inkjet nozzles 2410 can be created side by side on a single wafer.
  • the ink can be supplied via ink channels etched through the wafer utilising a high density low pressure plasma etching system such as that supplied by Surface Technology Systems of the United Kingdom.
  • a "roof shooting" ink jet print head is constructed utilising a buckle plate actuator for the ejection of ink.
  • the buckle plate actuator is constructed from polytetrafluoroethylene (PTFE) which provides superior thermal expansion characteristics.
  • PTFE polytetrafluoroethylene
  • the PTFE is heated by an integral, serpentine shaped heater, which preferably is constructed from a resistive material, such as copper.
  • the ink jet head includes a nozzle chamber 2702 in which ink is stored to be ejected.
  • the chamber 2702 can be independently connected to an ink supply (not shown) for the supply and refilling of the chamber.
  • a buckle plate 2703 which comprises a heater element 2704 which can be an electrically resistive such as copper.
  • the heater element 2704 is encased in a polytetrafluoroethylene layer 2705.
  • the utilisation of the PTFE layer 2705 allows for high rates of thermal expansion and therefore more effective operation of the buckle plate 2703.
  • PTFE has a high coefficient of thermal expansion (77010 -6 ) with the copper having a much lower degree of thermal expansion.
  • the copper layer 2704 is therefore fabricated in a serpentine pattern so as to allow the expansion of the PTFE layer to proceed unhindered.
  • the serpentine fabrication of the heater means that the two coefficients of thermal expansion of the PTFE and the heater material need not be closely matched.
  • the PTFE is primarily chosen for its high thermal expansion properties.
  • the heater coil 2704 is energised thereby heating the PTFE 2705.
  • the PTFE 2705 expands and buckles between end portions 2712, 2713.
  • the buckle causes initial ejection of ink out of a nozzle 2715 located at the top of the nozzle chamber 2702.
  • There is an air bubble between the buckle plate 2703 and the adjacent wall of the chamber which forms due to the hydrophobic nature of the PTFE on the back surface of the buckle plate 2703.
  • An air vent 2717 connects the air bubble to the ambient air through a channel 2718 formed between a nitride layer 2719 and an additional PTFE layer 2720, separated by posts, e.g. 2721, and through holes, e.g. 2722, in the PTFE layer 2720.
  • the air vent 2717 allows the buckle plate 2703 to move without being held back by a reduction in air pressure as the buckle plate 2703 expands. Subsequently, power is turned off to the buckle plate 2703 resulting in a collapse of the buckle plate and the sucking back of some of the ejected ink. The forward motion of the ejected ink and the sucking back is resolved by an ink drop breaking off from the main volume of ink and continuing onto a page. Ink refill is then achieved by surface tension effects across the nozzle part 2715 and a resultant inflow ink into the nozzle chamber 2702 through the grilled supply channel 2716.
  • the nozzle chamber 2702 is ready for refiring.
  • Fig. 209 there is provided an exploded perspective view partly in sections illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
  • the nozzle arrangement 2701 is fabricated on top of a silicon wafer 2725.
  • the nozzle arrangement 2701 can be constructed on the semi-conductor wafer 2725 utilising standard semi-conductor processing techniques in addition to those techniques commonly used for the construction of micro-electro-mechanical systems (MEMS).
  • MEMS micro-electro-mechanical systems
  • CMOS circuitry layer 2726 On top of the silicon layer 2725 is deposited a two level CMOS circuitry layer 2726 which substantially comprises glass, in addition to the usual metal layers.
  • a nitride layer 2719 is deposited to protect and passivate the underlying layer 2726.
  • the nitride layer 2719 also includes vias for the interconnection of the heater element 2704 to the CMOS layer 2726.
  • a PTFE layer 2720 is constructed having the aforementioned holes, e.g. 2722, and posts, e.g. 2721.
  • the structure of the PTFE layer 2720 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2720 is deposited.
  • the PTFE layer 2720 includes various features, for example, a lower ridge portion 2727 in addition to a hole 2728 which acts as a via for the subsequent material layers.
  • the buckle plate 2703 (Fig. 208) comprises a conductive layer 2731 and a PTFE layer 2732.
  • a first, thicker PTFE layer is deposited onto a sacrificial layer (not shown).
  • a conductive layer 2731 is deposited including contacts 2729, 2730.
  • the conductive layer 2731 is then etched to form a serpentine pattern.
  • a thinner, second PTFE layer is deposited to complete the buckle plate 2703 (Fig. 208) structure.
  • a nitride layer can be deposited to form the nozzle chamber proper.
  • the nitride layer can be formed by first laying down a sacrificial glass layer and etching this to form walls, e.g. 2733, and grilled portions, e.g. 2734.
  • the mask utilised results in a first anchor portion 2735 which mates with the hole 2728 in layer 2720.
  • the bottom surface of the grill, for example 2734 meets with a corresponding step 2736 in the PTFE layer 2732.
  • a top nitride layer 2737 can be formed having a number of holes, e.g.
  • a thermal actuator is utilised to activate a set of "vanes” so as to compress a volume of ink and thereby forcing ink out of an ink nozzle.
  • An embodiment fundamentally comprises a series of readily positioned vane chambers, e.g. 2802 which are normally filled with ink.
  • the vane chambers include side walls made up of a first static vane 2803 having a first radial surface 2805 and a second circumferential surface 2806.
  • a second set of "impeller vanes” e.g. 2807 is also provided which has a radially aligned surface and is attached to rings 2809, 2810 with the inner ring 2809 being pivotally mounted around a pivot unit 2812.
  • the outer ring 2810 is also rotatable about the pivot point 2812 and is interconnected with a thermal actuator 2813.
  • the thermal actuator 2813 is of a circumferential form and undergoes expansion and contraction thereby rotating the impeller vane 2807 towards the radial surface 2805 of the static vane 2803.
  • the vane chamber 2802 undergoes a rapid reduction in volume thereby resulting in a substantial increase in pressure resulting in the expulsion of ink from the chamber 2802.
  • the static vane 2803 is attached to a nozzle plate 2815.
  • the nozzle plate 2815 includes a nozzle rim 2816 defining an aperture 2814 into the vane chambers 2802.
  • the aperture 2814 defmed by rim 2816 allows for the injection of ink from the vane chambers 2802 onto the relevant print media.
  • Fig. 225 plan view taken from above of relevant portions of an ink jet nozzle 2801, constructed in accordance with an embodiment.
  • the outer ring 2810 is interconnected at the points 2820, 2821 to thermal actuators 2813, 2822.
  • the thermal actuators 2813, 2822 include inner resistive elements 2824, 2825 which are constructed from copper or the like. Copper has a low coefficient of thermal expansion and therefore constructed in a serpentine manner, so as to allow for greater expansion in the radial direction 2828.
  • the inner resistive element is encased in an outer jacket, e.g. 2826 of a material having a high coefficient of thermal expansion. Suitable material includes polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion (770 x 10 -6 ).
  • PTFE polytetrafluoroethylene
  • the thermal actuators eg. 2822 is anchored at the point 2827 to a lower layer of the wafer.
  • the anchor point also forms an electrical connection with the relevant drive line of the lower layer.
  • the resistive element, e.g. 2825 is also electronically connected 2821 at the other end to the outer ring 2810.
  • the outer jacket 2826 undergoes rapid expansion which includes the expansion of the serpentine inner resist element 2825.
  • the rapid expansion and subsequent contraction on de-energising the resistive element 2825 results in a rotational force in direction 2828 being induced in the ring 2810.
  • the rotation of the ring 2810 causes a corresponding rotation in the relevant impeller vanes e.g. 2807 (Fig. 224).
  • ink can be ejected out of the nozzle aperture 2814 (Fig. 224).
  • FIG. 226 there is illustrated a cross-sectional view through a single nozzle arrangement.
  • the illustration of Fig. 227 illustrates a drop 2831 being ejected out of the nozzle aperture 2814 as a result of utilisation of the impeller vanes e.g. 2807 (Fig. 224).
  • the arrangement of Fig. 224 is constructed on a silicon wafer 2833.
  • Electronic drive circuitry eg. 2834 is first constructed for control and driving of the thermal actuators 2813, 2822 (not shown).
  • a silicon dioxide layer 2835 is provided for defining the nozzle chamber which includes channel walls separating one color ink from an adjacent ink reservoirs (not shown).
  • the nozzle plate 2815 in addition to being mounted at channel walls 2836, is also interconnected to the wafer 2833 via nozzle plate stand off posts, e.g. 2837 so as to provide for stable separation from the wafer 2833.
  • the static vanes, e.g. 2805 are constructed from silicon nitrate as is the nozzle plate 2815.
  • the static vane 2803 and nozzle plate 2815 can be constructed utilizing a dual damascene process utilizing a sacrificial layer as discussed further hereinafter.
  • a new form of thermal actuator is utilized for the ejection of drops of ink on demand from an ink nozzle.
  • Fig. 241 to Fig. 244 there will be illustrated the basis of operation of the inkjet printing device utilising the actuator.
  • Fig. 241 there is illustrated 2901, the quiescent position of a thermal actuator 2902 in a nozzle chamber 2903 filled with ink and having a nozzle 2904 for the ejection of ink.
  • the nozzle 2904 has an ink meniscus 2905 in a state of surface tension ready for the ejection of ink.
  • the thermal actuator 2902 is coated on a first surface 2906, facing the chamber 2903, with a hydrophilic material.
  • a second surface 2907 is coated with a hydrophobic material which causes an air bubble 2908 having a meniscus 2909 underneath the actuator 2902.
  • the air bubble 2908 is formed over time by outgassing from the ink within chamber 2903 and the meniscus 2909 is shown in an equilibrium position between the hydrophobic 2907 and hydrophilic 2906 surfaces.
  • the actuator 2902 is fixed at one end 2911 to a substrate 2912 from which it also derives an electrical connection.
  • the actuator 2902 When it is desired to eject a drop from the nozzle 2904, the actuator 2902 is activated as shown in Fig. 242, resulting in a movement in direction 2914, the movement in direction 2914 causes a substantial increase in the pressure of the ink around the nozzle 2904. This results in a general expansion of the meniscus 2905 and the passing of momentum to the ink so as to form a partial drop 2915. Upon movement of the actuator 2902 in the direction 2914, the ink meniscus 2909 collapses generally in the indicated direction 2916.
  • the thermal actuator 2902 is deactivated as illustrated in Fig. 243, resulting in a return of the actuator 2902 in the direction generally indicated by the arrow 2917.
  • the movement back of the actuator 2917 results in a low pressure region being experienced by the ink within the nozzle area 2904.
  • the forward momentum of the drop 2915 and the low pressure around the nozzle 2904 results in the ink drop 2915 being broken off from the main body of the ink.
  • the drop 2915 continues to the print media as required.
  • the movement of the actuator 2902 in the direction 2917 further causes ink to flow in the direction 2919 around the actuator 2902 in addition to causing the meniscus 2909 to move as a result of the ink flow 2919. Further, further ink 2920 is sucked into the chamber 2903 to refill the ejected ink 2915.
  • the actuator 2902 returns to its quiescent with the meniscus 2905 also returning to a state of having a slight bulge.
  • the actuator 2902 is then in a state for refiring of another drop on demand as required.
  • Fig. 245 there is illustrated a cross-section through one form of suitable nozzle chamber.
  • One end 2911 of the actuator 2902 is connected to the substrate 2912 and the other end includes a stiff paddle 2925 for utilisation in ejecting ink.
  • the actuator itself is constructed from four a layer MEMs processing technique. The layers are as follows:
  • Fig. 246 there is illustrated an exploded perspective view of a single ink jet nozzle as constructed in accordance with an embodiment.
  • the construction of a print-head can proceed as follows:
  • an ink jet printer having ink ejection nozzles from which ink is ejected with the ink ejection being actuated by means of a thermal actuator which includes a "corrugated" copper heating element encased in a polytetrafluoroethylene (PTFE) layer.
  • PTFE polytetrafluoroethylene
  • the inkjet nozzle 3010 includes an ink ejection port 3011 for the ejection of ink from a chamber 3012 by means of actuation of a thermal paddle actuator 3013.
  • the thermal paddle actuator 3013 comprises an inner copper heating portion 3014 and paddle 3015 which are encased in an outer PTFE layer 3016.
  • the outer PTFE layer 3016 has an extremely high coefficient of thermal expansion (approximately 77010 -6 , or around 380 times that of silicon).
  • the PTFE layer 3016 is also highly hydrophobic which results in an air bubble 3017 being formed under the actuator 3013 due to out-gassing etc.
  • the top PTFE layer is treated so as to make it hydrophilic.
  • the heater 3014 is also formed within the lower portion of the actuator 3013.
  • the heater 3014 is connected at ends 3020,3021 (see also Fig. 267) to a lower CMOS drive layer 3018 containing drive circuitry (not shown).
  • a current is passed through the copper heater element 3014 which heats the bottom surface of actuator 3013.
  • the bottom surface of actuator 3013, in contact with air bubble 3017 remains heated while any top surface heating is carried away by the exposure of the top surface of actuator 3013 to the ink within chamber 3012.
  • the bottom PTFE layer expands more rapidly resulting in a general rapid bending upwards of actuator 3013 (as illustrated in Fig. 262) which consequentially causes the ejection of ink from ink ejection port 3011.
  • An air inlet channel 3028 is formed between two nitride layers 3042, 3026 such that air is free to flow 3029 along channel 3028 and through holes, e.g. 3025, in accordance with any fluctuating pressure influences.
  • the air flow 3029 acts to reduce the vacuum on the back surface of actuator 3013 during operation. As a result less energy is required for the movement of the actuator 3013.
  • the actuator 3013 can be deactivated by turning off the current to heater element 3014. This will result in a return of the actuator 3013 to its rest position.
  • the actuator 3013 includes a number of significant features.
  • Fig. 263 there is illustrated a schematic diagram of the conductive layer of the thermal actuator 3013.
  • the conductive layer includes paddle 3015, which can be constructed from the same material as heater 3014, i.e. copper, and which contains a series of holes e.g. 3023.
  • the holes are provided for interconnecting layers of PTFE both above and below panel 3015 so as to resist any movement of the PTFE layers past the panel 3015 and thereby reducing any opportunities for the delamination of the PTFE and copper layers.
  • Fig. 264 there is illustrated a close up view of a portion of the actuator 3013 of Fig. 261 illustrating the corrugated nature 3022 of the heater element 3014 within the PTFE nature of actuator 3013 of Fig. 261.
  • the corrugated nature 3022 of the heater 3014 allows for a more rapid heating of the portions of the bottom layer surrounding the corrugated heater.
  • Any resistive heater which is based upon applying a current to heat an object will result in a rapid, substantially uniform elevation in temperature of the outer surface of the current carrying conductor.
  • the surrounding PTFE volume is therefore heated by means of thermal conduction from the resistive element. This thermal conduction is known to proceed, to a first approximation, at a substantially linear rate with respect to distance from a resistive element.
  • the bottom surface of actuator 3013 is more rapidly heated as, on average, a greater volume of the bottom PTFE surface is closer to a portion of the resistive element. Therefore, the utilisation of a corrugated resistive element results in a more rapid heating of the bottom surface layer and therefore a more rapid actuation of the actuator 3013. Further, a corrugated heater also assists in resisting any delamination of the copper and PTFE layer.
  • the corrugated resistive element can be formed by depositing a resist layer 3050 on top of the first PTFE layer 3051.
  • the resist layer 3050 is exposed utilising a mask 3052 having a half-tone pattern delineating the corrugations. After development the resist 3050 contains the corrugation pattern.
  • the resist layer 3050 and the PTFE layer 3051 are then etched utilising an etchant that erodes the resist layer 3050 at substantially the same rate as the PTFE layer 3051. This transfers the corrugated pattern into the PTFE layer 3051.
  • Fig. 266 on top of the corrugated PTFE layer 3051 is deposited the copper heater layer 3014 which takes on a corrugated form in accordance with its under layer.
  • the copper heater layer 3014 is then etched in a serpentine or concertina form. Subsequently, a further PTFE layer 3053 is deposited on top of layer 3014 so as to form the top layer of the thermal actuator 3013. Finally, the second PTFE layer 3052 is planarised to form the top surface of the thermal actuator 3013 (Fig. 261).
  • an ink supply can be supplied through a throughway for channel 3038 which can be constructed by means of deep anisotropic silicon trench etching such as that available from STS Limited ("Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology).
  • the ink supply flows from channel 3038 through the side grill portions e.g. 3040 (see also Fig. 267) into chamber 3012.
  • the grill portions e.g. 3040 which can comprise silicon nitride or similar insulating material acts to remove foreign bodies from the ink flow.
  • the grill 3040 also helps to pinch the PTFE actuator 3013 to a base CMOS layer 3018, the pinching providing an important assistance for the thermal actuator 3013 so as to ensure a substantially decreased likelihood of the thermal actuator layer 3013 separating from a base CMOS layer 3018.
  • a series of sacrificial etchant holes, e.g. 3019, are provided in the top wall 3048 of the chamber 3012 to allow sacrificial etchant to enter the chamber 3012 during fabrication so as to increase the rate of etching.
  • the small size of the holes, e.g. 3019, does not affect the operation of the device 3010 substantially as the surface tension across holes, e.g. 3019, stops ink being ejected from these holes, whereas, the larger size hole 3011 allows for the ejection of ink.
  • nozzles 3010 can be formed in layers starting with a silicon wafer device 3041 having a CMOS layer 3018 on top thereof as required.
  • the CMOS layer 3018 provides the various drive circuitry for driving the copper heater elements 3014.
  • a nitride layer 3042 is deposited, providing primarily protection for lower layers from corrosion or etching.
  • a PTFE layer 3026 is constructed having the aforementioned holes, e.g. 3025, and posts, e.g. 3027.
  • the structure of the PTFE layer 3026 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 3026 is deposited.
  • the PTFE layer 3026 includes various features, for example, a lower ridge portion 3030 in addition to vias for the subsequent material layers.
  • the process of creating a first PTFE layer proceeds by laying down a sacrificial layer on top of layer 3026 in which the air bubble underneath actuator 3013 (Fig. 261) subsequently forms. On top of this is formed a first PTFE layer utilising the relevant mask.
  • the PTFE layer includes vias for the subsequent copper interconnections.
  • a copper layer 3043 is deposited on top of the first PTFE layer 3042 and a subsequent PTFE layer is deposited on top of the copper layer 3043, in each case, utilising the required mask.
  • the nitride layer 3046 can be formed by the utilisation of a sacrificial glass layer which is masked and etched as required to form the side walls and the grill 3040. Subsequently, the top nitride layer 3048 is deposited again utilising the appropriate mask having considerable holes as required. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.
  • FIG. 268 there is illustrated a section of an ink jet print head configuration 3090 utilising ink jet nozzles constructed in accordance with an embodiment, e.g. 3091.
  • the configuration 3090 can be utilised in a three color process 1600dpi print-head utilising 3 sets of 2 rows of nozzle chambers, e.g. 3092,3093, which are interconnected to one ink supply channel, e.g. 3094, for each set.
  • the 3 supply channels 3094, 3095, 3096 are interconnected to cyan coloured, magenta coloured and yellow coloured ink reservoirs respectively.
  • a drop on demand ink jet printer which allows for the ejection of ink on demand by means of a thermal actuator which operates to eject the ink from a nozzle chamber.
  • the nozzle chamber is formed directly over an ink supply channel thereby allowing for an extremely compact form of nozzle chamber.
  • the extremely compact form of nozzle chamber allows for minimal area to be taken up by the printer head device thereby resulting in an improved economics fabrication.
  • FIG. 284 there is illustrated a sectional view of two ink jet nozzles 3110, 3111 which are formed on a silicon wafer eg. 3112 which includes a series of through wafer ink supply channels eg. 3113.
  • a nozzle actuator device 3114 which is actuated so as to eject ink from the corresponding nozzle chamber eg. 3111.
  • the actuator 3114 is placed substantially over the ink supply channel 3113. In the quiescent position, the ink fills the nozzle chamber 3111 and an ink meniscus 3115 forms across the output nozzle of the chamber 3111.
  • the thermal actuator 3114 When it is desired to eject a drop from the chamber 3111, the thermal actuator 3114 is activated by means of passing a current through the actuator. The actuation causes the actuator 3114 to rapidly bend upwards as indicated in Fig. 285. The movement of the actuator 3114 results in an increase in the ink pressure around the nozzle of the chamber 3111 which in turn causes a significant bulging of the meniscus 3115 and the flow of ink out of the nozzle chamber 3111.
  • the actuator 3114 can be constructed so as to impart sufficient momentum to the ink to cause the direct ejection of a drop. Alternatively, as indicated in Fig.
  • the activation of actuator 3114 can be timed so as to turn the actuation current off at a predetermined point so as to cause the return of the actuator 3114 to its original position thereby resulting in a consequential backflow of ink 3117 into the chamber 3111 thereby causing a necking and separation of a body of ink 3118 which has a continuing momentum and continues towards the output media, such as paper, for printing thereof.
  • the actuator 3114 returns to its quiescent position and surface tension effects result in a refilling of the nozzle chamber 3111 via ink supply channel 3113 as a consequence of surface tension effects on the meniscus 3115. In time, the arrangement returns to that depicted in Fig. 284.
  • Fig. 287 illustrates partly in section with Fig. 288 showing a corresponding exploded perspective.
  • Inkjet nozzles can be formed, many print head at a time, on a selected wafer base 3112 utilising standard semi-conductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • MEMS micro machining and micro fabrication process technology
  • CMOS layer 3120 On top of the silicon wafer layer 3112 is formed a CMOS layer 3120.
  • the CMOS layer 3120 can, in accordance with standard techniques, include multi-level metal layers sandwiched between oxide layers and preferably at least a two level metal process is utilised.
  • the masks utilised include areas which provide for a build up of an aluminium barrier 3121 which can be constructed from a first 3122 and second 3123 level aluminium layer. Additionally, aluminium portions eg. 3124 are provided for providing electrical contacts to a subsequent heater layer.
  • the aluminium barrier portion 3121 is important in providing an effective barrier to the possible subsequent etching of the oxide within the CMOS layer 3120 when a sacrificial etchant is utilised in the construction of a nozzle chamber 3111 with the etchable material preferably being glass layers.
  • a nitride passivation layer 3126 which is formed to protect the lower CMOS layers from sacrificial etchants and ink erosion.
  • a gap 3128 in which an air bubble forms during operation.
  • the gap 3128 can be constructed by a means of laying down a sacrificial layer and subsequently etching the gap as will be explained hereinafter.
  • a polytetrafluroethylene (PTFE) heater layer 3129 which really comprises to PTFE layers sandwiched between a gold serpentine heater layer 3130.
  • the gold heater 3130 is constructed in a serpentine form to allow it to expand on heating.
  • the heater layer 3130 and PTFE layer 3129 together comprise the thermal actuator 3114 of Fig. 284.
  • the outer PTFE layer 3129 has an extremely high coefficient of thermal expansion (approximately 77010 -6 , or around 380 times that of silicon).
  • the PTFE layer 3129 is also normally highly hydrophobic which results in an air bubble being formed under the actuator in the region 3128 due to out-gassing etc.
  • the top PTFE surface layer is treated so as to make it hydrophilic in addition to those areas around ink supply channel 3113. This can be achieved with a plasma etch in an ammonia atmosphere.
  • the heater layer 3130 is also formed within the lower portion of the PTFE layer.
  • the heater layer 3130 is connected at ends eg. 3131 to the lower CMOS drive layer 3120 which contains the drive circuitry (not shown).
  • a current is passed through the gold heater element 3130 which heats the bottom surface of actuator 3114.
  • the bottom surface of actuator 3114, in contact with air bubble 3128 remains heated while any top surface heating is carried away by the exposure of the top surface of actuator 3114 to the ink within chamber 3132.
  • the bottom PTFE layer expands more rapidly resulting in a general rapid bending upwards of actuator 3114 (as illustrated in Fig. 285) which consequentially causes the ejection of ink from ejection of ink from ink ejection port 3135.
  • the actuator 3114 can be deactivated by turning off the current to heater element 3130. This will result in a return of the actuator 3114 to its rest position.
  • the nitride nozzle plate comprising side wall portions 3133 and top portion 3134.
  • the nozzle plate can be formed via a dual damascene process utilising a sacrificial layer.
  • the top of the nozzle plate is etched to have nozzle ink ejection hole 3133 in addition to a series of etchant holes eg. 3136 which are of a relatively small diameter and allow for effective etching of lower sacrificial layers when utilising a sacrificial etchant.
  • the etchant holes 3136 are made small enough such that surface tension effects restrict the possibilities of ink being ejected from the chamber 3132 via the etchant holes 3136 rather than the nozzle hole 3133.
  • Fig. 299 illustrates a top view of jet nozzles constructed on a wafer so as to provide for page width multicolour output.
  • the actuation of an actuator for the ejection of ink is based around the utilisation of material having a High Young's modulus.
  • materials are utilised for the ejection of ink which have a high bend efficiency when thermally heated.
  • the inkjet print head is constructed utilising standard MEMS technology and therefore should utilise materials that are common in the construction of semi-conductor wafers.
  • the materials have been chosen through the utilisation of a bend efficiency for actuator devices which can be calculated as the coefficient of thermal expansion times young's modulus divided by the heat capacity and the density.
  • Coefficient of thermal expansion The greater the coefficient of thermal expansion, the greater will be the degree of movement for any particular heating of a thermal actuator.
  • Young's Modulus provides a measure of the tensile or compressive stress of a material and is an indicator of the "strength" of the bending movement. Hence, a material having a high Young's modulus or strength is desirable.
  • Heat capacity In respect of the heat capacity, the higher the heat capacity, the greater the ability of material to absorb heat without deformation. This is an undesirable property in a thermal actuator.
  • Density The denser the material the greater the heat energy required to heat the material and again, this is an undesirable property.
  • Example materials and their corresponding "Bend Efficiencies" are listed in the following table: MATERIAL CTE *10 -6 /K Young's modulus GPa Heat capacity W/Kg/C Density Kg/M 3 "Bend efficiency" Gold 14.2 80 129 19300 456 PTFE 770 1.3 1024 2130 459 Silicon Nitride 3.3 337 712 3200 488 Osmium 2.6 581 130 22570 515 Tantalum-Tungsten alloy 6.84 186 140 16660 517 Silver 18.9 71 235 10500 544 Platinum 8.8 177 133 21500 545 Copper 16.5 124 385 8960 593 Molybdenum 4.8 323 251 10200 606 Aluminium 23.1 28.9 897 2700 657 Nickel 13.4 206 444 8900 699 Tungsten 4.5 408 132 19300 721 Ruthenium 5.05 394 247 12410 1067 Stainless Steel 20.2 215 500 7850 1106 Iridium 6.8 549 130 22650 1268 High Silicon Brass 3
  • a suitable materials is titanium diboride (TiB 2 ) which has a high bend efficiency and is also regularly used in semiconductor fabrication techniques. Although this material has a High Young's modulus, the coefficient of thermal expansion is somewhat lower than other possible materials. Hence, in an embodiment, a fulcrum arrangement is utilised to substantially increase the travel of a material upon heating thereby more fully utilizing the effect of the High Young's modulus material.
  • a single nozzle 3201 of an inkjet device constructed in accordance with an embodiment.
  • Fig. 313 illustrates a side perspective view of a single nozzle
  • Fig. 314 is an exploded perspective of the arrangement of Fig. 313.
  • the single nozzle 3201 can be constructed as part of an array of nozzles formed on a silicon wafer 3202 utilising standard MEM processing techniques.
  • CMOS layer 3203 On top of the silicon wafer 3202 is formed a CMOS layer 3203 which can include multiple metal layers formed within glass layers in accordance with the normal CMOS methodologies.
  • the wafer 3202 can contain a number of etched chambers eg. 3233 the chambers being etched through the wafer utilising a deep trench silicon etcher.
  • a suitable plasma etching process can include a deep anisotropic trench etching system such as that available from SDS Systems Limited (See “Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology).
  • An embodiment 3201 includes two arms 3204,3205 which operate in air and are constructed from a thin 0.3 micrometer layer of titanium diboride 3206 on top of a much thicker 5.8 micron layer of glass 3207.
  • the two arms 3204,3205 are joined together and pivot around a point 3209 which is a thin membrane forming an enclosure which in turn forms part of the nozzle chamber 3210.
  • the arms 3204 and 3205 are affixed by posts 3211,3212 to lower aluminium conductive layers of 3214,3215 which can form part of the CMOS layer 3203.
  • the outer surfaces of the nozzle chamber 3218 can be formed from glass or nitride and provides an enclosure for the filling with ink.
  • the outer chamber 3218 includes a number of etchant holes e.g. 3219 which are provided for the rapid sacrificial etchant of internal cavities during construction.
  • a nozzle rim 3220 is further provided around an ink ejection port 3221 for the ejection of ink.
  • the paddle surface 3224 is bent downwards as a result of release of the structure during fabrication.
  • a current is passed through the titanium boride layer 3206 so as to cause heating of this layer along arms 3204 and 3205.
  • the heating generally expands the T 1 B 2 layer of arms 3204 and 3205 which have a high young's modulus.
  • This expansion acts to bend the arms generally downwards, which are in turn being pivoted around the membrane 3209.
  • the pivoting results in a rapid upward bending of the arm 3225 which in turn results in a rapid upward movement of the paddle surface 3224.
  • the upward movement of the paddle surface 3224 causes the ejection of ink from the nozzle chamber 3221.
  • the increase in pressure is insufficient to overcome the surface tension characteristics of the smaller etchant holes 3219 with the result being that ink is ejected from the nozzle chamber hole 3221.
  • the thin titanium diboride strip 3206 has a sufficiently high young's modulus so as to cause the glass layer 3207 to be bent upon heating of the titanium diboride layer 3206.
  • the operation of the inkjet device can be as illustrated in Fig. 315 to Fig. 317.
  • the inkjet nozzle In its quiescent state, the inkjet nozzle is as illustrated in Fig. 315, generally in the bent down position with the ink meniscus 3230 forming a slight bulge and the paddle being pivoted around the membrane wall 3209.
  • the heating of the titanium diboride layers causes it to expand. Subsequently, it is bent by the glass layer 3207 so as to cause the pivoting of the paddle 3224 around the membrane wall 3209 as indicated in Fig. 316.
  • Fig. 317 there is illustrated a portion of a print head 3240 showing a multi-coloured series of inkjet nozzles suitably arranged to form a multi-coloured print head.
  • the portion is shown, partially in section so as to illustrate the through wafer etching process
  • each nozzle has a nozzle chamber having a slotted side wall through which is formed an actuator mechanism attached to a vane within the nozzle chamber such that the actuator can be activated to move the vane within the nozzle chamber to thereby cause ejection of ink from the nozzle chamber.
  • FIG. 332 an example of an ink jet nozzle arrangement 3301 as constructed in accordance with an embodiment.
  • the nozzle arrangement includes a nozzle chamber 3302 normally filled with ink and an actuator mechanism 3303 for actuating a vane 3304 for the ejection of ink from the nozzle chamber 3302 via an ink ejection port 3305.
  • Fig. 332 is a perspective view of the ink jet nozzle arrangement of an embodiment in its idle or quiescent in position.
  • Fig. 333 illustrates a perspective view after actuation of the actuator 3303.
  • the actuator 3303 includes two arms 3306, 3307.
  • the two arms can be formed from titanium di-boride (TiB 2 ) which has a high Young's modulus and therefore provides a large degree of bending strength.
  • a current is passed along the arms 3306, 3307 with the arm 3307 having a substantially thicker portion along most of its length.
  • the arm 3307 is stiff but for in the area of thinned portion 3308 and hence the bending moment is concentrated in the area 3308.
  • the thinned arm 3306 is of a thinner form and is heated by means of resistive heating of a current passing through the arms 3306, 3307.
  • the arms 3306, 3307 are interconnected to electrical circuitry via connections 3310, 3311.
  • the arm 3306 Upon heating of the arm 3306, the arm 3306 is expanded with the bending of the arm 3307 being concentrated in the area 3308. This results in movement of the end of the actuator mechanism 3303 which proceeds through a slot in the wall nozzle chamber 3302. The bending further causes movement of vane 3304 so as to increase the pressure of the ink within the nozzle chamber and thereby cause its subsequent ejection from ink ejection nozzle 3305.
  • the nozzle chamber 3302 is refilled via an ink channel 3313 (Fig. 334) formed in the wafer substrate 3314.
  • the current to arm 3306 is turned off which results in a corresponding back movement of the end vane 3304.
  • the ink within nozzle chamber 3302 is then replenished by means of wafer ink supply channel 3313 which is attached to an ink supply formed on the back of wafer 3314.
  • the refill can be by means of a surface tension reduction effects of the ink within nozzle chamber 3302 across ink ejection port 3305.
  • Fig. 334 illustrates an exploded perspective view of the components of the ink jet nozzle arrangement.
  • an embodiment can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • MEMS micro machining and micro fabrication process technology
  • MEMS micro-electro mechanical system
  • the nozzles can preferably be constructed by constructing a large array of nozzles on a single silicon wafer at a time.
  • the array of nozzles can be divided into multiple print heads, with each print head itself having nozzles grouped into multiple colours to provide for full colour image reproduction.
  • the arrangement can be constructed via the utilisation of a standard silicon wafer substrate 3314 upon which is deposited an electrical circuitry layer 3316 which can comprise a standard CMOS circuitry layer.
  • the CMOS layer can include an etched portion defining pit 3317.
  • a protective layer (not shown) which comprise silicon nitride or the like.
  • a sacrificial material which is initially suitably etched so as to form cavities for the portion of the thermal actuator 3303 and bottom portion of the vane 3304, in addition to the bottom rim of nozzle chamber 3302. These cavities can then be filled with titanium di-boride.
  • a similar process is used to form the glass portions of the actuator.
  • a further layer of sacrificial material is deposited and suitably etched so as to form the rest of the vane 3304 in addition to a portion of the nozzle chamber walls to the same height of vane 3304.
  • a further sacrificial layer is deposited and etched in a suitable manner so as to form the rest of the nozzle chamber 3302.
  • the top surface of the nozzle chamber is further etched so as to form the nozzle rim rounding the ejection port 3305.
  • the sacrificial material is etched away so as to release the construction of an embodiment. It will be readily evident to those skilled in the art that other MEMS processing steps could be utilized.
  • the thermal actuator and vane portions 3303 and 3304 in addition to the nozzle chamber 3305 are constructed from titanium di-boride.
  • the utilisation of titanium di-boride is standard in the construction of semiconductor systems and, in addition, its material properties, including a high Young's modulus, is utilised to advantage in the construction of the thermal actuator 3303.
  • the actuator 3303 is covered with a hydrophobic material, such as Teflon, so as to prevent any leaking of the liquid out of the slot 3319.
  • the ink channel can be etched through the wafer utilising a high anisotropic silicon wafer etchers. This can be done as an anisotropic crystallographic silicon etch, or an anisotropic dry etch.
  • a dry etch system capable of high aspect ratio deep silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended for volume production, as the chip size can be reduced over a wet etch.
  • STS Surface Technology Systems
  • ASE Advance Silicon Etch
  • the wet etch is suitable for small volume production where a suitable plasma etch system is not available.
  • ink access can be around the sides of the print head chips.
  • ink access is through the wafer higher ink flow is possible, and there is less requirement for high accuracy assembly. If ink access is around the edge of the chip, ink flow is severely limited, and the print head chips must be carefully assembled onto ink channel chips. This latter process is difficult due to the possibility of damaging the fragile nozzle plate. If plasma etching is used, the chips can be effectively diced at the same time. Separating the chips by plasma etching allows them to be spaced as little as 35 micron apart, increasing the number of chips on a wafer.
  • an inkjet printer having a series of ink ejection mechanisms wherein each ink ejection mechanism includes a paddle actuated by a coil actuator, the coil spring actuator having a unique cross section so as to provide for efficient actuation as a coiled thermal actuator.
  • the ink ejection mechanism 3401 includes a chamber 3402 having a rim 3403.
  • the chamber 3402 is normally filled with ink which bulges out around a surface having a border along the edge of rim 3403, the ink being retained within the chamber 3402 by means of surface tension around the rim 3403.
  • a thermal actuator device 3405 Outside of the chamber 3402 is located a thermal actuator device 3405.
  • the thermal actuator device 3405 is interconnected via a strut 3406 through a hole 3407 to a paddle device within the chamber 3402.
  • the strut 3407 and hole 3406 is treated so as to be hydrophobic.
  • the hole 3407 is provided in a thin elongated form so that surface tension characteristics also assist in stopping any ink from flowing out of the hole 3407.
  • the thermal actuator 3405 comprises a first arm portion 3409 which can be constructed from glass or other suitable material.
  • a second arm portion 3410 can be constructed from material such as titanium diboride which has a large Young's modulus or bending strength and hence, when a current is passed through the titanium diboride layer 3410, it expands with a predetermined coefficient of thermal expansion.
  • the expansion of the thin strip 3410 has a high Young's modulus or bending strength and therefore the thin strip 3410 is able to bend the much thicker strip 3409 which has a substantially lower Young's modulus.
  • Fig. 349 there is illustrated a cross-section of the arm through the line II-II of Fig. 348 illustrating the structure of the actuator 3405.
  • the heater arm 3405 includes two titanium diboride portions 3410a, 3410b forming a circuit around the coil in addition to the glass portion 3409 which also provides for electrical isolation of the two arms, the arms being conductively joined at the strut end.
  • the actuator is deactivated resulting in a general urge for the paddle 3408 to return to its rest position.
  • This results in the ink being sucked back into the chamber 3402 which in turn results in the meniscus necking and breaking off into a meniscus 3412 and ink drop 3414, the drop 3414 proceeding to a paper or film medium (not shown) for marking.
  • the meniscus 3412 has generally a concave shape and surface tension characteristics result in chamber refilling by means of in flow 3413 from an ink supply channel etched through the wafer. The refill being as a consequence of surface tension forces on the meniscus 3412. Eventually the meniscus returns to its quiescent state as illustrated in Fig. 350.
  • Fig. 353 there is illustrated an exploded perspective view of a single ink ejection mechanism 3401 illustrating the various material layers.
  • the ink ejection mechanism 3401 can be formed as part of a large array of mechanisms forming a print head with multiple print heads being simultaneously formed on a silicon wafer.
  • the wafer 3407 is initially processed so as to incorporate a standard CMOS circuitry layer 3418 which provides for the electrical interconnect for the control the conductive portions of the actuator.
  • the CMOS layer 3418 can be completed with a silicon nitride passivation layer so as to protect it from subsequent processing steps in addition to ink flows through channel 3420.
  • the subsequent layers eg.
  • MEMS micro-electro mechanical systems
  • sacrificial aluminium layers in addition to the deposit of the layers 3410 constructed from titanium diboride the layer 3409 constructed from glass material and the nozzle chamber proper 3402 again constructed from titanium diboride.
  • MEMS micro-electro mechanical systems
  • Each of these layers can be built up in a sacrificial material such as aluminium which is subsequently etched away.
  • an ink supply channel eg. 3421 can be etched through the wafer 3417.
  • the etching can be by means of an isotropic crystallagraphic silicon etch or an isotropic dry etch.
  • a dry etch system capable of high aspect ratio silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended.
  • STS Surface Technology Systems
  • ASE Advance Silicon Etch
  • the nozzle arrangement 3401 can be attached to a ink supply apparatus for supplying ink to the reverse surface of the wafer 3417 so that ink can flow into chamber 3402.
  • nozzle chamber 3402 including rim 3403 in addition to the area surrounding slot 3407 can then be hydrophobically treated so as to reduce the possibility of any ink exiting slot 3407.
  • an inkjet printing arrangement arranged on a silicon wafer.
  • the ink is supplied to a first surface of the silicon wafer by means of channels etched through the back of the wafer to an ink ejection chamber located along the surface of the wafer.
  • the ink ejection chamber is filled with ink and includes a paddle vane attached to an external actuator which is activated so as to compress a portion of the ink wihtin the chamber against a sidewall resulting in the corresponding ejection of the ink from the chamber.
  • Fig. 370 illustrates the ink ejection arrangement 3501 in the quiescent position with Fig. 371 illustrating the preferred arrangement 3501 after activation of the thermal actuator 3507 and Fig. 372 illustrates an exploded perspective of the ink ejection arrangement 3501.
  • ink is supplied to an ink ejection chamber 3502 from an ink supply channel 3503 which is etched through the wafer 3504 and supplies ink to the ejection chamber 3502.
  • a paddle 3506 Located between the supply channel 3503 and the ejection chamber 3502 is a paddle 3506 which is attached to an actuated device 3507, which can comprise a thermal actuator.
  • the actuator 3507 is actuated, the paddle 3506 is caused to move as illustrated in Fig. 371 thereby compressing ink within the ink ejection chamber 3502 resulting in its corresponding ejection from the chamber 3502.
  • the actuator 3507 comprises a coiled arm which is in turn made up of three sub-arm components.
  • Fig. 373 there is illustrated a section through the line IV-IV of Fig. 370 illustrating the structure of the arm which includes an upper conductive arm 3510 and a lower conductive arm 3511.
  • the two arms can be made from conductive titanium diboride which has a high Young's modulus in addition to a suitably high coefficient of thermal expansion.
  • the two arms 3510, 3511 are incased in a silicon nitride portion of the arm 3512.
  • the two arms 3510, 3511 are conductively interconnected at one end 3513 (Fig. 370) of the actuator 3507 and, at the other end they are electrically interconnected 3514, 3515 to control circuitry to a lower CMOS layer 3517 which includes the drive circuitry for activating the actuator 3507.
  • the conductive heating of the arms 3510, 3511 result in a general expansion of these two arms 3510, 3511.
  • the expansion works against the nitride portion 3512 of the arm resulting in an "uncoiling" of the actuator 3507 which in turn results in corresponding movement of the paddle 3506 resulting in the ejection of ink from the nozzle chamber 3502.
  • the nozzle chamber 3502 can include a rim 3518 which, for convenience, can also be constructed also from titanium diboride.
  • the rim includes an arc profile eg. 3519 which is designed to follow the path swept out by paddle 3506 as it expands as a result of actuation of the actuator 3507.
  • the walls of ink ejection chamber 3502 are similarly profiled.
  • the wafer can then be separated into printhead units and interconnected to an ink supply along the back surface of the wafer for the supply of ink to the nozzle arrangement.
  • a portion 3549 of an array of nozzles which can include a three colour output including a first colour series 3550, second colour series 3551 and third colour series 3552.
  • Each colour series is further divided into two rows of ink ejection units with each unit providing for the ink ejection of drops corresponding to a single pixel of a line.
  • a page width array of nozzles can be formed including appropriate bond pads eg. 3555 for providing for an electrical interconnect.
  • the page width printhead can be formed by silicon wafer with multiple print heads being formed simultaneously utilising the aforementioned steps. Subsequently, the print heads can be separated and joined on an ink supply mechanism for supplying ink via the back of the wafer to each ink ejection arrangement, the supply being suitably arranged for providing the separate colours.
  • an inkjet printhead having an array of nozzles wherein the nozzles are grouped in pairs and each pair is provided with a single actuator which is actuated so as to move a paddle type mechanism to force the ejection of ink out of one or other of the nozzle pairs.
  • the paired nozzles eject ink from a single nozzle chamber which is resupplied by means of an ink supply channel.
  • the actuator of an embodiment has unique characteristics so as to simplify the actuation process.
  • a single nozzle chamber 3601 is utilised to supply ink two ink ejection nozzles 3602, 3603.
  • Ink is resupplied to the nozzle chamber 3601 via means of an ink supply channel 3605.
  • ink menisci 3606, 3607 are formed around the ink ejection holes 3602, 3603.
  • the arrangement of Fig. 408 being substantially axially symmetric around a central paddle 3609 which is attached to an actuator mechanism.
  • the paddle 3609 When it is desired to eject ink out of one of the nozzles, say nozzle 3603, the paddle 3609 is actuated so that it begins to move as indicated in Fig. 409.
  • the movement of paddle 3609 in the direction 3610 results in a general compression of the ink on the right hand side of the paddle 3609.
  • the compression of the ink results in the meniscus 3607 growing as the ink is forced out of the nozzles 3603.
  • the meniscus 3606 undergoes an inversion as the ink is sucked back on the left hand side of the actuator 3610 with additional ink 3612 being sucked in from ink supply channel 3605.
  • the paddle actuator 3609 eventually comes to rest and begins to return as illustrated in Fig. 410.
  • the ink 3613 within meniscus 3607 has substantial forward momentum and continues away from the nozzle chamber whilst the paddle 3609 causes ink to be sucked back into the nozzle chamber. Further, the surface tension on the meniscus 3606 results in further in flow of the ink via the ink supply channel 3605. The resolution of the forces at work in the resultant flows results in a general necking and subsequent breaking of the meniscus 3607 as illustrated in Fig. 411 wherein a drop 3614 is formed which continues onto the media or the like. The paddle 3609 continues to return to its quiescent position.
  • the paddle 3609 returns to its quiescent position and the nozzle chamber refills by means of surface tension effects acting on meniscuses 3606, 3607 with the arrangement of returning to that showing in Fig. 408.
  • the actuator 3609 can be activated to eject ink out of the nozzle 3602 in a symmetrical manner to that described with reference to Fig. 408 to Fig. 412.
  • a single actuator 3609 is activated to provide for ejection out of multiple nozzles.
  • the dual nozzle arrangement has a number of advantages including in that movement of actuator 3609 does not result in a significant vacuum forming on the back surface of the actuator 3609 as a result of its rapid movement.
  • meniscus 3606 acts to ease the vacuum and further acts as a "pump" for the pumping of ink into the nozzle chamber.
  • the nozzle chamber is provided with a lip 3615 (Fig. 409) which assists in equalising the increase in pressure around the ink ejection holes 3603 which allows for the meniscus 3607 to grow in an actually symmetric manner thereby allowing for straight break off of the drop 3614.
  • the actuator 3620 includes a pivot arm attached at the post 3621.
  • the pivot arm includes an internal core portion 3622 which can be constructed from glass.
  • On each side 3623, 3624 of the internal portion 3622 is two separately control heater arms which can be constructed from an alloy of copper and nickel (45% copper and 55% nickel).
  • the utilisation of the glass core is advantageous in that it has a low coefficient thermal expansion and coefficient of thermal conductivity.
  • any energy utilised in the heaters 3623, 3624 is substantially maintained in the heater structure and utilised to expand the heater structure and opposed to an expansion of the glass core 3622.
  • Structure or material chosen to form part of the heater structure preferably has a high "bend efficiency".
  • bend efficiency can be the youngs modulus times the coefficient of thermal expansion divided by the density and by the specific heat capacity.
  • the copper nickel alloy in addition to being conductive has a high coefficient of thermal expansion, a low specific heat and density in addition to a high young's modulus. It is therefore a highly suitable material for construction of the heater element although other materials would also be suitable.
  • Each of the heater elements can comprise a conductive out and return trace with the traces being insulated from one and other along the length of the trace and conductively joined together at the far end of the trace.
  • the current supply for the heater can come from a lower electrical layer via the pivot anchor 3621.
  • a bifurcated portion 3630 which has attached at one end thereof to leaf portions 3631, 3632.
  • one of the arms 3623, 3624 eg. 3623 is heated in air by passing current through it.
  • the heating of the arm results in a general expansion of the arm.
  • the expansion of the arm results in a general bending of the arm 3620.
  • the bending of the arm 3620 further results in leaf portion 3632 pulling on the paddle portion 3609.
  • the paddle 3609 is pivoted around a fulcrum point by means of attachment to leaf portions 3638, 3639 which are generally thin to allow for minor flexing.
  • the pivoting of the arm 3609 causes ejection of ink from the nozzle hole 3638.
  • the heater is deactivated resulting in a return of the actuator 3620 to its quiescent position and its corresponding return of the paddle 3609 also to is quiescent position.
  • the heater 3624 can be activated with the paddle operating in a substantially symmetric manner.
  • the actuator can be utilised to move the paddle 3609 on demand so as to eject drops out of the ink ejection hole eg. 3638 with the ink refilling via an ink supply channel 3644 located under the paddle 3609.
  • the nozzle arrangement of an embodiment can be formed on a silicon wafer utilising standard semi-conductor fabrication processing steps and micro-electromechanical systems (MEMS) construction techniques.
  • MEMS micro-electromechanical systems
  • MEMS micro-electro mechanical system
  • a large wafer of printheads is constructed at any one time with each printhead providing a predetermined pagewidth capabilities and a single printhead can in turn comprise multiple colors so as to provide for full color output as would be readily apparent to those skilled in the art.
  • FIG. 415 An embodiment can start as illustrated in Fig. 415 with a CMOS processed silicon wafer 3650 which can include a standard CMOS layer 3651 including of the relevant electrical circuitry etc.
  • the processing steps can then be as follows:
  • Fig. 435 there is illustrated a portion 3680 of a full colour printhead which is divided into three series of nozzles 3671, 3672 and 3673. Each series can supply a separate color via means of a corresponding ink supply channel. Each series is further subdivided into two subrows e.g. 3676, 3677 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.
  • two subrows e.g. 3676, 3677 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.
  • the actuators a formed in a curved relationship with respect to the main nozzle access so as to provide for a more compact packing of the nozzles.
  • the block portion (3621 of Fig. 413) is formed in the wall of an adjacent series with the block portion of the row 3673 being formed in a separate guide rail 3680 provided as an abutment surface for the TAB strip when it is abutted against the guide rail 3680 so as to provide for an accurate registration of the tab strip with respect to the bond pads 3681, 3682 which are provided along the length of the printhead so as to provide for low impedance driving of the actuators.
  • a fulcrum arrangement could be constructed which includes two arms which are pivoted around a thinned wall by means of their attachment to a cross bar. Each arm could be attached to the central cross bar by means of similarly leafed portions to that shown in Fig. 413 and Fig. 414.
  • the distance between a first arm and the thinned wall can be L units whereas the distance between the second arm and wall can be NL units.
  • an inkjet printing system for the projection of ink from a series of nozzles.
  • a single paddle is located within a nozzle chamber and attached to an actuator device.
  • the nozzle is actuated in a first direction, ink is ejected through a first nozzle aperture and when the actuator is activated in a second direction causing the paddle to move in a second direction, ink is ejected out of a second nozzle.
  • a nozzle arrangement 3701 of an embodiment when in its quiescent state In the quiescent state, ink fills a first portion 3702 of the nozzle chamber and a second portion 3703 of the nozzle chamber. The ink fills the nozzle chambers from an ink supply channel 3705 to the point that a meniscus 3706, 3707 is formed around corresponding nozzle holes 3708, 3709.
  • a paddle 3710 is provided within the nozzle chamber 3702 with the paddle 3710 being interconnected to a actuator device 3712 which can comprise a thermal actuator which can be actuated so as to cause the actuator 3712 to bend, as will be become more apparent hereinafter.
  • the actuator 3712 which can comprise a thermal actuator, is activated so as to bend as illustrated in Fig. 453.
  • the bending of actuator 3712 causes the paddle 3710 to rapidly move upwards which causes a substantial increase in the pressure of the fluid, such as ink, within nozzle chamber 3702 and adjacent to the meniscus 3707. This results in a general rapid expansion of the meniscus 3707 as ink slows through the nozzle hole 3709 with result of the increasing pressure.
  • the rapid movement of paddle 3710 causes a reduction in pressure along the back surface of the paddle 3710. This results in general flows as indicated 3717, 3718 from the second nozzle chamber and the ink supply channel.
  • the actuator 3712 is deactivated resulting in the return of the paddle 3710 to its quiescent position as indicated in Fig. 454.
  • the return of the paddle 3710 operates against the forward momentum of the ink adjacent the meniscus 3707 which subsequently results in the breaking off of the meniscus 3707 so as to form the drop 3720 as illustrated in Fig. 454.
  • the drop 3720 continues onto the print media. Further, surface tension effects on the ink meniscus 3707 and ink meniscus 3706 result in ink flows 3721 - 3723 which replenish the nozzle chambers.
  • the paddle 3710 returns to its quiescent position and the situation is again as illustrated in Fig. 452.
  • the actuator 3712 is activated as illustrated in Fig. 465.
  • the actuation 3712 causes the paddle 3710 to move rapidly down causing a substantial increase in pressure in the nozzle chamber 3703 which results in a rapid growth of the meniscus 3706 around the nozzle hole 3708.
  • This rapid growth is accompanied by a general collapse in meniscus 3707 as the ink is sucked back into the chamber 3702. Further, ink flow also occurs into ink supply channel 3705 however, hopefully this ink flow is minimised.
  • the actuator 3712 is deactivated resulting in the return of the paddle 3710 to is quiescent position.
  • the return of the paddle 3710 results in a general lessening of pressure within the nozzle chamber 3703 as ink is sucked back into the area under the paddle 3710.
  • the forward momentum of the ink surrounding the meniscus 3706 and the backward momentum of the other ink within nozzle chamber 3703 is resolved through the breaking off of an ink drop 3725 which proceeds towards the print media.
  • the surface tension on the meniscus 3706 and 3707 results in a general ink inflow from nozzle chamber 3705 resulting, in the arrangement returning to the quiescent state as indicated in Fig. 452.
  • FIG. 452 to Fig. 456 describes a system where a single planar paddle is actuated so as to eject ink from multiple nozzles.
  • nozzle arrangement 3701 can be constructed on a silicon wafer base 3728 through the construction of large arrays of nozzles at one time utilising standard micro electro-mechanical processing techniques.
  • An array of nozzles on a silicon wafer device and can be constructed from the utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • MEMS micro machining and micro fabrication process technology
  • MEMS micro-electro mechanical system
  • CMOS processing layer 3729 On top of the silicon wafer 3728 is first constructed a CMOS processing layer 3729 which can provide for the necessary interface circuitry for driving the thermal actuator and its interconnection with the outside world.
  • the CMOS layer 3729 being suitably passivated so as to protect it from subsequent MEMS processing techniques.
  • the walls eg. 3730 can be formed from glass (SiO 2 ).
  • the paddle 3710 includes a thinned portion 3732 for more efficient operation.
  • a sacrificial etchant hole 3733 is provided for allowing more effective etching of sacrificial etchants within the nozzle chamber 3702.
  • the ink supply channel 3705 is generally provided for interconnecting an ink supply conduit 3734 which can be etched through the wafer 3728 by means of utilisation of a deep anisotropic trench etcher such as that available from Silicon Technology Systems of the United Kingdom.
  • the arrangement 3701 further includes a thermal actuator device eg. 3712 which includes two arms comprising an upper arm 3736 and a lower arm 3737 formed around a glass core 3738.
  • Both upper and lower arm heaters 3736, 3737 can comprise a 0.4 ⁇ m film of 60% copper and 40% nickel hereinafter known as (Cupronickel) alloy. Copper and nickel is used because it has a high bend efficiency and is also highly compatible with standard VLSI and MEMS processing techniques.
  • the bend efficiency can be calculated as the square of the coefficient of the thermal expansion times the Young's modulus, divided by the density and divided by the heat capacity. This provides a measure of the amount of "bend energy" produced by a material per unit of thermal (and therefore electrical) energy supplied.
  • the core can be fabricated from glass which also has many suitable properties in acting as part of the thermal actuator.
  • the actuator 3712 includes a thinned portion 3740 for providing an interconnect between the actuator and the paddle 3710.
  • the thinned portion 3740 provides for non-destructive flexing of the actuator 3712.
  • a current is passed down through the top cupronickel layer causing it to be heated and expand. This in turn causes a general bending due to the thermocouple relationship between the layers 3736 and 3738.
  • the bending down of the actuator 3736 also causes thinned portion 3740 to move downwards in addition to the portion 3741.
  • the paddle 3710 is pivoted around the wall 3741 which can, if necessary, include slots for providing for efficient bending.
  • the heater coil 3737 can be operated so as to cause the actuator 3712 to bend up with the consequential movement upon the paddle 3710.
  • a pit 3739 is provided adjacent to the wall of the nozzle chamber to ensure that any ink outside of the nozzle chamber has minimal opportunity to "wick" along the surface of the printhead as, the wall 3741 can be provided with a series of slots to assist in the flexing of the fulcrum.
  • the printheads can then be inserted in an ink chamber moulding, tab bonded and a PTFE hydrophobic layer evaporated over the surface so as to provide for a hydrophobic surface.
  • Fig. 476 there is illustrated a portion of a page with printhead including a series of nozzle arrangements as constructed in accordance with the principles of an embodiment.
  • the array 3760 has been constructed for three colour output having a first row 3761 a second row 3762 and a third row 3763.
  • a series of bond pads, eg. 3764, 3765 are provided at the side for tab automated bonding to the printhead.
  • Each row 3761, 3762, 3763 can be provided with a different colour ink including cyan, magenta and yellow for providing full colour output.
  • the nozzles of each row 3761 - 3763 are further divided into sub rows eg. 3768, 3769.
  • a glass strip 3770 can be provided for anchoring the actuators of the row 3763 in addition to providing for alignment for the bond pad 3764, 3765.
  • the CMOS circuitry can be provided so as to fire the nozzles with the correct timing relationships. For example, each nozzle in the row 3768 is fired together followed by each nozzle in the row 3769 such that a single line is printed.
  • an embodiment provides for an extremely compact arrangement of an inkjet printhead which can be made in a highly inexpensive manner in large numbers on a single silicon wafer with large numbers of printheads being made simultaneously. Further, the actuation mechanism provides for simplified complexity in that the number of actuators is halved with the arrangement of an embodiment.
  • An embodiment of the present invention includes an inkjet arrangement wherein a single actuator drives two output nozzles.
  • a single actuator drives two output nozzles.
  • ink is ejected out of a first nozzle and when the actuator is driven in a second direction, ink is ejected out of a second nozzle.
  • the paddle actuator is interconnected via a slot in the nozzle chamber wall to a rigid thermal actuator which can be actuated so as to cause the ejection of ink from the ink ejection holes.
  • the nozzle arrangement 3801 includes two ink ejection ports 3802, 3803 for the ejection of ink from within a nozzle chamber.
  • the nozzle chamber further includes first and second chamber portions 3805, 3806 in addition to an etched cavity 3807 which, during normal operation, are normally filled with ink supplied via an ink inlet channel 3808.
  • the ink inlet channel 3808 is in turn connected to an ink supply channel 3809 etched through a silicon wafer.
  • an actuator paddle 3810 which is interconnected through a slot 3812 in the chamber wall to an actuator arm 3813 which is actuated by means of thermal actuators 3814, 3815 which are in turn connected to a substrate 3817 via an end block portion 3818 with the substrate 3817 providing the relevant electrical interconnection for the heaters3814, 3815.
  • the actuator arm 3813 can be actuated by the thermal actuators 3814, 3815 to move up and down so as to eject ink via the nozzle holes 3802 or 3803.
  • a series of holes eg. 3820 - 3822 are also provided in top of the nozzle plate.
  • the holes 3820 - 3822 assist in the etching of sacrificial layers during construction in addition to providing for "breathing" assistance during operation of the nozzle arrangement 3801.
  • the two chambers 3805, 3806 are separated by a baffle 3824 and the paddle arm 3810 includes a end lip portion 3825 in addition to a plug portion 3826.
  • the plug portion 3826 is designed to mate with the boundary of the ink inlet channel 3808 during operation.
  • FIG. 495 there will now be explained the operation of the nozzle arrangement 3801.
  • FIG. 495 there is shown the nozzle arrangement 3801 when in its quiescent position. In this state, the paddle 3810 is idle and ink fills the nozzle chamber so as to form menisci 3829 - 3833 and 3837.
  • the bottom heater 3815 When it is desired to eject a drop out of the nozzle port 3803, as indicated in Fig. 497, the bottom heater 3815 is actuated.
  • the two heaters 3814, 3815 can be constructed from the same material and normally exist in a state of balance when the paddle 3810 is in its quiescent position. As noted previously, when it is desired to eject a drop out of nozzle chamber 3803, the heater 3815 is actuated which causes a rapid upwards movement of the actuator paddle 3810. This causes a general increase in pressure in the area in front of the actuator paddle 3810 which further causes a rapid expansion in the meniscus 3830 in addition to a much less significant expansion in the menisci 3831 - 3833 (due to their being of a substantially smaller radius).
  • the substantial decrease in pressure around the back surface of the paddle 3810 causes a general inflow of ink from the nozzle chamber 3808 in addition to causing a general collapse in the meniscus 3829 and a corresponding flow of ink 3835 around the baffle 3824.
  • a slight bulging also occurs in the meniscus 3837 around the slot in the side wall 3812.
  • the heater 3815 is merely pulsed and turned off when it reaches its maximum extent.
  • the paddle actuator 3810 rapidly begins to return to its quiescent position causing the ink around the ejection port 3803 to begin to flow back into the chamber.
  • the forward momentum of the ink in the expanded meniscus and the backward pressure exerted by actuator paddle 3810 results in a general necking of the meniscus and the subsequent breaking off of a separate drop 3839 which proceeds to the print media.
  • the menisci 3829, 3831, 3832 and 3833 each of a generally concave shape exert a further force on the ink within the nozzle chamber which begins to draw ink in from the ink inlet channel 3808 so as to replenish the nozzle chamber.
  • the nozzle arrangement returns to the quiescent position which is as previously illustrated in respect of Fig. 495.
  • Fig. 498 when it is desired to eject a droplet of ink out of the ink ejection port 3802, the thermal actuator 3814 is actuated resulting in a general expansion of the thermal actuator 3814 which in turn causes a rapid downward movement of the actuator paddle 3810.
  • the rapid downward movement causes a substantial increase in pressure within the cavity 3807 which in turn results in a general rapid expansion of the meniscus 3829.
  • the end plug portion 3826 results in a general blocking of the ink supply channel 3808 stopping fluid from flowing back down the ink supply channel 3808. This further assists in causing ink to flow towards the cavity 3807.
  • the heater 3814 is merely pulsed, which as illustrated in Fig. 499 results in a rapid return of the paddle 3810 to its quiescent position.
  • the return of the paddle 3810 results in a general reduction in pressure within the cavity 3807 which in turn results in the ink around the nozzle 3802 beginning to flow 3843 back into the nozzle chamber.
  • the forward momentum of the ink around the meniscus 3829 in addition to the backflow 3843 results in a general necking of the meniscus and the formation of an ink drop 3842 which separates from the main body of the ink and continues to the print media.
  • the return of the actuator paddle 3810 further results in plugging portion 3826 "unplugging" the ink supply channel 3808.
  • the general reduction in pressure in addition to the collapsed menisci 3840, 3837 and 3829 results in a flow of ink from the ink inlet channel 3808 into the nozzle chamber so as to cause replenishment of the nozzle chamber and return to the quiescent state as illustrated in Fig. 496.
  • each nozzle eg. 3802, 3803, 3820, 3821, 3822, 3812 etc. includes a nozzle rim around its outer periphery.
  • the nozzle rim acts to stop wicking of the meniscus formed across the nozzle rim.
  • the actuator arm 3813 is provided with a wick minimisation protrusion eg. 3844 in addition to a series of pits eg. 3845 which were again shaped so as to minimise wicking along the surfaces surrounding the actuator arms 3813.
  • the nozzle arrangement of an embodiment can be formed on a silicon wafer utilising standard semi-conductor fabrication processing steps and micro-electromechanical systems (MEMS) construction techniques.
  • MEMS micro-electromechanical systems
  • MEMS micro-electro mechanical system
  • a large wafer of printheads is constructed at any one time with each printhead providing a predetermined pagewidth capabilities and a single printhead can in turn comprise multiple colors so as to provide for full color output as would be readily apparent to those skilled in the art.
  • CMOS processed silicon wafer 3850 which can include a standard CMOS layer 3851 of the relevant electrical circuitry etc.
  • the processing steps can then be as follows:
  • the printheads can then be washed and inserted in an ink chamber moulding for providing an ink supply to the back of the wafer so to allow ink to be supplied via the ink supply channel.
  • the printhead can then have one edge along its surface TAB bonded to external control lines and preferably a thin anti-corrosion layer of ECR diamond-like carbon deposited over its surfaces so as to provide for anti corrosion capabilities.
  • Fig. 520 there is illustrated a portion 3880 of a full colour printhead which is divided into three series of nozzles 3881, 3882 and 3883. Each series can supply a separate color via means of a corresponding ink supply channel. Each series is further subdivided into two subrows 3886, 3887 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.
  • the actuators a formed in a curved relationship with respect to the main nozzle access so as to provide for a more compact packing of the nozzles.
  • the block portion (3818) of Fig. 495 is formed in the wall of an adjacent series with the block portion of the row 3883 being formed in a separate guide rail 3890 provided as an abutment surface for the TAB strip when it is abutted against the guide rail 3890 so as to provide for an accurate registration of the tab strip with respect to the bond pads 3891, 3892 which are provided along the length of the printhead so as to provide for low impedance driving of the actuators.
  • an embodiment provides for a compact form of manufacture of an inkjet printhead which includes a dual nozzle single actuator system.
  • an inkjet printing system having an ink ejection nozzle arrangement such that a paddle actuator type device is utilised to eject ink from a refillable nozzle chamber.
  • the paddle is generally of a "cupped" shape.
  • the cup shape provides for the alleviation of a number of the aforementioned problems.
  • the paddle is interconnected to a thermal actuator device which is thermally actuated by means of passing a current through a portion of the thermal actuator, so as to cause the ejection of ink therefrom.
  • the cupped paddle allows for a suitable construction process which does not require the formation of thick surface layers during the process of construction. This means that thermal stresses across a series of devices constructed on a single wafer are minimised.
  • FIG. 542 there is illustrated an inkjet nozzle arrangement 3901 having a nozzle chamber 3902 which is normally filled with ink from a supply channel 3903 such that a meniscus 3904 forms across the ink ejection aperture of the nozzle arrangement.
  • a cupped paddle actuator 3905 is provided and interconnected to an actuator arm 3906 which, when in a quiescent position, is bent downwards.
  • the lower surface of the actuator arm 3906 includes a heater element 3908 which is constructed of material having a high "bend efficiency".
  • a suitable material can be a copper nickel alloy of 60% copper and 40% nickel, hereinafter called (cupronickel) which can be formed below a glass layer so as to bend the glass layer.
  • cupronickel a copper nickel alloy of 60% copper and 40% nickel
  • the arm 3906 In its quiescent position, the arm 3906 is bent down by the element 3908.
  • a current is passed through the actuator arm 3908 by means of an interconnection provided by a post 3909.
  • the heater element 3908 is heated and expands with a high bend efficiency thereby causing the arm 3906 to move upwards as indicated in Fig. 543.
  • the upward movement of the actuator arm 3906 causes the cupped paddle 3905 to also move up which results in a general increase in pressure within the nozzle chamber 3902 in the area surrounding the meniscus 3904. This results in a general outflow of ink and a bulging of the meniscus 3904.
  • the heater element 3908 is turned off which results in the general return of the arm 3906 to its quiescent position which further results in a downward movement of the cupped paddle 3905.
  • the forward momentum of the ink surrounding the meniscus and the backward momentum of the ink 3911 results in a general necking of the meniscus and the formation of a drop 3912 which proceeds to the surface of the page.
  • the shape of the meniscus 3904 results in a subsequent inflow of ink via the inlet channel 3903 which results in a refilling of the nozzle chamber 3902.
  • a single nozzle arrangement 3901 in greater detail.
  • the nozzle arrangement 3901 includes a nozzle chamber 3902 which is normally filled with ink. Inside the nozzle chamber 3902 is a paddle actuator 3905 which divides the nozzle chamber from an ink refill supply channel 3903 which supplies ink from a back surface of a silicon wafer 3914.
  • an actuator arm 3906 which includes a glass core portion and an external cupronickel portion 3908.
  • the actuator arm 3906 interconnects with the paddle 3905 by means of a slot 3919 located in one wall of the nozzle chamber 3902.
  • the slot 3919 is of small dimensions such that surface tension characteristics retain the ink within the nozzle chamber 3902.
  • the external portions of the arrangement 3901 are further treated so as to be strongly hydrophobic.
  • a pit 3921 is provided around the slot 3919.
  • the pit includes a ledge 3922 with the pit and ledge interacting so as to minimise the opportunities for "wicking" along the actuator arm 3906.
  • the arm 3906 includes a thinned portion 3924 adjacent to the nozzle chamber 3902 in addition to a right angled wall 3925.
  • the surface of the paddle actuator 3905 includes a slot 3911.
  • the slot 3911 aids in allowing for the flow of ink from the back surface of paddle actuator 3905 to a front surface. This is especially the case when initially the arrangement is filled with air and a liquid is injected into the refill channel 3903.
  • the dimensions of the slot are such that, during operation of the paddle for ejecting drops, minimal flow of fluid occurs through the slot 3911.
  • the paddle actuator 3905 is housed within the nozzle chamber and is actuated so as to eject ink from the nozzle 3927 which in turn includes a rim 3928.
  • the rim 3928 assists in minimising wicking across the top of the nozzle chamber 3902.
  • the cupronickel element 3908 is interconnected through a post portion 3909 to a lower CMOS layer 3915 which provides for the electrical control of the actuator element.
  • Each nozzle arrangement 3901 can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed from the utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • MEMS micro machining and micro fabrication process technology
  • MEMS micro-electro mechanical system
  • Fig. 547(a) and 6b there is shown an initial processing step which utilizes a mask having a region as specified in Fig. 547(a).
  • the initial starting material is preferably a silicon wafer 3914 having a standard 0.25 micron CMOS layer 3915 which includes drive electronics (not shown), the structure of the drive on electronics being readily apparent to those skilled in the art of CMOS integrated circuit designs.
  • the first step in the construction of a single nozzle is to pattern and etch a pit 3928 to a depth of 13 micron using the mask pattern having regions specified 3929 as illustrated in Fig. 547(a).
  • a 3 micron layer of the sacrificial material 3930 is deposited.
  • the sacrificial material can comprise aluminium.
  • the sacrificial material 3930 is then etched utilising a mask pattern having portions 3931 and 3932 as indicated at Fig. 548(a).
  • a very thin 0.1 ⁇ m layer of a corrosion barrier material (for example, silicon nitride) is deposited 3934 and subsequently etched so as to form the heater element 3935.
  • the etch utilises a third mask having mask regions specified 3936 and 3937 in Fig. 549(a).
  • a 1.1 micron layer of heater material which can comprise a 60% copper 40% nickel alloy is deposited 3939 utilising a mask having a resultant mask region as illustrated in Fig. 550(a).
  • the corrosion barrier can again comprise silicon nitride.
  • a 3.4 ⁇ m layer of glass 3942 is deposited.
  • the glass and nitride can then be etched utilising a mask as specified 3943 in Fig. 551(a).
  • the glass layer 3942 includes, as part of the deposition process, a portion 3944 which is a result of the deposition process following the lower surface profile.
  • a 6 ⁇ m layer of sacrificial material such as aluminium is deposited 3945 as indicated in Fig. 552(b). This layer is planarized to approximately 4 ⁇ m minimum thickness utilising a Chemical Mechanical Planarization (CMP) process.
  • CMP Chemical Mechanical Planarization
  • the sacrificial material layer is etched utilizing a mask having regions 3948, 3949 as illustrated in Fig. 552(a) so as to form portions of the nozzle wall and post.
  • a 3m layer of glass 3950 is deposited.
  • the 3 ⁇ m layer is patterned and etched to a depth of 1 ⁇ m using a mask having a region specified 3951 as illustrated in Fig. 553(b) so as to form a nozzle rim.
  • the glass layer is etched utilising a further mask as illustrated in Fig. 553(a) which leaves glass portions eg. 3953 to form the nozzle chamber wall and post portion 3954.
  • the backside of the wafer is patterned and etched so as to form an ink supply channel 3903.
  • the mask utilised can have regions 3956 as specified in Fig. 555(a).
  • the etch through the backside of the wafer can preferably utilize a high quality deep anisotropic etching system such as that available from Silicon Technology Systems of the United Kingdom.
  • the etching process also results in the dicing of the wafer into its separate printheads at the same time.
  • the sacrificial material can be etched away so as to release the actuator structure.
  • the actuator 3906 bends downwards due to its release from thermal stresses built up during deposition.
  • the printhead can then be cleaned and mounted in a moulded ink supply system for the supply of ink to the back surface of the wafer.
  • a TAB film for suppling electric control to an edge of the printhead can then be bonded utilizing normal TAB bonding techniques.
  • the surface area can then be hydrophobically treated and finally the ink supply channel and nozzle chamber filled with ink for testing.
  • a pagewidth printhead having a repetitive structure 3960 can be constructed for full colour printing.
  • Fig. 557 shows a portion of the final printhead structure and includes three separate groupings 3961-3963 with one grouping for each colour and each grouping eg. 3963 in turn consisting of two separate rows of inkjet nozzles 3965, 3966 which are spaced apart in an interleaved pattern.
  • the nozzle 3965, 3966 are fired at predetermined times so as to form an output image as would be readily understood by those skilled in the art of construction of inkjet printhead.
  • Each nozzle eg.
  • 3968 includes its own actuator arm 3969 which, in order to form an extremely compact arrangement, is preferably formed so as to be generally bent with respect to the line perpendicular to the row of nozzles.
  • a three colour arrangement is provided which has one of the groups 3961-3963 dedicated to cyan, magenta and another yellow colour printing. Obviously, four colour printing arrangements can be constructed if required.
  • a series of bond pads eg. 3971 are formed along the side for the insertion of a tape automated bonding (TAB) strip which can be aligned by means of alignment rail eg. 3972 which is constructed along one edge of the printhead specifically for this purpose.
  • TAB tape automated bonding
  • a nozzle chamber having ink within it and a thermal actuator device interconnected to a paddle the thermal actuator device being actuated so as to eject from the nozzle chamber.
  • An embodiment includes a particular thermal actuator structure which includes a series of tapered actuator heater arms for providing conductive heating of a conductive trace.
  • the actuator arm is interconnected to a paddle by a slotted wall in the nozzle chamber.
  • the actuator arm has a mating shape so as to mate substantially with the surfaces of the slot in the nozzle chamber wall.
  • a nozzle chamber 4001 is provided filled with ink 4002 by means of an ink inlet channel 4003 which can be etched through a wafer substrate on which the nozzle chamber 4001 rests.
  • the nozzle chamber 4001 further includes an ink ejection aperture 4004 around which an ink meniscus forms.
  • a paddle type device 4007 which is interconnected to an actuator arm 4008 through a slot in the wall of the nozzle chamber 4001.
  • the actuator arm 4008 includes a heater means eg. 4009 located adjacent to a post end portion 4010 of the actuator arm.
  • the post 4010 being fixed to a substrate.
  • the heater means 4009 is heated so as to undergo thermal expansion.
  • the heater means itself or the other portions of the actuator arm 4008 are built from materials having a high bend efficiency.
  • a suitable material for the heater elements is a copper nickel alloy which can be formed so as to bend a glass material.
  • the heater means is ideally located adjacent the post end portion 4010 such that the effects of activation are magnified at the paddle end 4007 such that small thermal expansions near post 4010 result in large movements of the paddle end.
  • the heating 4009 and consequential paddle movement causes a general increase in pressure around the ink meniscus 4005 which expands, as illustrated in Fig. 571, in a rapid manner.
  • the heater current is pulsed and ink is ejected out of the nozzle 4004 in addition to flowing in from the ink channel 4003.
  • the paddle 4007 is deactivated to again return to its quiescent position.
  • the deactivation causes a general reflow of the ink into the nozzle chamber.
  • the forward momentum of the ink outside the nozzle rim and the corresponding backflow results in a general necking and breaking off of the drop 4012 which proceeds to the print media.
  • the collapsed meniscus 4005 results in a general sucking of ink into the nozzle chamber 4002 via the in flow channel 4003.
  • the nozzle chamber is refilled such that the position in Fig. 570 is again reached and the nozzle chamber is subsequently ready for the ejection of another drop of ink.
  • Fig. 573 there is illustrated a view of a single nozzle arrangements of an embodiment.
  • the arrangement of Fig. 573 has a number in the structures which aid and assist in the low energy operation of the paddle.
  • the actuator 4008 includes a series of tapered heater sections eg. 4015 which comprise an upper glass portion (amorphous silicon dioxide) 4016 formed on top of a titanium nitride layers 4017.
  • a copper nickel alloy layer hereinafter called cupronickel
  • the titanium nitride layer 4017 is in a tapered form and, as such, resistive heating takes place near the post end portion 4010. Adjacent titanium nitride/glass portions are interconnected at block portion 4019 which also provides for a mechanical structural support for the actuator arm.
  • the heater means ideally includes a plurality of tapered portions 4015 which are elongated and spaced apart such that, upon heating, the bending force exhibited along the axis of the actuator arm is maximized.
  • the slots between adjacent tapered portions allow for slight differential operation of each thermal actuator with respect to adjacent actuators.
  • the block portion 4019 is interconnected to an arm portion 4020.
  • the arm 4020 is in turn connected to the paddle 4007 inside the nozzle chamber 4001 by means of a slot eg. 4022 formed in the side of the nozzle chamber 4001.
  • the formation of the slot 4022 is designed generally to mate with the surfaces of the arm 4020 so as to minimise opportunities for the outflow of ink around this arm.
  • the ink is held generally within the nozzle chamber 4001 via surface tension effects around the slot 4022.
  • a conductive current is passed through the titanium nitride layer 4017 via vias within the block portion 4010 connecting to a lower CMOS layer 4006 which provides for the necessary power and control circuitry for the nozzle arrangement.
  • the conductive current results in heating of the nitride layer 4017 adjacent to the post portion 4010 which results in a general upward bending of the arm 4008 and the consequential ejection of ink out of the nozzle 4004.
  • the ejected drop being printed on page in the usual manner for an inkjet printer as previously described.
  • an array of ink ejection devices can be subsequently formed so as to create a single printhead.
  • Fig. 574 there is illustrated an array views which comprises multiple ink ejection nozzle arrangements 4001 laid out in interleaved lines so as to form a printhead array.
  • different types of arrays can be formulated including full color arrays etc.
  • An embodiment achieves a particular balance between utilisation of the standard semi-conductor processing material such as titanium nitride and glass in a MEMS process.
  • the standard semi-conductor processing material such as titanium nitride and glass in a MEMS process.
  • the skilled person may make other choices of materials and design features where the economics are justified.
  • a copper nickel alloy of 50% copper and 50% nickel may be more advantageously deployed as the conductive heating compound as it is likely to have higher levels of bend efficiency.
  • other design structures may be employed where it is not necessary to provide for such a simple form of manufacture.
  • a nozzle chamber having ink within it and a thermal actuator device interconnected to a panel the thermal actuator device being actuated so as to eject ink from the nozzle chamber.
  • An embodiment includes a particular thermal actuator structure which includes a tapered heater structure arms for providing positional heating of a conductive heater layer row.
  • the actuator arm is interconnected to a paddle by a slotted wall in the nozzle chamber.
  • the actuator arm has a mating shape so as to mate substantially with the surfaces of the slot in the nozzle chamber wall.
  • a nozzle chamber 4101 is provided filled with ink 4102 by means of an ink inlet channel 4103 which can be etched through a wafer substrate on which the nozzle chamber 4101 rests.
  • the nozzle chamber 4101 includes an ink ejection aperture 4104 around which an ink meniscus forms.
  • a paddle type device 4107 which is interconnected to an actuator arm 4108 through a slot in the wall of the nozzle chamber 4101.
  • the actuator arm 4108 includes a heater means eg. 4109 located adjacent to a post end portion 4110 of the actuator arm.
  • the post 4110 being fixed to a substrate.
  • the heater means 4109 is heated so as to undergo thermal expansion.
  • the heater means itself or the other portions of the actuator arm 4108 are built from materials having a high bend efficiency.
  • a suitable material for the heater elements is a copper nickel alloy which can be formed so as to bend a glass material.
  • the heater means is ideally located adjacent the post end portion 4110 such that the effects of activation are magnified at the paddle end 4107 such that small thermal expansions near post 4110 result in large movements of the paddle end.
  • the heating 4109 causes a general increase in pressure around the ink meniscus 4105 which expands, as illustrated in Fig. 587, in a rapid manner.
  • the heater current is pulsed and ink is ejected out of the nozzle 4104 in addition to flowing in from the ink channel 4103. Subsequently, the paddle 4107 is deactivated to again return to its quiescent position. The deactivation causes a general reflow of the ink into the nozzle chamber.
  • the forward momentum of the ink outside the nozzle rim and the corresponding backflow results in a general necking and breaking off of the drop 4112 which proceeds to the print media.
  • the collapsed meniscus 4105 results in a general sucking of ink into the nozzle chamber 4102 via the in flow channel 4103.
  • the nozzle chamber is refilled such that the position in Fig. 586 is again reached and the nozzle chamber is subsequently ready for the ejection of another drop of ink.
  • a single nozzle arrangement 4120 of an embodiment includes an actuator arm 4121 which includes a bottom arm 4122 which is constructed from a conductive material such as a copper nickel alloy (hereinafter called cupronickel) or titanium nitride (TiN).
  • the layer 4122 as will become more apparent hereinafter includes a tapered end portion near the end post 4124. The tapering of the layer 4122 near this end means that any conductive resistive heating occurs near the post portion 4124.
  • the layer 4122 is connected to the lower CMOS layers 4126 which are formed in the standard manner on a silicon substrate surface 4127.
  • the actuator arm 4121 is interconnected to an ejection paddle which is located within a nozzle chamber 4128.
  • the nozzle chamber includes an ink ejection nozzle 4129 from which ink is ejected an includes a convoluted slot arrangement 4130 which is constructed such that the actuator arm 4121 is able to move up and down whilst causing minimal pressure fluctuations in the area of the nozzle chamber 4128 around the slotted interconnect 4130.
  • Fig. 590 illustrates a sectional view through a single nozzle and illustrates more clearly the internal structure of the nozzle chamber which includes the paddle 4132 attached to the actuator arm 4121 by means of arm 4133.
  • the actuator arm 4121 includes, as noted previously, a bottom conductive strip portion 4122. Additionally, a second top strip portion 4125 is also provided.
  • a second layer 4125 of the same material as the first layer 4122 allows for more accurate control of the actuator position as will be described with reference to Fig. 591 and Fig. 592.
  • Fig. 591 there is illustrated the example where a high Young's Modulus material 4140 is deposited utilizating standard semiconductor deposition techniques and on top of which is further deposited a second layer 4141 having a much lower Young's Modulus.
  • the deposition is likely to occur at a high temperature.
  • the two layers are likely to have different coefficients of thermal expansion and different Young's Modulus.
  • the thermal stresses are likely to cause bending of the two layers of material as shown 4142.
  • one important attribute of an embodiments includes the slotted arrangement 4130.
  • the slotted arrangement results in the actuator arm 4121 moving up and down thereby causing the paddle 4132 to also move up and down resulting in the ejection of ink.
  • the slotted arrangements 4130 results in minimum ink outflow through the actuator arm interconnection and also results in minimal pressure increases in this area.
  • the base 4133 of the actuator arm is extended out so as to form an extended interconnect with the paddle surface thereto providing for better attachment.
  • the face 4133 is connected to a block arm 4136 which is provided to provide a high degree of rigidity.
  • the actuator arm 4136 and the wall of the nozzle chamber 4128 have a general corrugated nature so as to reduce any flow of ink through the interconnection.
  • the exterior surface of the nozzle chamber adjacent the block portion 4136 has a rim eg. 4138 so to minimize wicking of ink outside of the nozzle chamber.
  • a pit 4137 is also provided for this purpose. The pit 4137 being formed in the lower CMOS layers 4126.
  • An ink supply channel 4139 is provided by means of back etching through the wafer to the back surface of the nozzle.
  • micro-electro mechanical techniques for a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • SPIE International Society for Optical Engineering
  • the heater element has a tapered portion adjacent the post 4173 so as to ensure maximum heating occurs near the post.
  • inkjet printhead structures can be formed.
  • a portion of a single color printhead having two spaced apart rows 4190, 4191, with the two rows being interleaved so as to provide for a complete line of ink to be ejected in two stages.
  • a guide rail 4192 is provided for proper alignment of a TAB film with bond pads 4193.
  • a second protective barrier 4194 can also preferably be provided.
  • adjacent actuator arms are interleaved and reversed.
  • Fig. 603 there is illustrated a full color printhead arrangement which includes three series of inkjet nozzles 4194, 4196, one each devoted to a separate color. Again, guide rails 4198, 4199 are provided in addition to bond pads, eg. 4200.
  • Fig. 604 there is illustrated a general plan of the layout of a portion of a full color printhead which clearly illustrates the interleaved nature of the actuator arms.
  • ink is ejected out of a nozzle chamber via an ink ejection hole as the result of the utilisation of a series of radially placed thermal actuator devices that are arranged around the ink ejection nozzle and are activated so as to compress the ink within the nozzle chamber thereby causing ink ejection.
  • Fig. 615 illustrates a single nozzle chamber arrangement 4301 when it is in its quiescent state.
  • the arrangement 4301 includes a nozzle chamber 4302 which is normally filled with ink so as to form a meniscus 4303 around an ink ejection nozzle 4304.
  • the nozzle chamber 4302 is formed within a wafer 4305.
  • the nozzle chamber 4302 supplied from an ink supply channel 4306 which can be etched through the wafer 4305 through the utilisation of a highly isotropic plasma etching system.
  • a suitable etcher can be the Advance Silicon Etch (ASE) system available from Surface Technology Systems of the United Kingdom.
  • the top of the nozzle chamber arrangement 4301 includes a series of radially placed thermoactuator devices e.g. 4308, 4309. These devices comprise a series ofpolytetrafluoroethylene (PTFE) actuators having an internal serpentine copper core. Upon heating of the copper core, the surrounding Teflon expands rapidly resulting in a generally downward movement of the actuator 4308, 4309. Hence, when it is desired to eject ink from the ink ejection nozzle 4304, a current is passed through the actuators4308, 4309 which results in generally bending downwards as illustrated in Fig. 616. The downward bending movement of actuators 4308, 4309 results in a substantial increase in pressure within the nozzle chamber 4302. The rapid increase in pressure in nozzle chamber 4302, in turn results in a rapid expansion of the meniscus 4303 as illustrated in Fig. 616.
  • PTFE polytetrafluoroethylene
  • the actuators are turned on for a limited time only and subsequently deactivated. A short time later the situation is as illustrated in Fig. 617 with the actuators 4308, 4309 rapidly returning to their original positions.
  • the necking and breaking of the meniscus is a consequence of the forward momentum of the ink associated with drop 4312 and the backward pressure experienced as a result of the return of the actuators 4308, 4309 to their original positions.
  • the return of the actuator also results in a general inflow of ink 4306 from the supply channel as a result of surface tension effects and, eventually, the state returns to the quiescent position as illustrated in Fig. 615.
  • Fig. 618(a) and Fig. 618(b) illustrate the principle operation of the thermal actuator.
  • the thermal actuator is preferably constructed from a material 4314 having a high coefficient of thermal expansion.
  • Embedded within the material 4314 is a series of heater elements e.g. 4315 which can be a series of conductive elements designed to carry a current.
  • the conductive elements 4315 are heated by means of passing a current through the elements with the heating resulting in a general increase in temperature in the area around the heating elements.
  • the increase in temperature causes a corresponding expansion of the PTFE which has a high coefficient of thermal expansion.
  • the PTFE is bent generally in a down direction.
  • Fig. 619 there is illustrated a side perspective view of one nozzle arrangement constructed in accordance with the principles previously outlined.
  • the nozzle chamber 4302 can be constructed by means of an isotropic surface etch of the wafer surface 4305.
  • the wafer surface 4305 can include a CMOS layer including all the required power and drive circuits.
  • a series of leaf or petal type actuators e.g. 4308, 4309 are provided each having an internal copper core e.g. 4317 which winds in a serpentine nature so as to provide for substantially unhindered expansion of the actuator device.
  • the operation of the actuator is similar to that as illustrated in Fig. 618(a) and Fig. 618(b) such that, upon activation, the petals e.g.
  • the ink supply channel 4306 can be created via a deep silicon back edge of the wafer utilising a plasma etcher or the like.
  • the copper or aluminium coil e.g. 4317 can provide a complete circuit around each petal.
  • a central arm 4318 which can include both metal and PTFE portions provides the main structural support for the petal arrangement in addition to providing a current trace for the conductive heaters.
  • the device is preferably constructed utilising microelectromechanical (MEMS) techniques and can include the following construction techniques:
  • the initial processing starting material is a standard semi-conductor wafer 4320 have a complete CMOS level 4321 to the first level metal step.
  • the first level metal includes portions eg. 4322 which are utilized for providing power to the thermal actuator.
  • the first step is to etch a nozzle region down to the silicon wafer 4320 utilizing an appropriate mast.
  • a 2m layer of polytetrafluoroethylene (PTFE) is deposited and etched so as to include vias eg. 4324 for interconnecting multiple levels.
  • the second level metal layer is deposited, masked and etched so as to form heater structure 4325.
  • the heater structure 4325 including via interconnect 4326 with the lower aluminium layer.
  • a further 2 ⁇ m layer of PTFE is deposited and etched to the depth of 1 ⁇ m utilizing a nozzle rim mask so as to form nozzle rim eg. 4328 in addition to ink flow guide rails eg. 4329 which generally restrain any wicking along the surface of the PTFE layer.
  • the guide rails eg. 4329 surround small thin slots and, as such, surface tension effects are a lot higher around these slots which in turn results in minimal outflow of ink during operation.
  • the PTFE is etched utilizing a nozzle and paddle mask so as to define nozzle portion 4330 and slots eg. 4331 and 4332.
  • the wafer is crystal calligraphically etched on the ⁇ 111 > plane utilizing a standard crystallographic etchant such as KOH.
  • the etching forms chamber 4332, directly below the ink ejection nozzle.
  • the ink supply channel 4334 can be etched from the back of the wafer utilizing a highly anisotropic etcher such as the STS etcher from Silicon Technology Systems of United Kingdom.
  • an array of ink jet nozzles can be formed simultaneously with a portion of an array 4336 being illustrated in Fig. 628 with a portion of the printhead being formed simultaneously and diced by the ST etch etching process.
  • the array 4336 shown provides for four column printing with each separate column attached to a different colour ink supply channel being supplied from the back of the wafer.
  • the bond pads 4337 provide for electrical control of the ejection mechanism.
  • ink is ejected out of a nozzle chamber via an ink ejection hole as the result of the utilisation of a series of radially placed thermal actuator devices that are arranged around the ink ejection nozzle and are activated so as to compress the ink within the nozzle chamber thereby causing ink ejection.
  • Fig. 638 illustrates a single nozzle chamber arrangement 4401 when it is in its quiescent state.
  • the arrangement 4401 includes a nozzle chamber 4402 which is normally filled with ink so as to form a meniscus 4403 around an ink ejection nozzle 4404.
  • the nozzle chamber 4402 is formed within a wafer 4405.
  • the nozzle chamber 4402 supplied from an ink supply channel 4406 which can be etched through the wafer 4405 through the utilisation of a highly isotropic plasma etching system.
  • a suitable etcher can be the Advance Silicon Etch (ASE) system available from Surface Technology Systems of the United Kingdom.
  • the top of the nozzle chamber arrangement 4401 includes a series of radially placed thermoactuator devices e.g. 4408, 4409. These devices comprise polytetrafluoroethylene (PTFE) layer actuators having an internal serpentine copper core. Upon heating of the copper core, the surrounding PTFE expands rapidly resulting in a generally downward movement of the actuator 4408, 4409. Hence, when it is desired to eject ink from the ink ejection nozzle 4404, a current is passed through the actuators 4408, 4409 which results in them generally rapidly bending downwards as illustrated in Fig. 639. The downward bending movement of actuators 4408, 4409 results in a substantial increase in pressure within the nozzle chamber 4402. The rapid increase in pressure in nozzle chamber 4402, in turn results in a rapid expansion of the meniscus 4403 as illustrated in Fig. 639.
  • PTFE polytetrafluoroethylene
  • the actuators are turned on for a limited time only and subsequently deactivated. A short time later the situation is as illustrated in Fig. 640 with the actuators 4408, 4409 rapidly returning to their original positions.
  • the necking and breaking of the meniscus is a consequence of the forward momentum of the ink associated with drop 4412 and the backward pressure experienced as a result of the return of the actuators 4408, 4409 to their original positions.
  • the return of the actuator also results in a general inflow of ink 4406 from the supply channel as a result of surface tension effects and, eventually, the state returns to the quiescent position as illustrated in Fig. 638.
  • Fig. 641(a) and Fig. 641(b) illustrate the principle of operation of the thermal actuator.
  • the thermal actuator is preferably constructed from a material 4414 having a high coefficient of thermal expansion.
  • Embedded within the material 4414 is a series of heater elements e.g. 4415 which can be a series of conductive elements designed to carry a current.
  • the conductive elements 4415 are heated by means of passing a current through the elements with the heating resulting in a general increase in temperature in the area around the heating elements.
  • the increase in temperature causes a corresponding expansion of the PTFE which has a high coefficient of thermal expansion.
  • the PTFE is bent generally in a down direction.
  • Fig. 642 there is illustrated a side perspective view of one nozzle arrangement constructed in accordance with the principles previously outlined.
  • the nozzle chamber 4402 can be constructed by means of an isotropic surface etch of the wafer surface 4405.
  • the wafer surface 4405 can include a CMOS layer including all the required power and drive circuits.
  • a series of leaf or petal type actuators e.g. 4408, 4409 are provided each having an internal copper core e.g. 4417 which winds in a serpentine nature so as to provide for substantially unhindered expansion of the actuator device.
  • the operation of the actuator is similar to that as illustrated in Fig. 641(a) and Fig. 641(b) such that, upon activation, the petals e.g.
  • the ink supply channel 4406 can be created via a deep silicon back edge of the wafer utilising a plasma etcher or the like.
  • the copper or aluminium coil e.g. 4417 can provide a complete circuit around each petal.
  • a central arm 4418 which can include both metal and PTFE portions provides the main structural support for the petal arrangement in addition to providing a current trace for the conductive heaters.
  • the device is preferably constructed utilising microelectromechanical (MEMS) techniques and can include the following construction techniques:
  • the initial processing starting material is a standard semi-conductor wafer 4420 have a complete CMOS level 4421 to the first level metal step.
  • the first level metal includes portions eg. 4422 which are utilized for providing power to the thermal actuator.
  • the first step is to etch a nozzle region down to the silicon wafer 4420 utilizing an appropriate mast.
  • a 2 micron layer of polytetrafluoroethylene (PTFE) is deposited and etched so as to include vias eg. 4424 for interconnecting multiple levels.
  • PTFE polytetrafluoroethylene
  • the second level metal layer is deposited, masked and etched so as to form heater structure 4425.
  • the heater structure 4425 including via interconnect 4426 with the lower aluminium layer.
  • a further 2 ⁇ m layer of PTFE is deposited and etched to the depth of 1 ⁇ m utilizing a nozzle rim mask so as to form nozzle rim eg. 4428 in addition to ink flow guide rails eg. 4429 which generally restrain any wicking along the surface of the PTFE layer.
  • the guide rails eg. 4429 surround small thin slots and, as such, surface tension effects are a lot higher around these slots which in turn results in minimal outflow of ink during operation.
  • the PTFE is etched utilizing a nozzle and paddle mask so as to define nozzle portion 4430 and slots eg. 4431 and 4432.
  • the wafer is crystal calligraphically etched on the ⁇ 111 > plane utilizing a standard crystallographic etchant such as KOH.
  • the etching forms chamber 4432, directly below the ink ejection nozzle.
  • the ink supply channel 4434 can be etched from the back of the wafer utilizing a highly anisotropic etcher such as the STS etcher from Silicon Technology Systems of United Kingdom.
  • an array of ink jet nozzles can be formed simultaneously with a portion of an array 4436 being illustrated in Fig. 651 with a portion of the printhead being formed simultaneously and diced by the ST etch etching process.
  • the array 4436 shown provides for four column printing with each separate column attached to a different colour ink supply channel being supplied from the back of the wafer.
  • the bond pads 4437 provide for electrical control of the ejection mechanism.
  • An embodiment of the present invention discloses an inkjet printing device made up of a series of nozzle arrangements.
  • Each nozzle arrangement includes a thermal surface actuator device which includes an L-shaped cross sectional profile and an air breathing edge such that actuation of the paddle actuator results in a drop being ejected from a nozzle utilizing a very low energy level.
  • Fig. 661 there is illustrated schematically a sectional view of a single nozzle arrangement 4501 which includes an ink nozzle chamber 4502 containing an ink supply which is resupplied by means of an ink supply channel 4503.
  • a nozzle rim 4504 is provided, across which a meniscus 4505 forms, with a slight bulge when in the quiescent state.
  • a bend actuator device 4507 is formed on the top surface of the nozzle chamber and includes a side arm 4508 which runs generally parallel to the surface 4509 of the nozzle chamber wall so as to form an "air breathing slot" 4510 which assists in the low energy actuation of the bend actuator 4507.
  • the front surface of the bend actuator 4507 is hydrophobic such that a meniscus 4512 forms between the bend actuator 4507 and the surface 4509 leaving an air pocket in slot 4510.
  • the bend actuator 4507 When it is desired to eject a drop via the nozzle rim 4504, the bend actuator 4507 is actuated so as to rapidly bend down as illustrated in Fig. 662.
  • the rapid downward movement of the actuator 4507 results in a general increase in pressure of the ink within the nozzle chamber 4502. This results in a outflow of ink around the nozzle rim 4504 and a general bulging of the meniscus 4505.
  • the meniscus 4512 undergoes a low amount of movement.
  • the actuator device 4507 is then turned off so as to slowly return to its original position as illustrated in Fig. 663.
  • the return of the actuator 4507 to its original position results in a reduction in the pressure within the nozzle chamber 4502 which results in a general back flow of ink into the nozzle chamber 4502.
  • the forward momentum of the ink outside the nozzle chamber in addition to the back flow of ink 4515 results in a general necking and breaking off of the drop 4514.
  • Surface tension effects then draw further ink into the nozzle chamber via ink supply channel 4503. Ink is drawn in the nozzle chamber 4503 until the quiescent position of Fig. 661 is again achieved.
  • the actuator device 4507 can be a thermal actuator which is heated by means of passing a current through a conductive core.
  • the thermal actuator is provided with a conductive core encased in a material such as polytetrafluoroethylene which has a high level coefficient of expansion.
  • the conductive core 4523 is preferably of a serpentine form and encased within a material 4524 having a high coefficient of thermal expansion.
  • the material 4524 expands to a greater extent and is therefore caused to bend down in accordance with requirements.
  • Fig. 665 there is illustrated a side perspective view, partly in section, of a single nozzle arrangement when in the state as described with reference to Fig. 662.
  • the nozzle arrangement 4501 can be formed in practice on a semiconductor wafer 4520 utilizing standard MEMS techniques.
  • the silicon wafer 4520 preferably is processed so as to include a CMOS layer 4521 which can include the relevant electrical circuitry required for the full control of a series of nozzle arrangements 4501 formed so as to form a print head unit.
  • CMOS layer 4521 On top of the CMOS layer 4521 is formed a glass layer 4522 and an actuator 4507 which is driven by means of passing a current through a serpentine copper coil 4523 which is encased in the upper portions of a polytetrafluoroethylene (PTFE) layer 4524.
  • PTFE polytetrafluoroethylene
  • the coil 4523 constructed in a serpentine nature is able to expand substantially with the expansion of the PTFE layer 4524.
  • the PTFE layer 4524 includes a lip portion 4508 which upon expansion, bends in a scooping motion as previously described.
  • the meniscus 4505 generally bulges and results in a consequential ejection of a drop of ink.
  • the nozzle chamber 4504 is later replenished by means of surface tension effects in drawing ink through an ink supply channel 4503 which is etched through the wafer through the utilization of a highly an isotropic silicon trench etcher.
  • ink can be supplied to the back surface of the wafer and ejected by means of actuation of the actuator 4507.
  • the gap between the side arm 4508 and chamber wall 4509 allows for a substantial breathing effect which results in a low level of energy being required for drop ejection.
  • a large number of arrangements 4501 of Fig. 665 can be formed together on a wafer with the arrangements being collected into print heads which can be of various sizes in accordance with requirements.
  • Fig. 666 there is illustrated one form of an array 4530 which is designed so as to provide three colour printing with each colour providing two spaced apart rows of nozzle arrangements 4534.
  • the three groupings can comprise groupings 4531, 4532 and 4533 with each grouping supplied with a separate ink colour so as to provide for full colour printing capability.
  • a series of bond pads e.g. 4536 are provided for TAB bonding control signals to the print head 4530.
  • the arrangement 4530 of Fig. 666 illustrates only a portion of a print head which can be of a length as determined by requirements.
  • thermal actuator construction could be used and there will now be described one form of more complex thermal actuator construction of general use in MEMS devices such as ink jet printers.
  • Fig. 678 there are illustrated 4 MEMS actuators 4520, 4521, 4522, 4523 as constructed in accordance with a further embodiment.
  • Fig. 679 there is illustrated a close-up perspective view, partly in section, of a single thermal actuator constructed in accordance with the further embodiment.
  • Each actuator, e.g. 4520 is based around three corrugated heat elements 4511, 4512 and 4513 which are interconnected 4514 to a cooler common current carrying line 4516.
  • the two heater elements 4511, 4512 are formed on a bottom layer of the actuator 4520 with the heater element 4513 and common line 4516 being formed on a top layer of the actuator 4520.
  • Each of the elements 4511, 4512, 4513, 4514 and 4516 can be formed from copper via means of deposition utilising semi-conductor fabrication techniques.
  • the lines 4511, 4512, 4513, 4514 and 4516 are "encased" inside a polytetrafluoroethylene (PTFE) layer, e.g. 4518 which has a high coefficient of thermal expansion.
  • the PTFE layer has a coefficient of thermal expansion which is much greater than that of the corresponding copper layers 4512, 4513, 4514 and 4516.
  • the heater elements 4511-4513 are therefore constructed in a serpentine manner so as to allow the concertinaing of the heater elements upon heating and cooling so as to allow for their expansion substantially with the expansion of the PTFE layer 4518.
  • the common line 4516 also constructed from copper is provided with a series of slots, e.g. 4519 which provide minimal concertinaing but allow the common layer 16 bend upwards and sideways when required.
  • the actuator e.g. 4520
  • the actuator can be operated in a number of different modes.
  • the bottom two heater elements 4511 and 4512 (Fig. 679) are activated. This causes the bottom portion of the polytetrafluoroethylene layer 4518 (Fig. 679) to expand rapidly while the top portion of the polytetrafluoroethylene layer 4518 (Fig. 679) remains cool.
  • the resultant forces are resolved by an upwards bending of the actuator 4520 as illustrated in Fig. 678.
  • a second operating mode as illustrated in Fig. 678, the two heaters 4512, 4513 (Fig. 679) are activated causing an expansion of the PTFE layer 4518 (Fig. 679) on one side while the other side remains cool.
  • the resulting expansion provides for a movement of the actuator 4520 to one side as illustrated in Fig. 678.
  • Fig. 680 there is provided a further form of movement this time being up and to a side.
  • This form of movement is activated by heating each of the resistive elements 4511-4513 (Fig. 679) which is resolved a movement of the actuator 4520 up and to the side.
  • the position of the end point 4530 of the actuator 4520 (Fig. 678) can be fully controlled.
  • the PTFE portion 4518 is extended beyond the copper interconnect 4514 so as to provide a generally useful end portion 4530 for movement of objects to the like.
  • Fig. 681 there is illustrated an explosive perspective view of the construction of a single actuator.
  • the actuator can be constructed utilising semi-conductor fabrication techniques and can be constructed on a wafer 4542 or other form of substrate.
  • a sacrificial etch layer to form an underside portion utilising a mask shape of a actuator device.
  • a first layer of PTFE layer 4564 is deposited followed by the bottom level copper heater level 4545 forming the bottom two heaters.
  • a second copper layer 4548 is provided for the top heater and common line with interconnection 4514 to the bottom copper layer.
  • a further polytetrafluoroethylene layer of layer 4544 with the depositing of polytetrafluoroethylene layer 4544 including the filling of the gaps, e.g. 4549 in the return common line of the copper layer.
  • the filling of the gaps allows for a significant reduction in the possibilities of laminar separation of the polytetrafluoroethylene layers from the copper layer.
  • the two copper layers also allow the routing of current drive lines to each actuator.
  • an array of actuators could be formed on a single wafer and activated together so as to move an object placed near the array.
  • Each actuator in the array can then be utilised to provide a circular motion of its end tip.
  • the actuator can be in a rest position and then moved to a side position as illustrated for actuator 4520 in Fig. 678 then moved to an elevated side position as illustrated in Fig. 680 thereby engaging the object to be moved.
  • the actuator can then be moved to nearly an elevated position as shown for actuator 4520 in Fig. 678. This resulting in a corresponding force being applied to the object to be moved.
  • the actuator is returned to its rest position and the cycle begins again.
  • an object can be made to move in accordance with requirements.
  • the reverse cycle can be utilised to move an object in the opposite direction.
  • an array of actuators are utilised thereby forming the equivalent of a cilia array of actuators.
  • Multiple cilia arrays can then be formed on a single semi-conductor wafer which is later diced into separate cilia arrays.
  • the actuators on each cilia array are divided into groups with adjacent actuators being in different groups.
  • the cilia array can then be driven in four phases with one in four actuators pushing the object to be moved in each portion of the phase cycle.
  • the cilia arrays can then be utilised to move an object, for example to move a card past an information sensing device in a controlled manner for reading information stored on the card.
  • the cilia arrays can be utilised to move printing media past a printing head in an ink jet printing device.
  • the cilia arrays can be utilised for manipulating means in the field of nano technology, for example in atomic force microscopy (AFM).
  • AFM atomic force microscopy
  • the PTFE end 4520 is preferably treated by means of an ammonia plasma etch so as to increase the coefficient of friction of the end portion.
  • the presently disclosed ink jet printing technology is potentially suited to a wide range of printing system including: colour and monochrome office printers, short run digital printers, high speed digital printers, offset press supplemental printers, low cost scanning printers high speed pagewidth printers, notebook computers with inbuilt pagewidth printers, portable colour and monochrome printers, colour and monochrome copiers, colour and monochrome facsimile machines, combined printer, facsimile and copying machines, label printers, large format plotters, photograph copiers, printers for digital photographic "minilabs", video printers, PhotoCD printers, portable printers for PDAs, wallpaper printers, indoor sign printers, billboard printers, fabric printers, camera printers and fault tolerant commercial printer arrays.
  • the embodiments of the invention use an ink jet printer type device. Of course many different devices could be used. However presently popular ink jet printing technologies are unlikely to be suitable.
  • thermal inkjet The most significant problem with thermal inkjet is power consumption. This is approximately 100 times that required for high speed, and stems from the energy-inefficient means of drop ejection. This involves the rapid boiling of water to produce a vapor bubble which expels the ink. Water has a very high heat capacity, and must be superheated in thermal inkjet applications. This leads to an efficiency of around 0.02%, from electricity input to drop momentum (and increased surface area) out.
  • piezoelectric inkjet The most significant problem with piezoelectric inkjet is size and cost. Piezoelectric crystals have a very small deflection at reasonable drive voltages, and therefore require a large area for each nozzle. Also, each piezoelectric actuator must be connected to its drive circuit on a separate substrate. This is not a significant problem at the current limit of around 300 nozzles per print head, but is a major impediment to the fabrication of pagewide print heads with 19,200 nozzles.
  • the inkjet technologies used meet the stringent requirements of in-camera digital color printing and other high quality, high speed, low cost printing applications.
  • new inkjet technologies have been created.
  • the target features include:
  • inkjet designs shown here are suitable for a wide range of digital printing systems, from battery powered one-time use digital cameras, through to desktop and network printers, and through to commercial printing systems.
  • the print head is designed to be a monolithic 0.5 micron CMOS chip with MEMS post processing.
  • the print head is 100 mm long, with a width which depends upon the inkjet type.
  • the smallest print head designed is IJ38, which is 0.35 mm wide, giving a chip area of 35 square mm.
  • the print heads each contain 19,200 nozzles plus data and control circuitry.
  • Ink is supplied to the back of the print head by injection molded plastic ink channels.
  • the molding requires 50 micron features, which can be created using a lithographically micromachined insert in a standard injection molding tool.
  • Ink flows through holes etched through the wafer to the nozzle chambers fabricated on the front surface of the wafer.
  • the print head is connected to the camera circuitry by tape automated bonding.

Abstract

An inkjet nozzle arrangement is provided. The arrangement comprises a nozzle chamber having an ink ejection port defined in a wall of the nozzle chamber, an ink supply channel for the supply of ink to the nozzle chamber, and an actuator located in the nozzle chamber, between the ink supply channel and the ink ejection port. The actuator is adapted to cause ejection of ink from the nozzle chamber upon activation.

Description

    Field of Invention
  • The present invention relates to the field of ink jet printing systems.
  • Background of the Art
  • Many different types of printing have been invented, a large number of which are presently in use. The known forms of print have a variety of methods for marking the print media with a relevant marking media. Commonly used forms of printing include offset printing, laser printing and copying devices, dot matrix type impact printers, thermal paper printers, film recorders, thermal wax printers, dye sublimation printers and ink jet printers both of the drop on demand and continuous flow type. Each type of printer has its own advantages and problems when considering cost, speed, quality, reliability, simplicity of construction and operation etc.
  • In recent years, the field of ink jet printing, wherein each individual pixel of ink is derived from one or more ink nozzles has become increasingly popular primarily due to its inexpensive and versatile nature.
  • Many different techniques of ink jet printing have been invented. For a survey of the field, reference is made to an article by J Moore, "Non-Impact Printing: Introduction and Historical Perspective", Output Hard Copy Devices, Editors R Dubeck and S Sherr, pages 207 - 220 (1988).
  • Ink Jet printers themselves come in many different types. The utilisation of a continuous stream ink in ink jet printing appears to date back to at least 1929 wherein US Patent No. 1941001 by Hansell discloses a simple form of continuous stream electro-static ink jet printing.
  • US Patent 3596275 by Sweet also discloses a process of a continuous ink jet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilised by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
  • Piezo-electric ink jet printers are also one form of commonly utilised ink jet printing device. Piezo-electric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilises a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezo electric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezo-electric operation, Howkins in US Patent No. 4459601 discloses a Piezo electric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a sheer mode type of piezo-electric transducer element.
  • Recently, thermal ink jet printing has become an extremely popular form of ink jet printing. The ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media. Printing devices utilising the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.
  • As can be seen from the foregoing, many different types of printing technologies are available. Ideally, a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
  • Many ink jet printing mechanisms are known. Unfortunately, in mass production techniques, the production of ink jet heads is quite difficult. For example, often, the orifice or nozzle plate is constructed separately from the ink supply and ink ejection mechanism and bonded to the mechanism at a later stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)). These separate material processing steps required in handling such precision devices often adds a substantially expense in manufacturing.
  • Additionally, side shooting ink jet technologies (U.S. Patent No. 4,899,181) are often used but again, this limit the amount of mass production throughput given any particular capital investment.
  • Additionally, more esoteric techniques are also often utilised. These can include electroforming of nickel stage (Hewlett-Packard Journal, Vol. 36 no 5, pp33-37 (1985)), electro-discharge machining, laser ablation (U.S. Patent No. 5,208,604), micro-punching, etc.
  • The utilisation of the above techniques is likely to add substantial expense to the mass production of ink jet print heads and therefore add substantially to their final cost.
  • It would therefore be desirable if an efficient system for the mass production of ink jet print heads could be developed.
  • Further, during the construction of micro electromechanical systems, it is common to utilize a sacrificial material to build up a mechanical system, within the sacrificial material being subsequently etched away so as to release the required mechanical structure. For example, a suitable common sacrificial material includes silicon dioxide which can be etched away in hydrofluoric acid. MEMS devices are often constructed on silicon wafers having integral electronics such as, for example, using a multi-level metal CMOS layer. Unfortunately, the CMOS process includes the construction of multiple layers which may include the utilization of materials which can be attacked by the sacrificial etchant. This often necessitates the construction of passivation layers using extra processing steps so as to protect other layers from possible unwanted attack by a sacrificial etchant.
  • In micro-electro mechanical system, it is often necessary to provide for the movement of objects. In particular, it is often necessary to pivot objects in addition to providing for fulcrum arrangements where a first movement of one end of the fulcrum is translated into a corresponding measurement of a second end of the fulcrum. Obviously, such arrangements are often fundamental to mechanical apparatuses.
  • Further, When constructing large integrated circuits or micro-electro mechanical systems, it is often necessary to interconnect a large number of wire to the final integrated circuit device. To this end, normally, a large number of bond pads are provided on the surface of a chip for the attachment of wires thereto. With the utilization of bond pads normally certain minimal spacings are utilized in accordance with the design technologies utilised. Where are large number of interconnects are required, an excessive amount of on chip real estate is required for providing bond pads. It is therefore desirable to minimize the amount of real estate provided for bond pads whilst ensuring the highest degree of accuracy of registration for automated attachment of interconnects such as a tape automated bonding (TAB) to the surface of a device.
  • Summary of the invention
  • The present invention relates to ink jet printing and in particular, discloses a new form of ink jet printer which utilises a planar thermoelastic bend actuator to eject ink from a nozzle chamber.
  • In accordance with a first aspect of the present invention an ink jet nozzle is provided comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port. Further, the thermal actuator comprises a lower planar surface constructed from a highly conductive material interconnected to an upper planar material constructed from an electrically resistive material such that upon passing a current between the planar surface, the thermal actuator is caused to bend towards the ink ejection port so as to thereby cause the ejection of ink from the ink ejection port. The actuator is attached to a substrate and further includes a stiff paddle portion which increases the degree of bending of the actuator near the point where it is attached to the substrate. Preferably, the stiff paddle is formed of silicon nitride. Advantageously, the actuator further includes an expansion coating having a high coefficient of thermal expansion on top of the upper planar surface so as to increase the amount of bending of the actuator. The expansion coating can comprise substantially polytetrafluoroethylene. Between the upper and lower planar surfaces there is provided a gap, constructed through the utilisation of a sacrificial material which is deposited and subsequently etched away so as to leave the gap. Further, the upper planar surface includes a plurality of etchant holes provided to allow a more rapid etching of the sacrificial layer during construction. Advantageously, the upper planar surface of the actuator comprises substantially Indium Tin Oxide (ITO) whereas the lower planar surface of the actuator comprises substantially a metal layer. Both surfaces are further coated with a passivation material as required. The ink jet nozzle construction can be formed on a silicon wafer utilising micro-electro mechanical systems construction techniques.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle chamber having an ink ejection port in one wall of the chamber and an ink supply source interconnected to the chamber. The ink jet nozzle chamber can comprise two actuators the first actuator for ejecting ink from the ink ejection port and a second actuator for pumping ink into the chamber from the ink supply source after the first actuator has caused the ejection of ink from the nozzle chamber. The actuators can utilize thermal bending caused by a conductive heater element encased within a material having a high coefficient of thermal expansion whereby the actuators operate by means of electrical heating by the heater elements. The heater elements can be of serpentine form and concertinaed upon heating so as to allow substantially unhindered expansion of said actuation material during heating. The first actuator is arranged substantially opposite the ink ejection port and both actuators form segments of the nozzle chamber wall opposite the ink ejection port and between the nozzle chamber and the ink supply source. The method for driving the actuators for the ejection of ink from the ink ejection port comprises utilizing the first actuator to eject ink from the ejection port and utilizing the second actuator to pump ink towards the ink ejection port so as to rapidly refill the nozzle chamber around the area of the ink ejection port. The method for driving the actuators can comprise the following steps:
    • (a) activating the first actuator to eject ink from the ink ejection port;
    • (b) deactivating the first actuator so as to cause a portion of the ejected ink to break off from a main body of ink within the nozzle chamber;
    • (c) activation of the second actuator to pump ink towards the ink ejection port so as to rapidly refill the nozzle chamber around the area of the ink ejection port;
    • (d) activating the first actuator to eject ink from the ink ejection port whilst simultaneously deactivating the second actuator so as to return to its quiescent position; or otherwise
    • (e) deactivating the second actuator to return to its quiescent position.
  • The material of the two actuators having a high coefficient of thermal expansion can comprise substantially polytetrafluoroethylene and the surface of the actuators are treated to make them hydrophilic. Preferably, the heater material embedded in the thermal actuators comprises substantially copper. Further, the actuators are formed by utilization of a sacrificial material layer which is etched away to release the actuators. The ink jet nozzle chamber can be formed from crystallographic etching of a silicon substrate. Further, the thermal actuators are attached to a substrate at one end and the heating of the actuators is primarily near the attached end of the devices. The ink jet nozzle is preferably constructed via fabrication from a silicon wafer utilizing semiconductor fabrication techniques.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle comprising an ink ejection port for the ejection of ink, an ink supply with an oscillating ink pressure interconnected to the ink ejection port, a shutter mechanism interconnected between the ink supply and the ink ejection port, which blocks the ink ejection port, and an actuator mechanism for moving the shutter mechanism on demand away from the ink ejection port so as to allow for the ejection of ink on demand from the ink ejection port.
  • Further, the actuator can comprise a thermal actuator which is activated by the heating of one side of the actuator. Preferably the actuator has a coiled form and is uncoiled upon heating. The actuator can include a serpentine heater element encased in a material having a high coefficient of thermal expansion. The serpentine heater can concertina upon heating. Advantageously, the actuator includes a thick return trace for the serpentine heater element. The material in which the serpentine heater element is encased can comprise polytetrafluoro-ethylene. The actuator can be formed within a nozzle chamber which is formed on a silicon wafer and ink is supplied to the ejection port through channels etched through the silicon wafer.
  • In accordance with a further aspect of the present invention an ink jet nozzle is provided comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply under a varying pressure interconnected to the nozzle chamber, and a shutter means located between the nozzle chamber and the ink supply source, which is activated on demand to allow ink to pass through the shutter means and to thereby cause ink to be ejected from the nozzle chamber. Further, the shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate.
  • The actuation means can comprise a serpentine conductive material encased within an expansive material having a high coefficient of thermal expansion such that, upon heating of the serpentine conductive material, the material concertinas so as to expand at a similar rate to the expansive material. Preferably the expansive material comprises substantially polytetrafluoroethylene, and, preferably, the serpentine conductive material comprises substantially copper. The buckling of the actuator can be between stable end connector portions constructed from the conductive material. In its quiescent state the shutter means is closed.
  • Advantageously the ink supply source includes an ink supply channel interconnecting the shutter means by means of a through hole etching of the silicon wafer. The through hole etching is produced preferably by high density low pressure plasma etching of the silicon wafer. Further the ink supply source is driven with a substantially oscillating ink pressure.
  • In accordance with a further aspect of the present invention, there is provided a method of ejecting ink from a nozzle chamber in an ink jet nozzle that comprises a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber, which includes an ink supply under varying pressure, and a shutter means located between the nozzle chamber and the ink supply source. The shutter is activated on demand to allow ink to pass through the shutter means and the thereby cause ink to be ejected from the nozzle chamber. Preferably the shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate. The method can comprise the following steps:
    • a) activating the shutter to an open position during a high pressure time of the varying pressure so as to cause the ejection of ink from the port;
    • b) driving the pressure to a low pressure state so as to cause drop separation of ejected ink;
    • c) keeping the shutter open during a subsequent high pressure time of the varying pressure sufficient to cause the nozzle chamber to be rapidly refilled;
    • d) closing the shutter upon refill of the nozzle chamber such that the chamber is ready for the subsequent ejection of ink upon subsequent opening of the shutter.
  • In accordance with a further aspect of the present invention, there is provided an ink jet printing device comprising an ink chamber having an oscillating ink pressure, a plurality of nozzle apparatuses in fluid communication with the ink chamber which include a grilled shutter having a first open state permitting the expulsion of ink from the nozzle apparatus and a second closed state substantially restricting the expulsion of ink from the nozzle chamber, and a shutter activation means adapted to drive, on demand, the grilled shutter from a first to a second of these states. Further, the nozzle apparatus can include a locking means adapted to lock the grilled shutter in an open or closed state as required.
  • The method of operating the ink jet printing device of the type in accordance with the present invention can comprise the following steps:
    • opening the grilled shutter during a first high pressure period in the ink chamber;
    • utilizing the high pressure period and a following low pressure period for the expulsion of ink from the nozzle apparatus;
    • utilizing a subsequent high pressure period for the refilling of the nozzle apparatus; and
    • closing the grilled shutter until such time as further ink is required to be expelled from the nozzle apparatus.
  • Preferably, the ink jet printing device has a shutter activation means that comprises a thermocouple device. The thermocouple device can consist of two arms, one arm having a thermal jacket of low thermal conductivity. Advantageously, the arm having the thermal jacket includes a thinned portion adapted to increase the travel of the thermocouple upon activation.
  • In the ink jet printing device constructed in accordance with this aspect of the present invention, both the magnitude and frequency of the oscillating ink pressure in the ink chamber can be altered. Preferably, the size and period of each cycle can be scaled in accordance with such pre-calculated factors such as the number of nozzles ejecting ink and the pressure requirements for nozzle refill with different inks.
  • In accordance with a further aspect of the present invention there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber and a thermal actuator unit activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator unit comprises a plurality of the thermal actuator petal devices arranged around a central stem so that upon activation of the thermal actuator petal devices, the devices bend in unison, thereby initiating the ejection of ink from the nozzle chamber. Preferably the thermal actuator unit is located opposite the ink ejection port and the petal devices bent generally in the direction of the ink ejection port. The thermal actuator petal devices can comprise a first material having a high coefficient of thermal expansion surrounding a second material which conducts resistively so as to provide for heating of the first material. Further the second material can be constructed so as to concertina upon expansion of the first material. Advantageously an air bubble forms under the thermal actuator during operation. The first material of the thermal actuator petal can comprise substantially polytetrafluoroethylene, and the second material can comprise substantially copper. Upon activation of the thermal actuator unit, the space between adjacent petal devices is reduced. Advantageously the actuator petal devices are attached to a substrate and the heating of the petal devices is primarily near the attached end of the device. Further, the outer surface of the ink chamber can include a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • In accordance with a further aspect of the present invention, there is provided an ink jet printing device comprising an ink chamber containing ink subject to a periodic pressure variation, at least one ink jet nozzle apparatus which comprises a nozzle chamber having an aperture for the ejection of ink, a moveable shutter having a closed position covering the nozzle chamber and an open position allowing the nozzle chamber to be in fluid communication with the ink chamber and an actuation means responsive to a control signal and adapted to move the moveable shutter from a first of the positions to a second position upon activation of the control signal.
  • Preferably the first position is the closed position and the second position is the open position. The actuator means can comprise a coiled thermal actuator, which is actuated via one of differing resistivities, differing cross-sectional areas, differing thermal expansion or differing thermal conductivities in the thermal actuator. Advantageously, the periodic pressure variation in the ink jet printing device is derived from an ultrasonic transducer in fluid communication with the ink in the ink chamber.
  • In accordance with a further aspect of the present invention there is provided a method of ejecting ink from a nozzle chamber in fluid communication with an ink reservoir, having a shutter controlling the flow of ink from the ink reservoir to the nozzle chamber, which comprises the steps of:
    • a) applying a periodic pressure wave to the ink reservoir, and opening the shutter at a first predetermined time to allow the ejection of ink from the nozzle chamber.
    • b) maintaining the shutter in an open position to allow the ink chamber to refill the nozzle chamber, and closing the shutter upon refilling of the nozzle chamber.
  • Preferably the method of ejecting ink from a nozzle comprises periodic pressure waves including periods of negative pressure within the ink chamber and the shutter remains open during the periods of negative pressure so as to cause separation of ejected ink from the nozzle chamber. The period of negative pressure is followed by a period of positive pressure in which the nozzle chamber is refilled with ink.
  • In accordance with a further aspect of the present invention there is provided an ink jet nozzle arrangement comprising at least one nozzle chamber having an ink ejection port at one wall thereof and a plurality of vane units being adapted to be actuated by actuators and arranged around the ink ejection port. Further, the vane units are adapted to be actuated by the vane actuators so as to pressurise the volume around the ink ejection port so as to cause the ejection of ink from the ink ejection port.
  • Advantageously, the vane actuators each comprise two arms, being an expanding, flexible arm, and a rigid arm. The flexible arm can comprise a conductive heater material encased within an expansion material having a high coefficient of thermal expansion. Further, the conductive heater material in the flexible arm is constructed so as to concertina upon expansion of the expansion material. Advantageously, the heater material is of a serpentine form so as to allow substantially unhindered expansion of the expansion material during heating. The rigid arm of the thermal actuator can include the return trace of the heater and the vane. The vane units are arranged in a circumference around the ink ejection port and operate as an iris around the ink ejection port. Further, the vane units can be of a semi-circular form and each ink jet nozzle can comprise four vane units. The expansion material of the thermal actuators can be substantially comprised of polytetra-fluoroethylene and the conductive heater material can comprise substantially copper.
  • The outer surface of the nozzle chamber can include a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
  • In accordance with a further aspect of the present invention there is provided a thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby the actuator operates via means of electrically heating the heater element of the thermal actuator, wherein the heater element has a corrugated structure so as to improve the thermal distribution of heat from the heater element to the actuation material so as to increase the speed of actuation of the thermal actuator. Further the heater element can be of a serpentine or concertina form so as to allow substantially unhindered expansion of the actuation material during heating. The thermal actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber. Advantageously, both surfaces of the actuator are hydrophilic and the heater material within the actuator can comprise substantially copper. The hydrophilic material can be formed by means of suitable processing a hydrophobic material.
  • In accordance with a further aspect of the current invention, there is provided a thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein the thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of the conductor material has a series of slots or holes so as to allow the actuation material to be integrally joined together so as to reduce the likelihood of delamination of the layers. Advantageously, the portion having a series of slots or holes comprises a stiff structural paddle at one end of the actuator.
  • Further the stiff structural paddle can include a regularly spaced array of holes defined therein.
  • In accordance with a further aspect of the current invention, there is provided an ink jet nozzle comprising the thermal actuator as one wall of an ink chamber, wherein the thermal actuator is attached to a wall of the nozzle chamber, and an ink chamber with an ejection port for the ejection of ink in a wall opposite to the wall formed by the thermal actuator.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator comprising two layers of actuator material having a high coefficient of thermal expansion, a top layer being substantially non conductive and a bottom layer being conductive, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer, which is cooled by the chamber ink. Further, the bottom layer comprises portions being conductive and portions being non-conductive such that a circuit is formed for the heating of the bottom layer through the interaction of the conductive and non-conductive portions. Preferably, the resistive circuit is created having predetermined area of low circuit cross-sectional area so as to produce high levels of heating of the actuators in those areas. Advantageously, the non-conductive portions are formed from the same material as the top layer.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle comprising a nozzle chamber having an ink ejection port in one wall of the chamber, an ink supply source interconnected to the nozzle chamber and a thermal actuator activated to eject ink from the nozzle chamber via the ink ejection port, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer. Further, the bottom of the actuator can have a hydrophobic surface and during operation the hydrophobic surface causes an air bubble to form under the thermal actuator. The bottom surface of the actuator can be air vented so as to reduce the actuation energy required to eject ink from the nozzle chamber. Advantageously, the air venting comprises a series of small holes underneath the actuator, the holes being interconnected to an air supply channel for the supply of air to the back of the actuator. Further, the area around the bottom surface of the actuator can be constructed from hydrophobic material. The holes are of a size such that, during operation, any fluid is retained within the nozzle chamber. Preferably, the actuator is attached at one end to the nozzle chamber and the holes are located near the attached end and the actuator is constructed from polytetrafluoroethylene. Further, the actuator can a bottom layer treated in portions so as to form a conductive material.
  • In accordance with a further aspect of the present invention there is provided an ink jet printing device of the type having at least one nozzle connected to an ink supply and having a buckle plate able to be deformed so as to eject ink on demand from the nozzle. The buckle plate can be constructed from a first material having a high coefficient of thermal expansion and from a second electrically resistive material for heating the buckle plate. Further the second material can have a lower coefficient of thermal expansion than the first material and is constructed in a serpentine manner so as to allow the expansion of the length of the heater means substantially in accordance with the expansion of the first material. Preferably the first material comprises substantially polytetrafluoroethylene and the second material comprises substantially copper. Further, the energy of activation of the buckle plate for the ejection of a drop of ink is less than about 20 microjoules.
  • In accordance with a further aspect of the present invention an ink ejection nozzle arrangement is presented comprising an ink chamber having an ink ejection port, a pivotally mounted paddle wheel with a first plurality of radial paddle wheel vanes and a second plurality of fixed paddle chambers each of which has a corresponding one of the pivotally mounted paddle wheel vanes defining a surface of the paddle chamber such that upon rotation of the paddle wheel, ink within the paddle chambers is pressurised resulting in the ejection of ink through the ejection port.
  • Further, the paddle chambers can include a side wall having a radial component relative to the pivotally mounted paddle wheel. Preferably, the ink ejection port is located above the pivot point of the paddle wheel. The radial components of the paddle chamber's side walls are located substantially on the circumference of the pivotally mounted paddle wheel. Advantageously, the rotation of the paddle wheel is controlled by a thermal actuator. The thermal actuator comprises an internal electrically resistive element and an external jacket around the resistive element, made of a material having a high coefficient of thermal expansion relative to the embedded resistive element. Further, the resistive element can be of a substantially serpentine form, and preferably, the outer jacket comprises substantially polytetrafluoroethylene. The thermal actuator can undergo circumferential expansion relative to the pivotally mounted paddle wheel.
  • In accordance with a further aspect of the present invention, a method is provided to eject ink from an ink jet nozzle interconnected to the ink chamber. The method comprises construction of a series of paddle chambers within the ink chamber, each of which has at least one moveable wall connected to a central pivoting portion activated by an activation means. After substantially filling the ink chamber with ink, utilization of the activation means connected to the moveable walls to reduce the volume in the paddle chambers results in an increased ink pressure within the chambers and consequential ejection of ink from the ink jet nozzle.
  • In accordance with a further aspect of the present invention there is provided an actuated paddle for the movement of liquid within a chamber comprising a first surface having a hydrophobic surface, wherein the paddle defines a cavity between the hydrophobic surface and a wall of the chamber so as to be amendable to the collection of gasses within the cavity, and the paddle is actuated to move the hydrophobic surface away from the wall of the chamber. Further the degree of movement of the actuated paddle is insufficient to substantially disperse gasses within the cavity.
  • Preferably the actuated paddle is thermally actuated by means of a first structure having a low coefficient of thermal expansion and a second structure having a substantially larger coefficient of thermal expansion. The structure having a high coefficient of thermal expansion is located closer to the cavity than the structure having a low coefficient of thermal expansion.
  • Advantageously, the actuated paddle includes a further surface adjacent to the liquid and the structure having a low coefficient of thermal expansion is located closest to the further surface. The structure having the low coefficient of thermal expansion is substantially liquid cooled by the liquid, whereas the structure having the high coefficient of thermal expansion is located substantially in the cavity. Further, the structure having a high coefficient of thermal expansion and the first surface is substantially comprised from polytetrafluoroethylene. The actuated paddle is attached to the chamber wall.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle comprising the actuated paddle located within a nozzle chamber, an ink supply interconnected to the nozzle chamber and an ink ejection portal in one wall opposite the actuated paddle for the ejection of ink.
  • In accordance with a further aspect of the present invention, there is provided a method of ejecting ink from the ink jet nozzle comprising the utilizing the activation of the actuated paddle to eject ink from the nozzle chamber, wherein the activation causes the actuated paddle to move towards the wall of the ink jet nozzle chamber comprising the ink ejection portal.
  • In accordance with the a further aspect of the present invention there is provided a thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby the actuator operates via means of electrically heating the heater element of the thermal actuator wherein the heater element has a corrugated structure so as to improve the thermal distribution of heat from the heater element to the actuation material so as to increase the speed actuation of the thermal actuator. Further the heater element is of a serpentine or concertina form so as to allow substantially unhindered expansion of the actuation material during heating. The thermal actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber. Advantageously, one surface of the actuator is hydrophobic and the other surface is hydrophilic and the heater material within the actuator comprises substantially copper. The hydrophilic material is formed by means of processing the hydrophobic material.
  • In accordance with a further aspect of the present invention, there is provided a thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein the thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of the conductor material has a series of slots or holes so as to allow the actuation material to be integrally joined together so as to reduce the likelihood of delamination of the layers. Advantageously, the portion having a series of slots or holes comprises a stiff structural petal at an end of the actuator.
  • Further the stiff structural petal can include a regularly spaced array of holes defined therein. The thermal vent actuator is attached at one end of a substrate and includes an actuation material having a high coefficient of thermal expansion, and further the actuator comprises a stable clamp on top of the actuator at the end attached to the substrate, which acts to decrease the likelihood of separation of the actuation material from the substrate. Advantageously, the thermal vent actuator is utilized for the ejection of ink from a chamber via an ink nozzle. The stable clamp forms part of a grille structure for the filtering of ink flow into the chamber for subsequent ejection. Preferably the substrate is fabricated from a silicon wafer and the clamp is substantially comprised of silicon-nitride and is formed by means of a sacrificial etching process.
  • In accordance with a further aspect of the present invention there is provided an ink jet print nozzle including a nozzle chamber having an ink ejection port for the ejection of ink defmed in one wall of said nozzle chamber; an ink channel supply means for the supply of ink to the nozzle chamber; and an actuator mechanism located in the nozzle chamber and adapted to be activated so as to cause the ejection of ink from the nozzle chamber, the actuator mechanism including a portion located between said nozzle chamber and the ink channel supply means.
  • Preferably the actuator mechanism comprises a substantially planar thermal actuator and includes a serpentine conductive gold heater element layer encased within an expansive layer such that, upon activation, the thermal actuator is caused to bend towards said ink ejection port so as to cause the expulsion of ink from said nozzle chamber. One surface of the planar thermal actuator can include a portion having a hydrophobic properties such that, during operation, an air bubble is formed between said surface and a wall of said nozzle chamber so as to increase the efficiency of operation of said thermal actuator.
  • The nozzle chamber is preferably formed on a silicon wafer and the ink channel supply means is formed through the deep anisotropic back etching of a silicon wafer. The actuator can be made from polytetrafluroethylene which is normally hydrophobic and which is plasma treated through said ink channel supply means to make it hydrophilic.
  • The nozzle chamber can be formed on a CMOS substrate and can include aluminium portions constructed so as to protect said substrate for sacrificial etching of said CMOS substrate.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle arrangement for the ejection of ink from an nozzle chamber including a nozzle chamber interconnected to an ink supply and having an ink ejection port in one wall thereof; an ejection paddle for the ejection of ink from the ink ejection port; a thermal actuator mechanism attached to an ejection paddle for the actuation of the ejection paddle causing the ejection of ink; wherein the thermal actuator comprises materials having a high Young's modulus which produce a bending motion upon heating thereby causing the ejection paddle to eject ink from the ink ejection port.
  • The thermal actuator can be pivoted so as to increase the degree of travel of the ejection paddle upon actuation of the thermal actuator and can be of a horseshoe shaped form and pivoted substantially around a midpoint. The pivot point can be constructed on a wall of the chamber by means of a thinned membrane, there by allowing the thermal actuator operates in the ambient atmosphere. The nozzle chamber is constructed on a silicon wafer and the ink is supplied through the silicon wafer.
  • The thermal actuator can be constructed from a thin conductive section having a high Young's modulus and a substantially thicker non conductive portion. The thin conductive portion can comprise titanium diboride and the thicker portion can comprise glass.
  • The nozzle chamber walls can include a number of small sacrificial etchant holes for utilization in construction of the arrangement, the holes being of sufficiently small diameter so as to prevent the ejection of ink therefrom. The arrangement can be constructed using micro-electro mechanical systems techniques including a sacrificial etch and the ejection paddle is released in the sacrificial etch to be in a prefiring position.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle arrangement for the ejection of ink from a nozzle chamber comprising a nozzle chamber having a slotted sidewall in a first surface and an ink ejection port along a second surface thereof; an ink supply channel interconnected to the nozzle chamber for the supply of ink to the nozzle chamber; a moveable vane located within the nozzle chamber and being moveable so as to cause the ejection of ink from the nozzle chamber; and an actuator located outside the nozzle chamber and interconnected to the moveable vane through the slotted sidewall.
  • The moveable vane, in its quiescent position, can be located substantially adjacent a first end of the slot and the actuator can be actuated to move the moveable vane from the first end of the slot to a second end of the slot. The actuator can comprise a thermal actuator which is actuated by means of an electric current passed through the thermal actuator resulting in resistive heating of the actuator. The thermal actuator can be constructed of a conductive material having a high Young's modulus and include first and second arms, the first arm having a thinned cross-section relative to the second arm, the first arm undergoing resistive heating to thereby cause the first arm to bend resulting in actuation by the thermal actuator. The arms can be attached to a substrate at one end and the second arm can include a thinned portion at one end thereof adjacent the attachment to the substrate.
  • The actuator device preferably operates in an ambient atmosphere and preferably includes portions of the actuator located adjacent the exterior of the slotted side wall which are coated with a hydrophobic material. Further, the arrangement can be formed on a silicon wafer and the ink supply channel is formed through the etching of a channel through the silicon wafer.
  • In accordance with a further aspect of the present invention, there is provided a thermal actuator activated by means of conductive heating of an electrically conductive material, the actuator comprising: a first non-conductive strip of material attached to a substrate at a first end of the strip and adapted to apply a force to a load at a second end of the strip; a second conductive material formed along one side of the strip, the conductive strip including a first wire portion running from substantially the first end to the second end and a second wire strip running from substantially the second end to the first end, the wire strips being joined together at the second end so as to form a circuit, the wire strips being further connected to a controlled power supply at the first end such that activation of the controlled power supply causes heating of the conductive material so as to actuate the thermal actuator thereby applying a force to the load.
  • Preferably, the strip is in the form of a coil and the second conductive material is formed along a radially inner surface of the strip. The first wire and the second wire can be electrically insulated from one another by a layer of the first non-conductive material. Preferably, the second conductive material comprises a material having a high Young's modulus and the first conductive strip is substantially thicker then the second conductive material. The substrate can comprises an electronic circuitry layer of a silicon wafer, the second conductive material can comprise substantially titanium di-boride and the first non-conductive material can comprise substantially glass.
  • The actuator can be interconnected through a slot in a nozzle chamber to a paddle type device, the nozzle chamber being filled with ink and the actuator being activated to eject ink from a port defined in one wall of the nozzle chamber.
  • In accordance with a further aspect of the present invention, there is provided an ink jet printer having a series of ink ejection nozzle chambers each containing: an ink ejection port defmed in one wall of the chamber for the ejection of ink therefrom; a paddle within the chamber, actuated by an actuator for the ejection of ink from the nozzle chamber via the ink ejection port; a slot defined in a second wall thereof for the communication with the paddle of an actuator device; a thermal actuator activated by means of conductive heating of an electrically conductive material, the actuator comprising a first non-conductive strip of material attached to a substrate at a first end of the strip and adapted to apply a force to a load at a second end of the strip; a second conductive material formed along one side of the strip, the conductive strip including a first wire portion running from substantially the first end to the second end and a second wire strip running from substantially the second end to the first end, the wire strips being joined together at the second end so as to form a circuit, the wire strips being further connected to a controlled power supply at the first end such that activation of the controlled power supply causes heating of the conductive material so as to actuate the thermal actuator thereby applying a force to the load.
  • The nozzle chambers can be formed on a silicon wafer and include a series of ink supply channels etched through the wafer for the supply of ink to the nozzle chamber.
  • In accordance with a further aspect of the present invention, there is provided a fluid ejection apparatus including a trough having side walls and an exposed roof, the trough being substantially filled with fluid; a paddle vane located within the trough and offset from one wall when the paddle vane when in a quiescent position; an actuation mechanism attached to the paddle vane such that, upon activation of the actuation mechanism, the paddle vane is caused to move towards the one wall, resulting in an increase in pressure in the fluid between the one wall and the paddle vane, resulting in a consequential ejection of fluid via the exposed roof.
  • Ideally, the present invention can be utilized in an ink jet printing system.
  • The actuation mechanism can be interconnected to the paddle vane via an arm extending over one edge of the exposed roof and the actuation mechanism can comprise a coiled thermal actuator having a first conductive arm and a second substantially non-conductive arm, the conductive arm expanding upon electrical resistive heating to thereby cause the actuation of the thermal actuator. The first conductive arm can comprise substantially titanium diboride and the second non-conductive arm can comprise substantially silicon nitride. The actuation mechanism can operate in the ambient atmosphere.
  • Preferably, the trough is formed within a silicon wafer and the apparatus further comprises an ink supply channel etched through a back surface of the wafer and interconnecting a bottom surface of the trough for the supply of ink to the trough. The interconnection is preferably between the paddle vane and a second wall of the trough.
  • In accordance with a further aspect of the present invention, there is provided an apparatus for ejecting fluids from a nozzle chamber comprising a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located between the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of a first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • The actuator can comprises a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction. The heater elements preferably have a high bend efficiency wherein the bend efficiency is defined as: bend efficiency = Young’s Modulus × ( Coefficient of Thermal Expansion ) Density × Specific Heat Capacity
    Figure imgb0001
  • The heater elements can be arranged on opposite sides of a central arm, the central arm having a low thermal conductivity.
  • The paddle vane and the actuator can be joined at a fulcrum pivot point, the fulcrum pivot point comprising a thinned portion of the nozzle chamber wall. The actuator can include one end fixed to a substrate and a second end containing a bifurcated tongue having two leaf portions on each end of the bifurcated tongue, the leaf portions interconnecting to a corresponding side of the paddle with the tongue such that, upon actuation of the actuator, one of the leaf portions pulls on the paddle end.
  • The apparatus can further comprise a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber, the connection being in a wall of the chamber substantially adjacent the quiescent position of the paddle vane. The connection can comprise a slot defined in the wall of the chamber, the slot having similar dimensions to a cross-sectional profile of the paddle vane. The central arm can comprise substantially glass.
  • The apparatus is ideally suited for use in the form of ink jet printer. Each fluid ejection aperture preferably includes a rim defined around an outer surface thereof.
  • Preferably, a multiplicity of apparatuses can be arranged such that the fluid ejection apertures are grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of the rows in phases. The nozzle chambers can be further grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • In accordance with a further aspect of the present invention, there is provided a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defmed in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism, the method comprising the steps of actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator causing the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • In accordance with a further aspect of the present invention, there is provided an apparatus for ejecting fluids from a nozzle chamber including a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; and an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • The apparatus can include a baffle located between the first and second fluid ejection apertures such that the paddle vane moving in the first direction causes an increase in pressure of the fluid in the volume adjacent the first aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the second aperture. Further, the paddle vane moving in the second direction can cause an increase in pressure of the fluid in the volume adjacent the second aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the first aperture.
  • The paddle vane and the actuator can be interconnected so as to pivot around a wall of the chamber and the apparatus can further comprise a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber, the connection being in a wall of the chamber substantially adjacent the pivot point of the paddle vane.
  • One wall of the nozzle chamber can include at least one smaller aperture interconnecting the nozzle chamber with an ambient atmosphere, the size of the smaller aperture being of such dimensions that, during normal operation of the apparatus, the net flow of fluid through the smaller aperture is zero.
  • The actuator can comprise a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction. The heater elements preferably have a high bend efficiency wherein the bend efficiency is defined as: bend efficiency = Young’s Modulus × ( Coefficient of Thermal Expansion ) Density × Specific Heat Capacity
    Figure imgb0002
  • The heater elements can be arranged on opposite sides of a central arm, the central arm having a low thermal conductivity. The central arm can comprise substantially glass. The paddle vane and the actuator are preferably joined at a fulcrum pivot point, the fulcrum pivot point comprising a thinned portion of the nozzle chamber wall. The thermal actuator preferably operates in an ambient atmosphere and the thinned portion of the nozzle chamber wall can include a series of slots at opposing sides so as to allow for the flexing of the wall during actuation of the actuator. Preferably, the external surface adjacent the slots comprises a planar or concave surface so as to reduce wicking. The fluid ejection apertures can include a rim defined around an outer surface thereof.
  • Further, the thermal actuator can include one end attached to a substrate and a second end having a thinned portion, the thinned portion providing for the flexible attachment of the actuator to the moveable paddle vane.
  • A large number of fluid ejection apertures can be grouped together spatially into spaced apart rows and fluid ejected from the fluid ejection apertures of each of the rows in phases. The apparatuses can be ideally utilized for ink jet printing with the nozzle chambers further being grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • In accordance with a further aspect of the present invention, there is provided a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism, the method comprising the steps of: actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator to cause the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • An array of nozzle chambers can be arranged in a pagewidth print head and the moveable paddles of each nozzle chamber are driven in phase for the ejection of ink onto a page.
  • In accordance with a further aspect of the present invention, there is provided an apparatus for ejecting fluids from a nozzle chamber comprising: a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; and an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
  • Preferably, the apparatus further comprises a baffle located between the first and second fluid ejection apertures and wherein the paddle vane moving in the first direction causes an increase in pressure of the fluid in the volume adjacent the first aperture and a simultaneous decrease in pressure of the fluid in the volume adjacent the second aperture.
  • Further, the apparatus preferably includes a deepened etched pit below the second fluid ejection aperture, the baffle and end portion of the moveable paddle vane. Also, the apparatus can include a fluid supply channel connecting the nozzle chamber with a fluid supply for supplying fluid to the nozzle chamber and one surface of the paddle vane includes at least one protrusion, such that, during the movement of the paddle in at least one of the directions, the at least one protrusion mates with a rim of the fluid supply channel so as to restrict the flow of fluid into the fluid supply channel. Also, the moveable paddle vane preferably includes a lip on an end portion adjacent the baffle, the lip substantially abutting the surface of the baffle during operation of the moveable paddle vane.
  • The walls of the chamber can include at least one smaller aperture interconnecting the nozzle chamber with the ambient atmosphere and of such a dimension that, during normal operation of the paddle vane, the surface tension effects across the smaller aperture results in the meniscus across the smaller aperture remaining substantially close to the smaller aperture or within the nozzle chamber. Preferably, at least one smaller aperture(s) is substantially adjacent the first one of the fluid ejection apertures such that, whilst ink is ejected from the second fluid ejection aperture, the meniscus of the first fluid ejection aperture and the at least one smaller aperture are interconnected within the nozzle chamber. Preferably, each aperture can include a ribbed rim around the outer surface thereof.
  • The baffle can include a wall surface having portions spaced at a substantially constant radius from the axis of the second fluid ejection aperture.
  • The actuator can comprise a thermal actuator having at least two heater elements with a first of the elements being actuated to cause the paddle vane to move in a first direction and a second heater element being actuated to cause the paddle vane to move in a second direction. Preferably, the heater elements have a high bend efficiency wherein the bend efficiency is defmed as: bend efficiency = Young’s Modulus × ( Coefficient of Thermal Expansion ) Density × Specific Heat Capacity
    Figure imgb0003
  • A suitable material for the heater elements is a copper nickel alloy. The heater elements are preferably arranged on opposite sides of a central arm, the central arm having a low thermal conductivity and the thermal actuator preferably operates in an ambient atmosphere. The central arm can be made from glass.
  • Preferably, the actuator mechanism is interconnected with the moveable paddle vane through a slot in the wall of the nozzle chamber and includes at least one protruding portion for minimizing any wicking of the fluid along the actuator mechanism. The protrusion can comprise a cusped rim on the actuator mechanism. The slot connects the internal portions of the nozzle chamber with an external ambient atmosphere and preferably the external surface adjacent the slots comprises a planar or concave surface so as to reduce wicking.
  • The present invention is suitable for forming an ink jet print head comprising a multiplicity of apparatuses as previously described with the fluid ejection apertures grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of the rows in phases. The nozzle chambers are further grouped into multiple ink colors and with each of the nozzles being supplied with a corresponding ink color.
  • In accordance with a further aspect of the present invention, there is provided a method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of the nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism, the method comprising the steps of: actuating the actuator to cause the moveable paddle to move in a first direction so as to eject drops from a first of the nozzle apertures; and actuating the actuator to cause the moveable paddle to move in a second direction so as to eject drops from a second of the nozzle apertures.
  • The array of nozzle chambers can be arranged in a pagewidth print head and the moveable paddles of each nozzle chamber can be driven in phase.
  • In accordance with a further aspect of the present invention, there is provided an ink jet printing nozzle arrangement including an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber; an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; wherein the paddle vane includes a concave surface in the area adjacent the ink ejection nozzle.
  • Preferably, the paddle vane includes a cup shaped surface in the area adjacent the ink ejection nozzle. The nozzle arrangement can be formed utilizing normal micro-electro mechanical construction techniques and the concave surface can be formed as the result of the deposition of a film over a pit.
  • The actuator means can include an actuating portion located externally to the nozzle chamber and operational in an external ambient atmosphere of the arrangement. The ink chamber can further include a slot defined in a wall thereof such that the actuator means communicates with the moveable paddle vane through the slot.
  • The actuator means can comprise a thermal actuator which includes a conductive heater element having a high bend efficiency such that when an electric current is passed through the conductive heater element, the heater element undergoes thermal expansion causing the actuator means to move the paddle towards the ink ejection nozzle.
  • Preferably, the external surfaces of the slot are profiled so as to minimize any wicking of the ink out of the slot. The profile can include a surface having a protruding rim around the slot and the actuator means can be shaped so as to minimize wicking of ink along the actuator means.
  • Further, preferably, the paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into the ink chamber.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle arrangement comprising a nozzle chamber having an fluid ejection nozzle in one surface of the chamber; a paddle vane located within the chamber, the paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of the chamber via the fluid ejection nozzle; and a thermal actuator device located externally of the nozzle chamber and attached to the paddle vane the thermal actuator device including a plurality of separate spaced apart elongated thermal actuator units.
  • Preferably, the thermal actuator units are interconnected at a first end to a substrate and at a second end to a rigid strut member. The rigid strut member can, in turn, be interconnected to a lever arm having one end attached to the paddle vane. The thermal actuator units can operate upon conductive heating along a conductive trace and the conductive heating includes the generation of a substantial portion of the heat in the area adjacent the first end. The conductive heating trace can include a thinned cross-section adjacent the first end. The heating layers of the thermal actuator units can comprise substantially either a copper nickel alloy or titanium nitride. The paddle can be constructed from a similar conductive material to portions of the thermal actuator units however it is conductively insulated therefrom.
  • Preferably, the thermal actuator units are constructed from multiple layers utilizing a single mask to etch the the multiple layers.
  • The nozzle chamber can include an actuator access port in a second surface of the chamber. The access port can comprise a slot in a corner of the chamber and the actuator is able to move in an arc through the slot. The actuator can include an end portion which mates substantially with a wall of the chamber at substantially right angles to the paddle vane. The paddle vane can include a depressed portion substantially opposite the fluid ejection port.
  • In accordance with a further aspect of the present invention, there is provided a thermal actuator including a series of lever arms attached at one end to a substrate, the thermal actuator being operational as a result of conductive heating of a conductive trace, the conductive trace including a thinned cross-section substantially adjacent the attachment to the substrate.
  • In accordance with a further aspect of the present invention, there is provided an ink jet nozzle arrangement comprising a nozzle chamber having an fluid ejection nozzle in one surface of the chamber; a paddle vane located within the chamber, the paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of the chamber via the fluid ejection nozzle; and a thermal actuator device located externally of the nozzle chamber and attached to the paddle vane.
  • Preferably, the thermal actuator device includes a lever arm having one end attached to the paddle vane and a second end attached to a substrate. The thermal actuator preferably operates upon conductive heating along a conductive trace and the conductive heating includes the generation of a substantial portion of the heat in the area adjacent the second end. The conductive heating preferably occurs along a thinned cross-section adjacent the second end.
  • Preferably, the thermal actuator includes a first and second layer of a material having similar thermal properties such that upon cooling after deposition of the layers, the two layers act against one another so as to maintain the actuator substantially in a predetermined position. The layers can comprise substantially either a copper nickel alloy or titanium nitride.
  • The paddle can be constructed from a similar conductive material to portions of the thermal actuator however it is conductive insulated therefrom.
  • The thermal actuator can be constructed from multiple layers utilizing a single mask to etch the multiple layers.
  • The nozzle chamber preferably includes an actuator access port in a second surface of the chamber which comprises a slot in a corner of the chamber and the actuator is able to move in an arc through the slot. The actuator can include an end portion which mates substantially with a wall of the chamber at substantially right angles to the paddle vane.
  • The paddle vane can includes a depressed portion substantially opposite the fluid ejection port.
  • In accordance with a further aspect of the present invention, there is provided a thermal actuator device including two layers of material having similar thermal properties such that upon cooling after deposition of the layers, the two layers act against one another so as to maintain the actuator substantially in a predetermined position.
  • In accordance with a further aspect of the present invention, there is provided a thermal actuator including a lever arm attached at one end to a substrate, the thermal actuator being operational as a result of conductive heating of a conductive trace, the conductive trace including a thinned cross-section substantially adjacent the attachment to the substrate.
  • In accordance with a first aspect of the present invention, there is provided an ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, the arrangement comprising: a nozzle chamber for the storage of ink to be ejected; an ink ejection nozzle having a rim formed on one wall of the chamber; and a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of the nozzle chamber adjacent the rim, the actuator paddles further being actuated in unison so as to eject ink from the nozzle chamber via the ink ejection nozzle.
  • The actutator paddles can include a surface which bends inwards towards the centre of the nozzle chamber upon actuation. The actuator paddles are preferably actuated by means of a thermal actuator device. The thermal actuator device can comprise a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion. The element can be serpentine shaped to allow for substantially unhindered expansion of the second material. The actuator paddles are preferably arranged radially around the nozzle rim.
  • The actuator paddles can form a membrane between the nozzle chamber and an external atmosphere of the arrangement and the paddles bend away from the external atmosphere so as to cause an increase in pressure within the nozzle chamber thereby initiating a consequential ejection of ink from the nozzle chamber. The paddle actuators can bend towards a central axis of the ejection nozzle.
  • The arrangement can be formed on a wafer utilizing micro-electro mechanical techniques and further can comprise an ink supply channel interconnected to the nozzle chamber, the ink supply channel being etched through the wafer. The ink jet nozzle arrangement can include the ink ejection nozzle supported by a series of struts and the actuator paddles are preferably further interconnected to the nozzle rim and the struts further can include a conductive power rail for supplying power to the actuator paddles.
  • The arrangement can be formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
  • In accordance with a first aspect of the present invention, there is provided an ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, the arrangement comprising: a nozzle chamber for the storage of ink to be ejected; an ink ejection nozzle having a rim formed on one wall of the chamber; and a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of the nozzle chamber adjacent the rim, the actuator paddles further being actuated in unison so as to eject ink from the nozzle chamber via the ink ejection nozzle.
  • The actutator paddles can include a surface which bends inwards towards the centre of the nozzle chamber upon actuation. The actuator paddles are preferably actuated by means of a thermal actuator device. The thermal actuator device can comprise a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion. The element can be serpentine shaped to allow for substantially unhindered expansion of the second material. The actuator paddles are preferably arranged radially around the nozzle rim.
  • The actuator paddles can form a membrane between the nozzle chamber and an external atmosphere of the arrangement and the paddles bend away from the external atmosphere so as to cause an increase in pressure within the nozzle chamber thereby initiating a consequential ejection of ink from the nozzle chamber. The paddle actuators can bend towards a central axis of the ejection nozzle.
  • The arrangement can be formed on a wafer utilizing micro-electro mechanical techniques and further can comprise an ink supply channel interconnected to the nozzle chamber, the ink supply channel being etched through the wafer. The ink jet nozzle arrangement can include the ink ejection nozzle supported by a series of struts and the actuator paddles are preferably further interconnected to the nozzle rim and the struts further can include a conductive power rail for supplying power to the actuator paddles.
  • The arrangement can be formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
  • In accordance with a first aspect of the present invention, there is provided an ink jet nozzle arrangement comprising: a nozzle chamber for storage of ink to be ejected from an ink ejection nozzle formed in one wall of the nozzle chamber; and a moveable paddle actuator mechanism formed in a first wall of the nozzle chamber, one end of the paddle actuator traversing along a second wall of the nozzle chamber, the second wall being substantially perpendicular to the first wall; the one end further including a flange including a surface abutting the second wall, the movable paddle actuator mechanism being operable to cause the ejection of ink from the ink ejection nozzle with the flange moving substantially tangentially to the second wall.
  • The arrangement can further include an ink supply channel interconnected to the nozzle chamber for the resupply of ink to the nozzle chamber, the interconnection comprising a slot in a wall of the chamber, the slot being substantially opposite an end of the flange. The slot can be arranged in a corner of a third wall of the chamber and the second wall of the chamber can further form one wall of the ink supply channel.
  • The arrangement can be formed on a silicon wafer and the ink supply channel can be formed by back etching a back surface of the wafer. The back etching can comprise a plasma etching of the back surface.
  • The moveable paddle actuator, in being actuated to eject a drop of ink, can constricts the flow of ink into the nozzle chamber
  • The arrangement further preferably can include a slot around a substantial portion of the moveable paddle actuator, the slot interconnecting the nozzle chamber with an external ambient atmosphere, the slot being dimensioned to provide for fluid movement during operation of the moveable paddle actuator mechanism so as to minimize the required energy for drop ejection whilst not allowing for the ejection of fluid out of the nozzle chamber.
  • The movable paddle actuator mechanism preferably can includes a thermal actuator for actuation of the mechanism on demand. The thermal actuator can comprise a conductive heater layer between layers of a substantially non-conductive material having a high coefficient of thermal expansion. The conductive heater layer can be arranged in a serpentine form so that, on conductive heating of the conductive heater layer, the conductive heater layer concertinas so as to allow for substantially unhindered expansion of the substantially non-conductive material. The substantially non-conductive material can comprise substantially polytetrafluroethylene.
  • The silicon wafer can be initially processed utilizing a CMOS processing system so as to form the electrical circuitry required to operate the ink jet nozzle arrangement on the silicon wafer.
  • In accordance with a first aspect of the present invention, there is provided a method of manufacturing a planar thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
  • In accordance with a first aspect of the present invention, there is provided a method of manufacturing a pump action refill ink jet printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a first aspect of the present invention, there is provided a method of manufacturing a PTFE surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including forming a moveable paddle element from the combination of the first and second expansion material layers and the first conductive layer; (g) depositing and etching a second sacrificial material layer, the etching forming a nozzle chamber mould; (h) depositing and etching an inert material layer over the sacrificial material layer so as to form a nozzle chamber around the moveable paddle, the etching including etching a nozzle ejection aperture in the inert material layer; (i) etching an ink supply channel through the wafer; and (j) etching away the sacrificial layers.
  • The step (h) preferably can include etching a series of small holes in the inert material layer.
  • The first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride.
  • The ink supply channel can be formed by etching a channel from the back surface of the wafer which can comprise a double side polished CMOS wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with a first aspect of the present invention, there is provided a method of manufacturing a buckle strip grill oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching at least one nozzle shutter ink inlet hole in the electrical circuitry layer; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the at least one nozzle shutter ink inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including forming a shutter element over the at least one nozzle shutter ink inlet hole from the combination of the first and second expansion material layers and the first conductive layer, the shutter element being anchored by the conductive interconnection; (g) depositing and etching a second sacrificial material layer, the etching forming a nozzle chamber mould; (h) depositing and etching an inert material layer over the sacrificial material layer so as to form a nozzle chamber around the moveable paddle, the etching including etching a nozzle ejection aperture in the inert material layer; (i) etching an ink supply channel through the wafer; and (j) etching away the sacrificial layers.
  • The step (h) preferably can include etching a series of small holes in the inert material layer. The first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride. The ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a shutter based print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a curling calyx thermoelastic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a nozzle ink inlet hole in the electrical circuitry layer in addition to a series of vias at predetermined positions interconnecting with the electrical circuitry; (c) depositing and etching a first sacrificial material layer over the electrical circuitry layer including filling the nozzle ink inlet hole, the etching including etching an actuator anchor area in the first sacrificial material layer located around the vias; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer ; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including forming a disk centered substantially around the vias from the combination of the first and second expansion material layers and the first conductive layer; (g) depositing and etching a second sacrificial material layer, the etching forming a nozzle chamber mould; (h) depositing and etching an inert material layer over the sacrificial material layer so as to form a nozzle chamber around the moveable paddle, the etching including etching a nozzle ejection aperture in the inert material layer; (i) etching an ink supply channel through the wafer; and (j) etching away the sacrificial layers.
  • The disk preferably can include a series of radial slots.
  • The step (h) preferably can include etching a series of small holes in the inert material layer and the nozzle chamber preferably can include a lip around the circumference of the disk.
  • The first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially silicon nitride. The ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a thermal actuated ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber cavity in the wafer the etching stopping substantially at the epitaxial layer; (c) deposing and etching a first sacrificial material layer including vias for electrical interconnection of the electrical circuitry layer with subsequent layers; (d) depositing a first expansion layer of material having a high coefficient of thermal expansion over the nozzle chamber; (e) depositing and etching a conductive material layer on the first expansion layer so as to form a heater element conductively interconnected to the electrical circuitry layer; (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over the conductive material layer, the etching including etching at least two leaf portions over the nozzle chamber; (g) back etching the wafer to the epitaxial layer; (h) etching a nozzle aperture in the epitaxial layer; and (o) etching away the sacrificial layers.
  • The epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a plasma etch of the wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing an iris motion ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) depositing and etching a first sacrificial material layer over the electrical circuitry layer including, the etching including etching holes for nozzle chamber posts and actuator anchor points in the first sacrificial material layer located around the vias; (c) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer ; (d) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (e) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including forming a thermal actuator from a combination of the first and second expansion material layers and the first conductive layer; (f) depositing and etching a second sacrificial material layer, the etching forming a mould for a series of nozzle chamber posts and a series of vane elements; (g) depositing and etching a first inert material layer filling the mould; (h) depositing and etching a third sacrificial layer over the second sacrificial layer and the inert material layer, the etching including etching a mould for interconnection of nozzle chamber walls with the series of nozzle chamber posts; (i) depositing and etching a second inert material layer to form the nozzle chamber, the etching an ink ejection nozzle in the second inert material layer; (j) etching an ink supply channel through the wafer interconnecting with the nozzle chamber; and (k) etching away the sacrificial layers.
  • The vane elements are preferably arranged around the ink ejection nozzle.
  • The step (i) preferably can include etching a series of small holes in the inert material layer. Further, the first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially glass.
  • The ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a direct firing thermal bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on; (b) etching a nozzle chamber aperture in the electrical circuitry layer interconnected with a nozzle chamber in the semiconductor wafer; (c) depositing a first sacrificial layer filling the nozzle chamber; (d) depositing and etching a first expansion layer of material having a high coefficient of thermal expansion over the nozzle chamber; (e) depositing and etching a conductive material layer on the first layer to form a conductive heater element over the first expansion layer, the heater element being conductively interconnected to the electrical circuitry layer; (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over at least the conductive material layer, the etching including etching a leaf portion over the nozzle chamber; (g) back etching the wafer to the epitaxial layer; (h) etching a nozzle aperture in the epitaxial layer; and (o) etching away the sacrificial layers.
  • The step (c) further can comprise etching the first expansion layer of material so that it has an undulating surface. The step (d) preferably can include retaining the undulating surface in the conductive heater element.
  • The epitaxial layer can be utilized as an etch stop in the step (b) which can comprise a crystallographic etch of the wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a conductive PTFE bend activator vented ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a buckle plate ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a thermo elastic rotary impeller ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to said substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching a series of vias in the wafer at predetermined positions interconnecting with the electrical circuitry; (c) depositing and etching a first sacrificial material layer, the etching including etching an actuator anchor area in the first sacrificial material layer located around the vias; (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, the etching including etching predetermined vias in the first expansion material layer; (e) depositing and etching a first conductive layer on the first expansion material layer, the first conductive material layer being conductively interconnected to the electrical circuitry layer via the vias; (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, the etching including forming a paddle anchored at the vias from the combination of the first and second expansion material layers and the first conductive layer; (g) depositing and etching a second sacrificial material layer, the etching forming a nozzle chamber mould; (h) depositing and etching an inert material layer over the sacrificial material layer so as to form a nozzle chamber around the moveable paddle, the etching including etching a nozzle ejection aperture in the inert material layer; (i) etching an ink supply channel through the wafer; and (j) etching away the sacrificial layers.
  • Preferably, the method further includes the step of treating the top of the second expansion layer so as form a hydrophilic surface.
  • The step (h) preferably can include etching a series of small holes in the inert material layer.
  • The first and second expansion material layers can comprise substantially polytetrafluroethylene and the inert material layer can comprise substantially glass.
  • The ink supply channel can be formed by etching a channel from the back surface of the wafer.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a thermoelastic bend actuator using polytetrafluroethylene (PTFE) and corrugated copper ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate surface.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the surface of the substrate.
  • In accordance with the first aspect of the present invention there is provided a method of manufacture of a thermally actuated ink jet printer which ejects ink via the utilization of a thermal actuator device comprising the steps of initially providing a silicon and circuitry wafer layer including electrical circuitry necessary for the operation of the thermal actuators on demand; depositing a first sacrificial layer on top of the silicon and circuitry wafer layer; forming a series of heater structure layers comprising the thermal actuator on top of the first sacrificial layer; depositing a second sacrificial layer on top of the heater structure layers, the second sacrificial layer including suitably etched portions for the forming of a nozzle chamber; depositing a nozzle chamber layer forming a nozzle chamber of the ink jet printer having an ink ejection port defined therein on top of the second sacrificial layer; back etching the silicon wafer layer to form an ink supply channel in a region underneath a moveable end of the thermal actuator; and etching the first and second sacrificial layer in addition to relevant portions of the circuitry layer, if any, so as to release the thermal actuator layers to provide for an operational ink jet printer nozzle supplied via the ink supply channel.
  • Preferably, multiple ink jet nozzles are formed on a single wafer and wherein the back etching includes forming a single ink supply channel supplying multiple different nozzle chambers. Each of the ink supply channels abuts a nitride wall of the nozzle chamber the nitride wall dividing the ink supply channel into multiple supply channels.
  • The heater structure layers can be formed by the steps of depositing a first expansive material layer on top of the first sacrificial layer; depositing a conductive heater layer on to of the first expansive material layer; and depositing a second expansive material layer on top of the conductive heater layer. The conductive heater layer can be formed from gold utilizing chemical mechanical planarization.
  • The circuitry layer can preferably include metal conductive lines which are utilized to from a barrier to protect other portions of the circuitry layer from unwarranted etching by any sacrificial etchant utilized in etching of the sacrificial layer.
  • The nozzle chamber layer can ideally include a series of small etchant holes utilized in the etching of the sacrificial layers and can comprise substantially Silicon Nitride. The nozzle chamber layer can include portions formed directly on the heater structure layers which act to firmly clamp the heater structure layers to lower layers.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacturing a bend actuator direct ink supply print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single silicon wafer planar substrate.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing with the integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, wherein said device has a high young's modulus comprising the steps of initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; depositing a first sacrificial layer on top of the silicon and circuitry wafer layer and etching said first sacrificial layer in an area defining a first portion of a nozzle chamber wall; depositing a first heater material layer having a high young's modulus and forming a first layer of the thermal actuator and a portion of said nozzle chamber wall; depositing a second heater material layer being conductive and being provided for the heating of said first material layer and further having a portion interconnected to said circuitry wafer layer for heating said second heater material; depositing a second sacrificial layer and etching said second sacrificial layer for the construction of said nozzle chamber walls; depositing a nozzle wall material layer form the walls of said nozzle chamber and etching said nozzle wall material layer to define a nozzle hole for the ejection of ink; etching away said sacrificial layers to release said thermal actuator;
  • The method can further include the etching an ink supply channel through said wafer for the supply of ink to said nozzle chamber. The second material heater layer can comprise titanium diboride and said first material heater layer can comprise substantially glass. The sacrificial material can comprise substantially aluminium and the nozzle chamber walls can be constructed substantially from glass.
  • The nozzle chamber walls can include a thin membrane utilized by said thermal actuator as a pivot point and the nozzle wall material layer can include a series of small etchant holes for assisting in the etching of said sacrificial layers.
  • Preferably, an array of nozzles are formed on a single wafer layer utilizing planar monolithic deposition, lithographic and etching processes. Standard vlsi/ulsi processing can be used. The silicon and circuitry layer can comprise a CMOS process and the ink is ejected from said substrate substantially normal to said substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacturing a high young's modulus thermoelastic Inkjet ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing with the integrated drive electronics preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a thermally actuated slotted chamber wall ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing with integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of an ink jet printer having a thermal actuator comprising an external coil spring wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing with integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching an ink inlet aperture in the circuitry wafer layer; (c) depositing and etching a first sacrificial layer on top of the silicon and circuitry wafer layer and etching the first sacrificial layer in an area defming a first portion of a nozzle chamber wall, a thermal actuator anchor and a thermal actuator end point; (d) depositing and etching a first inert material layer in defining a first actuator path starting at the thermal actuator anchor; (e) depositing and etching a first conductive material layer adjacent the first actuator path and attached to the first inert material layer; (f) depositing and etching a non-conductive layer over the first conductive material layer, the etching including etching predetermined vias for interconnection of the first conductive material layer with a second conductive material layer; (g) depositing and etching a second inert material layer on top of the first inert material layer; (h) depositing and etching a second conductive material layer on top of the non-conductive layer having a conductive interconnect to the first conductive material layer; (i) depositing and etching a series of inert material layers and sacrificial layers to form a nozzle chamber including an ink ejection hole and a nozzle chamber paddle attached to one of the inert material layers or the conductive layers at the thermal actuator end point; (j) etching an ink supply channel through the wafer to the nozzle chamber; and (k) etching away the sacrificial layers.
  • The conductive material layers are preferably formed from a material having a high Youngs modulus such as titanium nitride. The first and second inert material layers can comprise substantially glass. The first actuator path can comprise substantially a coil.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of an ink jet printer which includes a trough having side walls and an exposed roof, the trough being substantially filled with fluid during operation; a paddle vane located within the trough and offset from one wall when the paddle vane is in a quiescent position; an actuation mechanism attached to the paddle vane such that, upon activation of the actuation mechanism, the paddle vane is caused to move towards the one wall, resulting in an increase in pressure in the fluid between the one wall and the paddle vane, resulting in a consequential ejection of fluid via the exposed roof, the method comprising the steps of (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching the trough in the surface of the wafer; (c) creating the actuation mechanism and the paddle vane on the silicon wafer by means of depositing and etching a series of sacrificial layers to form a supporting structure for the actuation mechanism and the paddle vane, in addition to depositing and suitably etching a series of materials for forming the actuation mechanism and the paddle vane; (d) etching an ink supply channel interconnecting the trough thorough the wafer; and (e) etching away any remaining sacrificial layers so as to release the actuation mechanism and the panel vane for operation. Preferably, the step (c) comprises the steps of:
    • (i) depositing and etching a first series of sacrificial layers to form a first supporting structure;
    • (ii) depositing and etching a conductive material to form a first conductive portion of the actuation mechanism and the paddle vane;
    • (iii) depositing and etching a second series of sacrificial layers to form a second supporting structure for a non-conductive portion of the actuator;
    • (iv) depositing and etching a non-conductive material to form those non-conductive portions of the actuation mechanism;
    • (v) depositing and etching a third series of sacrificial layers to form a third supporting structure for the actuation mechanism; and
    • (vi) depositing and etching a second conductive material to form a second conductive portion of the actuation mechanism and the paddle vane.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a Dual Chamber Single Vertical Actuator Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes. Preferably, multiple ink jet heads are formed simultaneously on a single planar substrate such as a silicon wafer.
  • The print heads can be formed utilising standard vlsi/ulsi processing and can include integrated drive electronics formed on the same substrate. The drive electronics preferably being of a CMOS type. In the final construction, ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a dual nozzle single horizontal fulcrum actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing. Integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet printer which preferably can include a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture, the method comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the actuator mechanism on demand; (b) etching a trough in the wafer to provide for an ink supply channel through a portion of the wafer; (c) creating the nozzle chamber and the actuation mechanism and the paddle vane within the nozzle chamber on the silicon wafer by means of depositing and etching a series of sacrificial layers to form a supporting structure for the nozzle chamber, the actuation mechanism and the paddle vane, in addition to depositing and suitably etching a series of materials for forming the nozzle chamber, the actuation mechanism and the paddle vane; (d) etching an ink supply channel interconnecting the nozzle chamber through the wafer; and (e) etching away any remaining sacrificial layers so as to release the actuation mechanism and the panel vane for operation.
  • The step (c) can comprise the steps of: (i)depositing and etching a first series of sacrificial layers to form a first supporting structure; (ii)depositing and etching a first non-conductive material layer to form a first structure including a portion of the nozzle chamber and a first portion of the actuation mechanism; (iii)depositing and etching a first conductive material layer to form a lower heater structure of the actuation mechanism; (iv)depositing and etching a second non-conductive material layer forming a central portion of the actuation mechanism, a portion of the nozzle wall and the panel vane in addition to a supporting structure for an upper heater structure; (v)depositing and etching a second conductive material layer to form an upper heater structure of the actuation mechanism; (vi)depositing and etching a further third non-conductive material layer so as to form the paddle mechanism, the nozzle chamber walls and a portion affixing one end of the actuation mechanism to the wafer; (vii) depositing and etching a further sacrificial layer to form a further supporting structure for the nozzle chamber walls; (viii)depositing and etching a further fourth non-conductive material layer forming the nozzle chamber walls and roof in addition to the fluid ejection apertures; (ix)etching an ink supply channel through the wafer for the supply of ink to the nozzle chamber.
  • The first and second conductive material can comprise substantially a copper nickel alloy and the non-conductive material can comprise substantially silicon dioxide.
  • The sacrificial layers can comprise substantially glass and/or aluminium.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of an ink jet printer having a dual nozzle single horizontal actuator wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing and integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of: (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand; (b) etching at least one nozzle chamber pit in the circuitry wafer layer and the silicon wafer; (c) depositing and etching a sacrificial layer filling the ink chamber pit, the etching including etching a first portion of a nozzle chamber wall mould and an actuator anchor mould; (d) depositing and etching a first inert material layer, filling the nozzle chamber wall mould and the actuator anchor mould, the etching including etching a via to the electrical circuitry; (e) depositing and etching a first conductive material layer defining a lower heater element conductively interconnected via the via to the electrical circuitry; (f) depositing and etching a second inert material layer, the etching defining an actuator arm attached to the lower heater element and a second portion of the nozzle chamber wall; (g) depositing and etching a second conductive material layer defining an upper heater element conductively interconnected via a via through the first and second inert material layers to the electrical circuitry; (h) depositing and etching a third inert material layer defming an actuator anchor and further portions of the nozzle chamber wall; (i) depositing and etching a sacrificial layer; (j) depositing and etching a forth inert material layer defining remaining portions of the nozzle chamber, the etching including etching at least one ink ejection nozzle in one of the nozzle chamber walls; (k) etching an ink supply channel to the nozzle chamber through the wafer; and (I) etching away the sacrificial layers.
  • The step (k) preferably can include etching an interconnecting channel to one of the nozzle chamber pits.
  • The number of ink ejection nozzles can be at least two.
  • The conductive material layers are preferably formed from a material having a high Youngs modulus such as titanium nitride or a copper nickel alloy. The inert material layers can comprise substantially glass.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a single bend actuator cupped paddle ink jet print nozzle wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can comprise a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing. Integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet printing nozzle arrangement on a wafer, the arrangement including: an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber, the paddle vane including a concave surface in the area adjacent the ink ejection nozzle; and an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; the method comprising the steps of: forming an etched pit in the wafer; depositing and etching a series of layers on a wafer so as to from an array of ink jet nozzle arrangements, the layers including at least one paddle vane formation layer, the paddle vane formation layer being deposited over portions of the etched pit resulting in the formation of the concave surface of the paddle vane.
  • The paddle vane formation layer can be deposited on a sacrificial layer deposited before the paddle vane formation layer, the sacrificial layer forming a concave surface profile as a result of deposition over the etched pit. The maximum thickness of any one of the deposited layers can be 6 microns.
  • The series of layers are preferably each of a low thickness outside of the etched pit so as to cause minimal thermal stress on the wafer layer.
  • The actuator means can be initially constructed on a sacrificial layer which can be subsequently etched away, such that when the sacrificial layer can be etched away, the paddle vane can be moved by the actuator means from a constrained position having the paddle vane close to the ink ejection nozzle to a quiescent position having the paddle vane positioned further away from the ink ejection nozzle.
  • The steps are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • Preferably, the paddle vane formation layer is deposited on a sacrificial layer deposited before the paddle vane formation layer, the sacrificial layer forming a concave surface profile as a result of deposition over the etched pit. Ideally, the maximum thickness of any one of the deposited layers is 6µm and the series of layers are each of a low thickness outside of the etched pit so as to cause minimal thermal stress on the wafer layer.
  • Further, preferably, the actuator means can be initially constructed on a sacrificial layer which is subsequently etched away, such that when the sacrificial layer is etched away, the paddle vane is moved by the actuator means from a constrained position having the paddle vane close to the ink ejection nozzle to a quiescent position having the paddle vane positioned further away from the ink ejection nozzle.
  • Further, preferably, the paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into the ink chamber.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of a thermally actuated ink jet printer having a series of thermal actuator units wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate. The substrate can be a silicon wafer. The print heads are preferably formed utilising standard vlsi/ulsi processing. Integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the electrical circuitry layer so as to define a nozzle chamber area; (c) depositing and etching a first sacrificial layer, the etching defining a series of nozzle chamber walls and an actuator anchor point; (d) depositing a first heater material layer; (e) depositing an intermediate material layer; (f) etching the first heater material layer and the intermediate material layer to define portions of an actuator, ejection paddle and nozzle chamber walls; (g) depositing and etching a second sacrificial layer, the etching including etching a cavity defming a portion of the nozzle chamber walls; (h) depositing and etching a further glass layer to define the roof of the nozzle chamber and the walls thereof; (i) etching an ink supply channel through the wafer to form a fluid communication with the nozzle chamber; (j) etching away remaining sacrificial material.
  • The intermediate layer can comprise substantially glass. The first heater material layer can comprise substantially Titanium Nitride.
  • The steps further can include the step of etching anti-wicking notches in the surface of the circuitry layer.
  • Further, there is preferably included the step of depositing corrosion barriers over portions of the arrangement so as to reduce corrosion effects and the etching of layers preferably can includes etching via so as to allow for the electrical interconnection of portions of subsequently layers. The wafer can comprise a double side polished CMOS wafer.
  • The step (j) can comprise a through wafer etch from a back surface of the wafer. The steps aforementioned are preferably also utilized to simultaneously separate the wafer into separate printheads.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of an ink jet printing nozzle arrangement on a wafer, the arrangement including: an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from the ink chamber; a moveable paddle vane located within the ink chamber, the paddle vane including a concave surface in the area adjacent the ink ejection nozzle; and an actuator means adapted to move the paddle vane so as to cause ink within the ink chamber to be ejected from the ink ejection nozzle; the method comprising the steps of:
    • (a) starting with a silicon wafer layer having requisite circuitry deposited thereon;
    • (b) depositing and etching a first sacrificial layer to form a cavity for the paddle vane and a portion of the nozzle chamber;
    • (c) depositing and etching a series of layers simultaneously forming the operational portions the actuator means and the paddle vane;
    • (d) depositing a second sacrificial layer forming a cavity for the ink chamber walls and remaining portions (if any) of the actuator;
    • (e) depositing the chamber walls and remaining portions of the actuator;
    • (f) forming a nozzle chamber roof including an ink ejection nozzle;
    • (g) etching the sacrificial layers away.
    Preferably, the step (c) includes utilizing a single mask to etch the layers and step (c) further includes depositing two layers of substantially the same thermal properties, one of the layers including the an operational portion of the actuator, such that, upon cooling of the layers, the two layers are in a state of thermal tension between one other.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can be a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing and the integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) depositing and etching a first material layer, the first material having a high coefficient of thermal expansion, the etching including etching for vias through the first material layer for electrical interconnection of subsequently deposited layers with the circuitry layer; (d) depositing and etching a conductive material layer on the first material layer, the etching resulting in the conductive material layer forming a heater pattern; (e) depositing and etching a second material layer, the second material layer having a high coefficient of thermal expansion, the etching defining a nozzle chamber rim and a rim at the edge of the nozzle chamber; (f) etching the wafer to define the nozzle chamber; (g) etching an ink supply channel through the wafer in fluid communication with the nozzle chamber.
  • The step (f) can comprise performing a crystallographic etch of the wafer utilizing slots created as a result of etching the second material layer.
  • The crystallographic etch forms a nozzle chamber having an inverted square pyramid shape. The step (g) can comprise a through wafer etch from a back surface of the wafer.
  • The first material layer or the second material layer can comprise substantially polytetrafluroethylene and the conductive material layer can comprise substantially gold, copper or aluminum.
  • In accordance with an aspect of the present invention, there is provided a method of manufacturing a Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate which can be a silicon wafer.
  • The print heads are preferably formed utilising standard vlsi/ulsi processing and the integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process.
  • Ink can be ejected from the substrate substantially normal to the substrate.
    The method of manufacture can comprise the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) depositing and etching a first material layer, the first material having a high coefficient of thermal expansion, the etching including etching for vias through the first material layer for electrical interconnection of subsequently deposited layers with the circuitry layer; (d) depositing and etching a conductive material layer on the first material layer, the etching resulting in the conductive material layer forming a heater pattern; (e) depositing and etching a second material layer, the second material layer having a high coefficient of thermal expansion, the etching defining a nozzle chamber rim and a rim at the edge of the nozzle chamber; (f) etching the wafer to define the nozzle chamber; (g) etching an ink supply channel through the wafer in fluid communication with the nozzle chamber.
  • The step (f) can comprise performing a crystallographic etch of the wafer utilizing slots created as a result of etching the second material layer.
  • The crystallographic etch forms a nozzle chamber having an inverted square pyramid shape. The step (g) can comprise a through wafer etch from a back surface of the wafer.
  • The first material layer or the second material layer can comprise substantially polytetrafluroethylene and the conductive material layer can comprise substantially gold, copper or aluminum.
  • In accordance with an aspect of the present invention, there is provided a method of manufacture of a Surface Bend Actuator Vented Ink Supply Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
  • Multiple ink jet heads are preferably formed simultaneously on a single planar substrate. The substrate can be a silicon wafer. The print heads are preferably formed utilising standard vlsi/ulsi processing. Integrated drive electronics are preferably formed on the same substrate. The integrated drive electronics can comprise a CMOS process. Ink can be ejected from the substrate substantially normal to the substrate.
  • In accordance with a further aspect of the present invention, there is provided a method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, the method comprising the steps of: (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; (b) etching the circuitry layer to define a nozzle cavity area; (c) plasma etching the wafer in the area of the nozzle cavity area to create a nozzle chamber; (d) depositing and etching a first sacrificial layer so as to fill the nozzle chamber; (e) etching the first sacrificial layer to create an actuator end cavity volume; (f) depositing and etching a first material layer over the first sacrificial layer so as to fill the end cavity volume and to form a lower portion of a thermal actuator unit on the sacrificial layer; (g) depositing and etching a conductive heater layer on top of the lower portion, the conductive heater layer forming a heater element on the lower portion, the heater element being interconnected to the electrical circuitry layer; (h) depositing a second material layer; (i) etching the second material layer and the first material layer down to the sacrificial layer so as to form a slot around the surface actuator and a nozzle chamber nozzle; (j) etching an ink supply channel through the wafer in fluid communication with the nozzle chamber; and (k) etching away the sacrificial material.
  • The step (i) further preferably can includes etching the layer to form a rim around the slot and the nozzle.
  • The wafer can comprise a double side polished CMOS wafer and the step (j) can comprise a through wafer etch from a back surface of the wafer.
  • The first material layer and the second material layer can comprise a non conductive material having a high coefficient of thermal expansion such as polytetrafluroethylene. The conductive material layer can comprise substantially gold, copper or aluminum.
  • In accordance with a further aspect of the present invention there is provided a method of constructing a heater for heating a micro mechanical structure by means of an electric heater element comprising the step of constructing said heater in a corrugated form so as to improve the rate of thermal transfer to said micro mechanical structure.
    In accordance with a second aspect of the present invention there is provided a thermal actuator comprising a first conductive material encased in a second non-conductive, expansive material, wherein the first constructive material is constructed in the form of a corrugated heater element so as to increase the rate of thermal transfer to the second non-conductive, expansive material.
  • It is an object of the present invention to provide a more efficient form of operation of a pumping device when constructed on the small scale.
  • In accordance with a further aspect of the present invention there is provided a micro-mechanical liquid pumping system having a pumping actuator means including a hydrophobic surface surrounded by other hydrophobic surfaces, a method of reducing the operation energy requirements of said pumping actuator means comprising the step of providing an air inlet in fluid communication with said hydrophobic surface, said air inlet allowing the flow of air into and out of the volume adjacent said hydrophobic surface. Further, the air inlet is surrounded by hydrophobic material and includes a plurality of small spaced apart holes. Preferably, the micro-electro mechanical system comprises an ink jet printing device.
  • In accordance with a further aspect of the present invention there is provided a method to reduce the possibility of laminar separation of planar actuation components fixed at one end to a substrate, comprising the step of constructing a fixed clamping structure on top of the planar actuation components at the end fixed to the substrate. Preferably, the fixed clamping structure is of a grill form and comprises substantially nitride and the micro-electro mechanical system is an ink jet printing device.
  • In accordance with a further aspect of the present invention there is provided a method of constructing conductive portions within a substantially non-conductive material comprising utilisation of quantum wires of a substantially conductive material.
  • In accordance with a second aspect of the present invention there is provided a thermal actuator comprising a substantially non-conductive, expansive material interposted with a series of quantum wires of a substantially conductive material. Prefereably, the non-conductive, expansive material comprises substantially polytetrafluoroethylene and the conductive material comprises substantially copper.
  • In accordance with a third aspect of the present invetnation there is provided a thermal actuator comprising a first and second layers of substantially non-conductive, expansive material, wherein one of the layers comprises quantum wires of a substantially conductive material interposted with the non-conductive, expansive material. Preferably, the non-conductive, expansive material comprises substantially polytetrafluorothylene and the conductive material comprises substantially copper.
  • In accordance with a further aspect of the present invention there is provided a micro-electro mechanical system for controlling the flow of a liquid, this system being constructed in a large planar form, a method of reducing the effect of impurities in the liquid comprising the step of fabricating an integral grill structure in the path of the flow of the liquid so as to filter foreign bodies in the liquid. Preferably, the micro-electro mechanical system comprises an ink jet printing system and the grill forms one wall of a nozzle chamber for filtering ink entering the nozzle chamber. Further, the filter comprises substantially nitride.
  • In accordance with a further aspect of the present invention, there is provided a micromechanical thermal actuator comprising a first material having a high coefficient of thermal expansion and a serpentine heater material having a lower coefficient of thermal expansion in thermal contact with the first material and adapted to heat the first material on demand, wherein the serpentine heater material being elongated upon heating so as to accommodate the expansion of first material.
  • In accordance with a second aspect of the present invention, there is provided a micro-mechanical thermal actuator comprising a first layer having a first coefficient of thermal expansion, a second layer having a relatively higher coefficient of thermal expansion than the first layer, and a heater element in thermal contact with the first and second layers such that, on heating the heater, the actuator moves from a first quiescent position to a second actuation position. Further, the heater element comprises a serpentine layer of poly-silicon, which is sandwiched between the first and second layers. Preferably, the first layer comprises polytetrafluoroethylene, and the second layer comprises silicon dioxide or silicon nitride.
  • In accordance with a further aspect of the present invention there is provided a micro-mechanical liquid pumping system including an actuator device for instigating the pumping, wherein the actuator has a first surface for applying pressure to the liquid and a second surface opposite the first surface which is in fluid communication with the fluid, comprising utilising a hydrophobic material for the second surface. Further the surfaces surrounding the second surface are also hydrophobic. Preferably, the micro-electro mechanical system comprises an ink jet printing device.
  • In accordance with the further aspect of the present invention, there is provided a thermal actuator comprising a series of independently heatable resistive elements connected to a common line formed on an actuation substrate such that, when heated they together provide, through means of thermal expansion, for the controlled movement in a vertical and a horizontal direction of an end point of the thermal actuator.
  • Further the resistive elements are formed from a conductive material having a low coefficient of thermal expansion and an actuation material having a high coefficient of thermal expansion such that, upon heating, said actuation material is able to expand substantially unhindered by the conductive material.
  • Preferably, the conductive material undergoes a concertinaing action upon expansion and contraction, and is formed in a serpentine or helical form. Advantageously, the common line comprises a conductive material having a series of spaced apart slots suitable for increasing the degree of bending of the conductive material. Further, the actuation material is formed around the conductive material including the slots. The actuator is attached to a lower substrate and the series of resistive elements include two heater elements arranged on a lower portion of the actuation substrate and a single heater and the common line formed upon portion of the action substrate.
  • Preferably the actuation material comprises substantially polytetrafluoroethylene. One end of the thermal actuation is surface treated so as to increase its coefficient of friction. Further, one end of the thermal actuator comprises only the actuation material.
  • In accordance with a second aspect of the present invention, there is provided a cilia array of thermal actuators comprising one end that is driven so as to continuously engage a moveable load so as to push it in one direction only. Further, adjacent thermal actuators in the cilia array are grouped into different groups with each group being driven together in a different phase cycle from adjacent groups. Preferably the number of phases is four.
    In accordance with the further aspect of the present invention there is provided a method of constructing a micro electromechanical structure system on a semiconductor wafer having an integrated circuit layer or layers, such as a multi-level CMOS process, formed thereon and utilizing a sacrificial etchant to etch predetermined sacrificial portions of the structure, the method including the step of utilizing the non corrosive layers such as the metal layers of the integrated circuit layer to form a protective barrier between portions of the integrated circuitry layer which are required to be etched away in the construction of the structures and other portions of the integrated circuit layer which are not to be etched during the construction of the structures. The non corrosive layer can comprises a metal layer of the integrated circuit layer.
  • In accordance with a further aspect of the present invention, there is provided a lever arrangement for interconnecting a first lever arm with a second lever arm, the arrangement comprising the first lever arm having two thinned leaf arms each connected to either side of a second lever arm such that movement of the first lever arm relative to the second lever arm causes one of the thinned leaf arms to pull on an end of the second lever arm whilst allowing for resilient rotation of the rod relative to the arm, the arms resiliently flexing with the rotation of the arms.
  • Further, preferably the second lever arm is interconnected to a third lever arm and the second lever arm is mounted on a leafed wall so as to pivot at the wall and the wall comprises a thinned flexible portions adjacent the lever arm, the arm pivoting around the wall, with one of the thinned flexible portions pulling on the lever arm so as to cause the pivoting.
  • Further, preferably the third lever arm also contains two thinned leaf arms connected to either side of the second lever arm such that movement of the second lever arm relative to the third lever arm causes one of the arms to pull on an end of the rod whilst allowing for resilient rotation of the rod relative to the arm. The arrangement can constructed as a micro-electro mechanical system on a silicon wafer.
  • Further, preferably, the first lever arm is substantially at right angles to the second lever arm with the thinned leaf arms interconnected substantially at one end of the lever arm.
  • In accordance with a further aspect of the present invention, there is provided in an integrated circuit type device having a series of bond pads for the interconnection of the device with external power or communication lines, a method of improving the registration accuracy of the interconnection comprising the step of forming a guide rail on the device spaced adjacent to the bond pads for abutting the external power and communication lines against so as to accurately position the lines for interconnection with the bond pads.
  • Preferably, the bond pads are arranged in a line along one edge of the integrated circuit type device and the lines are in the form of a Tape Automated Bonding strip. The guide rail can be formed utilising a standard micromechanical systems deposition process and is ideally utilized in a pagewidth ink jet printing system.
  • Brief Description of the Drawings
  • Notwithstanding any other forms which may fall within the scope of the present invention, preferred forms of the invention will now be described, by way of example only, with reference to the accompanying drawings in which:
    • Fig. 1 is cross-sectional view, partly in section, of a single ink nozzle constructed in accordance with an embodiment of the present invention;
    • Fig. 2 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention;
    • Fig. 3 provides a legend of the materials indicated in Fig. 4 to Fig. 19; and
    • Fig. 4 to Fig. 19 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 20 is a cross-sectional schematic diagram of the inkjet nozzle chamber in its quiescent state;
    • Fig. 21 is a cross-sectional schematic diagram of the inkject nozzle chamber during activation of the first actuator to eject ink;
    • Fig. 22 is a cross-sectional schematic diagram of the inkjet nozzle chamber after deactivation of the first actuator;
    • Fig. 23 is a cross-sectional schematic diagram of the inkjet nozzle chamber during activation of the second actuator to refill the chamber;
    • Fig. 24 is a cross-sectional schematic diagram of the inkjet nozzle chamber after deactivation of the actuator to refill the chamber;
    • Fig. 25 is a cross-sectional schematic diagram of the inkjet nozzle chamber during simultaneous activation of the ejection actuator whilst deactivation of the pump actuator;
    • Fig. 26 is a top view cross-sectional diagram of the inkjet nozzle chamber; and
    • Fig. 27 is an exploded perspective view illustrating the construction of the inkjet nozzle chamber in accordance with an embodiment.
    • Fig. 28 provides a legend of the materials indicated in Fig. 29 to Fig. 41; and
    • Fig. 29 to Fig. 41 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 42 is a perspective, partly sectional view of a single ink jet nozzle in its quiescent position constructed in accordance with an embodiment;
    • Fig. 43 is a perspective, partly sectional view of a single ink jet nozzle in its firing position constructed in accordance with an embodiment;
    • Fig. 44 is an exploded perspective illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 45 provides a legend of the materials indicated in Fig. 46 to Fig. 60; and
    • Fig. 46 to Fig. 60 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 61 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 62 is a perspective view, partly in section, of a single ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 63 provides a legend of the materials indicated in Fig. 64 to Fig. 76; and
    • Fig. 64 to Fig. 76 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 77 is a top view of a single ink nozzle chamber constructed in accordance with the principals of an embodiment, with the shutter in a close state;
    • Fig. 78 is a top view of a single ink nozzle chamber as constructed in accordance with an embodiment with the shutter in an open state;
    • Fig. 79 is an exploded perspective view illustrating the construction of a single ink nozzle chamber in accordance with an embodiment of the present invention;
    • Fig. 80 provides a legend of the materials indicated in Fig. 81 to Fig. 93; and
    • Fig. 81 to Fig. 93 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 94 is a perspective view of the top of a print nozzle pair;
    • Fig. 95 illustrates a partial, cross-sectional view of one shutter and one arm of the thermocouple utilised in an embodiment;
    • Fig. 96 is a timing diagram illustrating the operation of an embodiment;
    • Fig. 97 illustrates an exploded perspective view of a pair of print nozzles constructed in accordance with an embodiment.
    • Fig. 98 provides a legend of the materials indicated in Fig. 99 to Fig. 113; and
    • Fig. 99 to Fig. 113 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 114 is a cross-sectional perspective view of a single ink nozzle arrangement constructed in accordance with an embodiment, with the actuator in its quiescent state;
    • Fig. 115 is a cross-sectional perspective view of a single ink nozzle arrangement constructed in accordance with an embodiment, in its activated state;
    • Fig. 116 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention;
    • Fig. 117 provides a legend of the materials indicated in Fig. 118 to Fig. 131; and
    • Fig. 118 to Fig. 131 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 132 is a schematic cross-sectional view illustrating an ink jet printing device constructed in accordance with an embodiment.
    • Fig. 133 is a perspective view of one single nozzle arrangement constructed in accordance with an embodiment.
    • Fig. 134 is a timing diagram illustrating the various phases of the ink jet printing device.
    • Fig. 135 is a cross-sectional schematic diagram illustrating a single nozzle chamber in its idle phase.
    • Fig. 136 is a cross-sectional schematic diagram illustrating a single nozzle chamber in its ejection phase.
    • Fig. 137 is a cross-sectional schematic diagram of a single ink nozzle in its separation phase.
    • Fig. 138 is a schematic cross-sectional diagram illustrating a single ink nozzle in its refilling phase.
    • Fig. 139 is a cross-sectional schematic diagram illustrating a single ink nozzle after returning to its idle phase.
    • Fig. 140 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention.
    • Fig. 141 provides a legend of the materials indicated in Fig. 142 to Fig. 153; and
    • Fig. 142 to Fig. 153 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 154 is a perspective view of the actuator portions of a single ink jet nozzle in a quiescent position, constructed in accordance with an embodiment;
    • Fig. 155 is a perspective view of the actuator portions of a single ink jet nozzle in a quiescent position constructed in accordance with an embodiment;
    • Fig. 156 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 157 provides a legend of the materials indicated in Fig. 158 to Fig. 169; and
    • Fig. 158 to Fig. 169 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 170 is a cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, in its quiescent state;
    • Fig. 171 is a cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, in its activated state;
    • Fig. 172 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 173 is a cross-sectional schematic diagram illustrating the construction of a corrugated conductive layer in accordance with an embodiment of the present invention;
    • Fig. 174 is a schematic cross-sectional diagram illustrating the development of a resist material through a half toned mask utilised in the fabrication of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 175 is a top view of the conductive layer only of the thermal actuator of a single ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 176 provides a legend of the materials indicated in Fig. 177 to Fig. 188; and
    • Fig. 177 to Fig. 188 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 189 is a cut out topside view illustrating two adjoining inject nozzles constructed in accordance with an embodiment;
    • Fig. 190 is an exploded perspective view illustrating the construction of a single inject nozzle in accordance with an embodiment;
    • Fig. 191 is a sectional view through the nozzles of Fig. 189;
    • Fig. 192 is a sectional view through the line IV-IV' of Fig. 191;
    • Fig. 193 provides a legend of the materials indicated in Fig. 194 to Fig. 207; and
    • Fig. 194 to Fig. 207 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 208 is a perspective view partly in sections of a single ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 209 is an exploded perspective view partly in section illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention;
    • Fig. 210 provides a legend of the materials indicated in Fig. 211 to Fig. 223; and
    • Fig. 211 to Fig. 223 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 224 is an exploded perspective view illustrating the construction of a single ink nozzle in accordance with an embodiment of the present invention;
    • Fig. 225 is a plan view taken from above of relevant portions of an ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 226 is a cross-sectional view through a single nozzle arrangement, illustrating a drop being ejected out of the nozzle aperture;
    • Fig. 227 provides a legend of the materials indicated in Fig. 228 to Fig. 240; and
    • Fig. 228 to Fig. 240 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 241 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, in its quiescent state;
    • Fig. 242 is a cross-sectional schematic diagram of a single ink jet nozzle constructed in accordance with an embodiment, illustrating the activated state;
    • Fig. 243 is a schematic cross-sectional diagram of a single ink jet nozzle illustrating the deactivation state;
    • Fig. 244 is a schematic cross-sectional diagram of a single ink jet nozzle constructed in accordance with an embodiment, after returning into its quiescent state;
    • Fig. 245 is a schematic, cross-sectional perspective diagram of a single ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 246 is an array view of a group of ink jet nozzles;
    • Fig. 247 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 248 provides a legend of the materials indicated in Fig. 249 to Fig. 260; and
    • Fig. 249 to Fig. 260 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 261 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 262 is a schematic cross-sectional view of a single ink jet nozzle constructed in accordance with an embodiment, with the thermal actuator in its activated state;
    • Fig. 263 is a schematic diagram of the conductive layer utilised in the thermal actuator of the ink jet nozzle constructed in accordance with an embodiment;
    • Fig. 264 is a close-up perspective view of portion A of Fig. 263;
    • Fig. 265 is a cross-sectional schematic diagram illustrating the construction of a corrugated conductive layer in accordance with an embodiment of the present invention;
    • Fig. 266 is a schematic cross-sectional diagram illustrating the development of a resist material through a halftoned mask utilised in the fabrication of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 267 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment;
    • Fig. 268 is a perspective view of a section of an ink jet print head configuration utilising ink jet nozzles constructed in accordance with an embodiment.
    • Fig. 269 provides a legend of the materials indicated in Fig. 270 to Fig. 283; and
    • Fig. 270 to Fig. 283 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 284 to Fig. 286 illustrate basic operation of an embodiments;
    • Fig. 287 is a sectional view of an embodiment;
    • Fig. 288 is an exploded perspective view of an embodiment;
    • Fig. 289 to Fig. 298 are cross-sectional views illustrating various steps in the construction of an embodiment; and
    • Fig. 299 illustrates a top view of an array of ink jet nozzles constructed in accordance with the principles of the present invention.
    • Fig. 300 provides a legend of the materials indicated in Fig. 301 to Fig. 312; and
    • Fig. 301 to Fig. 312 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 313 illustrates a single inkjet nozzle structure of an embodiment;
    • Fig. 314 is an exploded perspective view of the arrangement of Fig. 313;
    • Fig. 315 to Fig. 317 illustrate the operation of an embodiment;
    • Fig. 318 illustrates an array of nozzles as formed for the purposes of utilisation in an inkjet print head.
    • Fig. 319 provides a legend of the materials indicated in Fig. 320 to Fig. 331; and
    • Fig. 320 to Fig. 331 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 332 illustrates a perspective view of an ink jet nozzle arrangement in accordance with an embodiment;
    • Fig. 333 illustrates the arrangement of Fig. 332 when the actuator is in an activated position;
    • Fig. 334 illustrates an exploded perspective view of the major components of an embodiment;
    • Fig. 335 provides a legend of the materials indicated in Fig. 336 to Fig. 347; and
    • Fig. 336 to Fig. 347 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 348 illustrates a single ink ejection mechanism as constructed in accordance with the principles of an embodiment;
    • Fig. 349 is a section through the line A - A- of the actuator arm of Fig. 348;
    • Fig. 350 to Fig. 352 illustrate the basic operation of the ink ejection mechanism of an embodiment;
    • Fig. 353 is an exploded perspective view of an ink ejection mechanism.
    • Fig. 354 provides a legend of the materials indicated in Fig. 355 to Fig. 369; and
    • Fig. 355 to Fig. 369 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 370 is a descriptive view of an ink ejection arrangement when in a quiescent state;
    • Fig. 371 is a descriptive view of an ejection arrangement when an activated state;
    • Fig. 372 is an exploded perspective view of the different components of an ink ejection arrangement;
    • Fig. 373 illustrates a cross section through the line IV -IV of Fig. 370;
    • Fig. 374 to Fig. 393 illustrate the various manufacturing steps in the construction of an embodiment;
    • Fig. 394 illustrates a portion of an array of ink ejection arrangements as constructed in accordance with an embodiment.
    • Fig. 395 provides a legend of the materials indicated in Fig. 396 to Fig. 407; and
    • Fig. 396 to Fig. 407 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 408 to Fig. 412 comprise schematic illustrations of the operation of an embodiment;
    • Fig. 413 illustrates a side perspective view, of a single nozzle arrangement of an embodiment.
    • Fig. 414 illustrates a perspective view, partly in section of a single nozzle arrangement of an embodiment;
    • Fig. 415 to Fig. 434 are cross sectional views of the processing steps in the construction of an embodiment;
    • Fig. 435 illustrates a part of an array view of a portion of a printhead as constructed in accordance with the principles of the present invention;
    • Fig. 436 provides a legend of the materials indicated in Fig. 437 to Fig. 449; and
    • Fig. 437 to Fig. 451 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 452 to Fig. 456 illustrate schematically the principles operation of an embodiment;
    • Fig. 457 is a perspective view, partly in section of one form of construction of an embodiment;
    • Fig. 458 to Fig. 475 illustrate various steps in the construction of an embodiment; and
    • Fig. 476 illustrates an array view illustrating a portion of a printhead constructed in accordance with an embodiment.
    • Fig. 477 provides a legend of the materials indicated in Fig. 478 to Fig. 493; and
    • Fig. 478 to Fig. 494 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 495 to Fig. 499 comprise schematic illustrations of the operation of an embodiment;
    • Fig. 500 illustrates a perspective view, of a single nozzle arrangement of an embodiment;
    • Fig. 501 illustrates a perspective view, partly in section of a single nozzle arrangement of an embodiment;
    • Fig. 502 to Fig. 520 are cross sectional views of the processing steps in the construction of an embodiment;
    • Fig. 521 illustrates a part of an array view of a printhead as constructed in accordance with the principles of the present invention.;
    • Fig. 522 provides a legend of the materials indicated in Fig. 523 to Fig. 541; and
    • Fig. 523 to Fig. 541 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 542 to Fig. 544 are schematic illustrations of the operational principles of an embodiment;
    • Fig. 545 illustrates a perspective view, partly in section of a single inkjet nozzle of an embodiment;
    • Fig. 546 is a side perspective view of a single ink jet nozzle of an embodiment;
    • Fig. 547 to Fig. 556 illustrate the various manufacturing processing steps in the construction of an embodiment;
    • Fig. 557 illustrates a portion of an array view of a printhead having a large number of nozzles, each constructed in accordance with the principles of the present invention.
    • Fig. 558 provides a legend of the materials indicated in Fig. 559 to Fig. 569; and
    • Fig. 559 to Fig. 569 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 570 to Fig. 572 illustrate the basic operational principles of an embodiment;
    • Fig. 573 is a side perspective view of a single inkjet nozzle arrangement constructed in accordance with an embodiment;
    • Fig. 574 is a side perspective view of a portion of an array of a printhead constructed in accordance with the principles of an embodiment;
    • Fig. 575 provides a legend of the materials indicated in Fig. 576 to Fig. 585;
    • Fig. 576 to Fig. 585 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;
    • Fig. 586 to Fig. 588 illustrate the operational principles of an embodiment;
    • Fig. 589 is a side perspective view of a single nozzle arrangement of an embodiment;
    • Fig. 590 illustrates a side sectional view of a single nozzle arrangement;
    • Fig. 591 and Fig. 592 illustrate operational principles of an embodiment;
    • Fig. 593 to Fig. 600 illustrate the manufacturing steps in the construction of an embodiment;
    • Fig. 601 illustrates a top plan view of a single nozzle;
    • Fig. 602 illustrates a portion of a single color printhead device;
    • Fig. 603 illustrates a portion of a three color printhead device;
    • Fig. 604 provides a legend of the materials indicated in Fig. 605 to Fig. 614; and
    • Fig. 605 to Fig. 614 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 615 to Fig. 617 are schematic sectional views illustrating the operational principles of an embodiment;
    • Fig. 618(a) and Fig. 618(b) are again schematic sections illustrating the operational principles of the thermal actuator device;
    • Fig. 619 is a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with an embodiments;
    • Fig. 620 to Fig. 627 side perspective views partly in section illustrating the manufacturing steps of an embodiments; and
    • Fig. 628 illustrates an array of ink jet nozzles formed in accordance with the manufacturing procedures of an embodiment;
    • Fig. 629 provides a legend of the materials indicated in Fig. 630 to Fig. 637;
    • Fig. 630 to Fig. 637 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle;
    • Fig. 638 to Fig. 640 are schematic sectional views illustrating the operational principles of an embodiment;
    • Fig. 641(a) and Fig. 641(b) are again schematic sections illustrating the operational principles of the thermal actuator device;
    • Fig. 642 is a side perspective view, partly in section of a single nozzle arrangement constructed in accordance with an embodiments;
    • Fig. 643 to Fig. 650 side perspective views partly in section illustrating the manufacturing steps of an embodiments; and
    • Fig. 651 illustrates an array of ink jet nozzles formed in accordance with the manufacturing procedures of an embodiment.
    • Fig. 652 provides a legend of the materials indicated in Fig. 653 to Fig. 660;
    • Fig. 653 to Fig. 660 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle arrangement;
    • Fig. 661 to Fig. 663 are schematic sectional views illustrating the operational principles of an embodiment;
    • Fig. 664(a) and Fig. 664(b) illustrate the operational principles of the thermal actuator of an embodiment;
    • Fig. 665 is a side perspective view of a single nozzle arrangement of an embodiment;
    • Fig. 666 illustrates an array view of a portion of a print head constructed in accordance with the principles of an embodiment.
    • Fig. 667 provides a legend of the materials indicated in Fig. 668 to Fig. 676; and
    • Fig. 668 to Fig. 677 illustrate sectional views of the manufacturing steps in one form of construction of an ink jet printhead nozzle.
    • Fig. 678 is a perspective view of an arrangement of four single thermal actuators constructed in accordance with a further embodiment.
    • Fig. 679 is a close-up perspective view, partly in section, of a single thermal actuator constructed in accordance with a further embodiment.
    • Fig. 680 is a perspective view of a single thermal actuator constructed in accordance with a further embodiment, illustrating the thermal actuator being moved up and to a side.
    • Fig. 681 is an exploded perspective view illustrating the construction of a single thermal actuator in accordance with a further embodiment
    Description of the Preferred and Other Embodiments
  • The preferred embodiments and other embodiments will be discussed under separate headings with the heading including an IJ number for ease of reference. The headings also include a type designator with T indicating thermal, S indicating shutter type and F indicating a field type.
  • A Description of IJ03 T
  • In an embodiment, there is provided an ink jet printer having nozzle chambers. Each nozzle chamber includes a thermoelastic bend actuator that utilises a planar resistive material in the construction of the bend actuator. The bend actuator is activated when it is required to eject ink from a chamber.
  • Turning now to Fig. 1, there is illustrated a cross-sectional view, partly in section of a nozzle arrangement 210 as constructed in accordance with an embodiment. The nozzle arrangement 210 can be formed as part of an array of nozzles fabricated on a semi-conductor wafer utilising techniques known in the production of micro-electro-mechanical systems (MEMS). For a general introduction to a micro-electric mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. The nozzle arrangement 210 includes a boron doped silicon wafer layer 212 which can be constructed by a back etching a silicon wafer 218 which has buried boron doped EPITAXIAL LAYER. The boron doped layer can be further etched so as to define a nozzle hole 213 and rim 214.
  • The nozzle arrangement 210 includes a nozzle chamber 216 which can be constructed by utilisation of an anisotropic crystallographic etch of the silicon portions 218 of the wafer.
  • On top of the silicon portions 218 is included a glass layer 220 which can comprise CMOS drive circuitry including a two level metal layer (not shown) so as to provide control and drive circuitry for the thermal actuator. On top of the CMOS glass layer 220 is provided a nitride layer 221 which includes side portions 222 which act to passivate lower layers from etching that is utilised in construction of the nozzle arrangement 210. The nozzle arrangement 210 includes a paddle actuator 224 which is constructed on a nitride base 225 which acts to form a rigid paddle for the overall actuator 224. Next, an aluminium layer 227 is provided with the aluminium layer 227 being interconnected via the vias 228 to the lower CMOS circuitry so as to form a first portion of a circuit. The aluminium layer 227 is interconnected at a point 230 to an Indium Tin Oxide (ITO) layer 229 which provides for resistive heating on demand. The ITO layer 229 includes a number of etch holes 231 for allowing the etching away of a lower level sacrificial layer which is formed between the layers 227, 229. The ITO layer is further connected to the lower glass CMOS circuitry layer via the via 232. On top of the ITO layer 229 is optionally provided a polytetrafluoroethylene layer which provides for insulation and the further form of rapid expansion of the top layer 229 upon heating as a result of passing a current through the bottom layer 227 and ITO layer 229 (not shown).
  • The back surface of the nozzle arrangement 210 is placed in an ink reservoir so as to allow ink to flow into nozzle chamber 216. When it is desired to eject a drop of ink, a current is passed through the aluminium layer 227 and ITO layer 229. The aluminium layer 227 provides a very low resistance path to the current whereas the ITO layer 229 provides a high resistance path to the current. Each of the layers 227, 229 are passivated by means of coating by a thin nitride layer (not shown) so as to insulate and passivate the layers from the surrounding ink. Upon heating of the ITO layer 229 and optionally PTFE layer, the top of the actuator 224 expands more rapidly than the bottom portions of the actuator 224. This results in a rapid bending of the actuator 224, particularly around the point 235 due to the utilisation of the rigid nitride paddle arrangement 225. This accentuates the downward movement of the actuator 224 which results in the ejection of ink from ink ejection nozzle 213.
  • Between the two layers 227, 229 is provided a gap 228 which can be constructed via utilisation of etching of sacrificial layers so as to dissolve away sacrificial material between the two layers. Hence, in operation ink is allowed to enter this area and thereby provides a further cooling of the lower surface of the actuator 224 so as to assist in accentuating the bending. Upon de-activation of the actuator 224, it returns to its quiescent position above the nozzle chamber 216. The nozzle chamber 216 refills due to the surface tension of the ink through the gaps between the actuator 224 and the nozzle chamber 216.
  • The PTFE layer has a high coefficient of thermal expansion and therefore further assists in accentuating any bending of the actuator 224. Therefore, in order to eject ink from the nozzle chamber 216, a current is passed through the planar layers 227, 229 resulting in resistive heating of the top layer 229 which further results in a general bending down of the actuator 224 resulting in the ejection of ink. The nozzle arrangement 210 is mounted on a second silicon chip wafer which defines an ink reservoir channel to the back of the nozzle arrangement 210 for resupply of ink.
  • Turning now to Fig. 2, there is illustrated an exploded perspective view illustrating the various layers of a nozzle arrangement 210. The arrangement 210 can, as noted previously, be constructed from back etching to the boron doped layer. The actuator 224 can further be constructed through the utilisation of a sacrificial layer filling the nozzle chamber 216 and the depositing of the various layers 225, 227, 229 and optional PTFE layer before sacrificially etching the nozzle chamber 216 in addition to the sacrificial material in area 228. To this end, the nitride layer 221 includes side portions 222 which act to passivate the portions of the lower glass layer 220 which would otherwise be attacked as a result of sacrificial etching.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
    2. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
    3. 3. Complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 4. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 3 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    4. 4. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the bend actuator electrode contact vias. This step is shown in Fig. 5.
    5. 5. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 6.
    6. 6. Deposit 0.5 microns of low stress PECVD silicon nitride (Si3N4). The nitride acts as an ion diffusion barrier. This step is shown in Fig. 7.
    7. 7. Deposit a thick sacrificial layer (e.g. low stress glass), filling the nozzle cavity. Planarize the sacrificial layer down to the nitride surface. This step is shown in Fig. 8.
    8. 8. Deposit I micron of tantalum. This layer acts as a stiffener for the bend actuator.
    9. 9. Etch the tantalum using Mask 2. This step is shown in Fig. 9. This mask defines the space around the stiffener section of the bend actuator, and the electrode contact vias.
    10. 10. Etch nitride still using Mask 2. This clears the nitride from the electrode contact vias. This step is shown in Fig. 10.
    11. 11. Deposit one micron of gold, patterned using Mask 3. This may be deposited in a lift-off process. Gold is used for its corrosion resistance and low Young's modulus. This mask defines the lower conductor of the bend actuator. This step is shown in Fig. 11.
    12. 12. Deposit 1 micron of thermal blanket. This material should be a non-conductive material with a very low Young's modulus and a low thermal conductivity, such as an elastomer or foamed polymer.
    13. 13. Pattern the thermal blanket using Mask 4. This mask defines the contacts between the upper and lower conductors, and the upper conductor and the drive circuitry. This step is shown in Fig. 12.
    14. 14. Deposit 1 micron of a material with a very high resistivity (but still conductive), a high Young's modulus, a low heat capacity, and a high coefficient of thermal expansion. A material such as indium tin oxide (ITO) may be used, depending upon the dimensions of the bend actuator.
    15. 15. Pattern the ITO using Mask 5. This mask defines the upper conductor of the bend actuator. This step is shown in Fig. 13.
    16. 16. Deposit a further 1 micron of thermal blanket.
    17. 17. Pattern the thermal blanket using Mask 6. This mask defines bend actuator, and allows ink to flow around the actuator into the nozzle cavity. This step is shown in Fig. 14.
    18. 18. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 15.
    19. 19. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 16.
    20. 20. Plasma back-etch through the boron doped layer using Mask 8. This mask defines the nozzle, and the edge of the chips.
    21. 21. Plasma back-etch nitride up to the glass sacrificial layer through the holes in the boron doped silicon layer. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 17.
    22. 22. Strip the adhesive layer to detach the chips from the glass blank.
    23. 23. Etch the sacrificial glass layer in buffered HF. This step is shown in Fig. 18.
    24. 24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
    25. 25. Connect the print heads to their interconnect systems.
    26. 26. Hydrophobize the front surface of the print heads.
    27. 27. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 19.
    A Description of IJ09 T
  • In an embodiment, each nozzle chamber having a nozzle ejection portal further includes two thermal actuators. The first thermal actuator is utilised for the ejection of ink from the nozzle chamber while a second thermal actuator is utilised for pumping ink into the nozzle chamber for rapid ejection of subsequent drops.
  • Normally, ink chamber refill is a result of surface tension effects of drawing ink into a nozzle chamber. In an embodiment, the nozzle chamber refill is assisted by an actuator which pumps ink into the nozzle chamber so as to allow for a rapid refill of the chamber and therefore a more rapid operation of the nozzle chamber in ejecting ink drops.
  • Turning now to Fig. 20 to Fig. 25 which represent various schematic cross sectional views of the operation of a single nozzle chamber, the operation of an embodiment will now be discussed. In Fig. 20, a single nozzle chamber is schematically illustrated in section. The nozzle arrangement 810 includes a nozzle chamber 811 filled with ink and a nozzle ink ejection port 812 having an ink meniscus 813 in a quiescent position. The nozzle chamber 811 is interconnected to an ink reservoir 815 for the supply of ink to the nozzle chamber. Two paddle-type thermal actuators 816, 817 are provided for the control of the ejection of ink from nozzle portal 812 and the refill of chamber 811. Both of the thermal actuators 816, 817 are controlled by means of passing an electrical current through a resistor so as to actuate the actuator. The structure of the thermal actuators 816, 817 will discussed further herein after. The arrangement of Fig. 20 illustrates the nozzle arrangement when it is in its quiescent or idle position.
  • When it is desired to eject a drop of ink via the portal 812, the actuator 816 is activated, show in Fig. 21. The activation of activator 816 results in it bending downwards forcing the ink within the nozzle chamber out of the portal 812, thereby resulting in a rapid growth of the ink meniscus 813. Further, ink flows into the nozzle chamber 811 as indicated by arrow 819.
  • The main actuator 816 is then retracted as illustrated in Fig. 22, which results in a collapse of the ink meniscus so as to form ink drop 820. The ink drop 820 eventually breaks off from the main body of ink within the nozzle chamber 811.
  • Next, as illustrated in Fig. 23, the actuator 817 is activated so as to cause rapid refill in the area around the nozzle portal 812. The refill coming generally from ink flows 821, 822.
  • Next, two alternative procedures are utilised depending on whether the nozzle chamber is to be fired in a next ink ejection cycle or whether no drop is to be fired. The case where no drop is to be fired is illustrated in Fig. 24 and basically comprises the return of actuator 817 to its quiescent position with the nozzle portal area 812 refilling by means of surface tension effects drawing ink into the nozzle chamber 811.
  • Where it is desired to fire another drop in the next ink drop ejection cycle, the actuator 816 is activated simultaneously which is illustrated in Fig. 25 with the return of the actuator 817 to its quiescent position. This results in more rapid refilling of the nozzle chamber 811 in addition to simultaneous drop ejection from the ejection nozzle 812.
  • Hence, it can be seen that the arrangement as illustrated in Fig. 20 to Fig. 25 results in a rapid refilling of the nozzle chamber 811 and therefore the more rapid cycle of ejecting drops from the nozzle chamber 811. This leads to higher speed and improved operation of an embodiment.
  • Turning now to Fig. 26, there is a illustrated a section perspective view of an single nozzle arrangement 810 of an embodiment. An embodiment can be constructed on a silicon wafer with a large number of nozzles 810 being constructed at any one time. The nozzle chambers can be constructed through back edging a silicon wafer to a boron doped epitaxial layer 830 advising the boron doping as an etchant stop. The boron doped layer is then further etched utilising the relevant masks to form the nuzzle portal 812 and nozzle rim 831. The nozzle chamber proper is formed from a crystallographic etch of the portion of the silicon wafer 832. The silicon wafer can include a two level metal standard CMOS layer 833 which includes the interconnect and drive circuitry for the actuator devices. The CMOS layer 833 being interconnected to the actuators via appropriate vias. On top of the CMOS layer 833 is placed a nitride layer 834. The nitride layer is provided to passivate the lower CMOS layer 833 from any sacrificial etchant which is utilised to etch sacrificial material in construction of the actuators 816, 817. The actuators 816, 817 can be constructed by filling the nozzle chamber 811 with a sacrificial material, such as sacrificial glass and depositing the actuator layers utilising standard micro-electro-mechanical systems (MEMS) processing techniques.
  • On top of the nitride layer 834 is deposited a first PTFE layer 835 following a copper layer 836 and a secondary PTFE layer 837. These layers are utilised with appropriate masks so as to form the actuators 816, 817. The copper layer 836 is formed near the top surface of the corresponding actuators and is in a serpentine shape. Upon passing a current through the copper layer 836, the copper layer is heated. The copper layer 836 is encased in the PTFE layers 835, 837. ptfe has a much greater coefficient of thermal expansion than copper (77010-6) and hence is caused to expand more rapidly than the copper layer 836, such that, upon heating, the copper serpentine shaped layer 836 expands via concertinaing at the same rate as the surrounding teflon layers. Further, the copper layer 836 is formed near the top of each actuator and hence, upon heating of the copper element, the lower PTFE layer 835 remains cooler than the upper PTFE layer 837. This results in a bending of the actuator so as to achieve its actuation effects. The copper layer 837 is interconnected to the lower CMOS layer via means of vias eg 839. Further, the PTFE layers 835/837, which are normally hydrophobic, undergo treatment so as to be hydrophilic. Many suitable treatments exist such as plasma damaging in an ammonia atmosphere. In addition, other materials having considerable properties can be utilised.
  • Turning to Fig. 27, there is illustrated an exploded perspective of the various layers of any ink jet nozzle 810 as constructed in accordance with a single nozzle arrangement 810 of an embodiment. The layers include the lower boron layer 830, the silicon and anisotropically etched layer 832, CMOS glass layer 833, nitride passivation layer 834, copper heater layer 836 and PTFE layers 835/837, which are illustrated in one layer but formed with an upper and lower teflon layer embedding copper layer 836.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
    2. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
    3. 3. Complete a 0.5 micron, one poly, 2 metal CMOS process. The metal layers are copper instead of aluminum, due to high current densities and subsequent high temperature processing. This step is shown in Fig. 29. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 28 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    4. 4. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the bend actuator electrode contact vias. This step is shown in Fig. 30.
    5. 5. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 31.
    6. 6. Deposit 0.5 microns of low stress PECVD silicon nitride (Si3N4). The nitride acts as an ion diffusion barrier. This step is shown in Fig. 32.
    7. 7. Deposit a thick sacrificial layer (e.g. low stress glass), filling the nozzle cavity. Planarize the sacrificial layer down to the nitride surface. This step is shown in Fig. 33.
    8. 8. Deposit 1.5 microns of polytetrafluoroethylene (PTFE).
    9. 9. Etch the PTFE using Mask 2. This mask defines the contact vias for the heater electrodes.
    10. 10. Using the same mask, etch down through the nitride and CMOS oxide layers to second level metal. This step is shown in Fig. 34.
    11. 11. Deposit and pattern 0.5 microns of gold using a lift-off process using Mask 3. This mask defines the heater pattern. This step is shown in Fig. 35.
    12. 12. Deposit 0.5 microns of PTFE.
    13. 13. Etch both layers of PTFE down to sacrificial glass using Mask 4. This mask defines the gap at the edges of the main actuator paddle and the refill actuator paddle. This step is shown in Fig. 36.
    14. 14. Mount the wafer on a glass blank and back-etch the wafer using KOH, with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 37.
    15. 15. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 5. This mask defines the nozzle rim. This step is shown in Fig. 38.
    16. 16. Plasma back-etch through the boron doped layer using Mask 6. This mask defines the nozzle, and the edge of the chips.
    17. 17. Plasma back-etch nitride up to the glass sacrificial layer through the holes in the boron doped silicon layer. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 39.
    18. 18. Strip the adhesive layer to detach the chips from the glass blank.
    19. 19. Etch the sacrificial glass layer in buffered HF. This step is shown in Fig. 40.
    20. 20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
    21. 21. Connect the print heads to their interconnect systems.
    22. 22. Hydrophobize the front surface of the print heads.
    23. 23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 41.
    A Description of IJ10 TF
  • In an embodiment, an array of ink jet nozzles is provided with each of the nozzles being under the influence of a outside pulsed magnetic field. The outside pulsed magnetic field causes selected nozzles to eject ink from their ink nozzle chambers.
  • Turning initially to Fig. 42 and Fig. 43, there is illustrated a side perspective view, partly in section, of a single ink jet nozzle 910. Fig. 42 illustrates a nozzle in a quiescent position and Fig. 43 illustrates a nozzle in an ink ejection position. The ink jet nozzle 910 has an ink ejection port 911 for the ejection of ink on demand. The ink jet ejection port 911 is connected to an ink nozzle chamber 912 which is usually filled with ink and supplied from an ink reservoir 913 via holes eg. 915.
  • A magnetic actuation device 925 is included and comprises a magnetic soft core 917 which is surrounded by a nitride coating eg. 918. The nitride coating includes an end protuberance 927.
  • The magnetic core 917, operates under the influence of an external pulsed magnetic field. Hence, when the external magnetic field is very high, the actuator 925 is caused to move rapidly downwards and to thereby cause the ejection of ink from the ink ejection port 911. Adjacent the actuator 920 is provided a locking mechanism 920 which comprises a thermal actuator which includes a copper resistive circuit having two arms 922, 924. A current is passed through the connected arms 922, 924 thereby causing them to be heated. The arm 922, being of a thinner construction undergoes more resistive heating than the arm 924 which has a much thicker structure. The arm 922 is also of a serpentine nature and is encased in polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion, thereby increasing the degree of expansion upon heating. The copper portions expand with the PTFE portions by means of concertinaing. The arm 924 has a thinned portion 929 (Fig. 44) which becomes the concentrated bending region in the resolution of the various forces activated upon heating. Hence, any bending of arm 924 is accentuated in the region 929 and upon heating, the region 929 bends so that end portion 926 (Fig. 42) moves out to block any downward movement of the edge 927 of the actuator 925. Hence, when it is desired to eject an ink drop from a current nozzle chamber, the locking mechanism 920 is not activated and as a result ink is ejected from the ink ejection port during the next external magnetic pulse phase. When a current nozzle is not to eject ink, the locking mechanism 920 is activated to block any movement of the actuator 925 and therefore stop the ejection of ink from the chamber.
  • Importantly, the actuator 920 is located within a cavity 928 such that the volume of ink flowing past arm 922 is extremely low whereas the arm 924 receives a much larger volume of ink flow during operation.
  • Turning now to Fig. 44, there is illustrated an exploded perspective view of a single ink jet nozzle 910 illustrating the various layers which make up the nozzle. The nozzle 910 can be constructed on a semiconductor wafer utilising standard semiconductor processing techniques in addition to those techniques commonly used for the construction of micro-electromechanical systems (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. At the bottom level 930 is constructed the nozzle plate including the ink ejection port 911. The nozzle plate 930 can be constructed from a buried boron doped epitaxial layer of a silicon wafer which has been back etched to the point of the epitaxial layer. The epitaxial layer itself is then etched utilising a mask so as to form the nozzle rim (not shown) and the nozzle hole 911.
  • Next, is the silicon wafer layer 932 which is etched so as to include the nozzle chamber 912. The silicon layer 932 can be etched to contain substantially vertical side walls through the utilisation of high density, low pressure plasma etching such as that available from Surface Technology Systems and subsequently filled with sacrificial material which will be later etched away.
  • On top of the silicon layer is deposited a two level CMOS circuitry layer 933 which comprises substantially glass in addition to the usual metal and poly layers. The layer 933 includes the formation of the heater element contacts which can be constructed from copper. The PTFE layer 935 can be provided as a departure from normal construction with a bottom PTFE layer being first deposited followed by the copper layer 934 and a second PTFE layer to cover the copper layer 934.
  • Next, a nitride passivation layer 936 is provided which acts to provide a passivation surface for the lower layers in addition to providing a base for a soft magnetic Nickel Ferrous layer 917 which forms the magnetic actuator portion of the actuator 925. The nitride layer 936 includes bending portions 940 utilised in the bending of the actuator.
  • Next a nitride passivation layer 939 is provided so as to passivate the top and side surfaces of the nickel iron (NiFe) layer 917.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
    2. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
    3. 3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 46. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 45 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    4. 4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges of the print head chips. This step is shown in Fig. 47.
    5. 5. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 48.
    6. 6. Deposit 0.5 microns of silicon nitride (Si3N4).
    7. 7. Deposit 10 microns of sacrificial material. Planarize down to one micron over nitride using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 49.
    8. 8. Deposit 0.5 microns of polytetrafluoroethylene (PTFE).
    9. 9. Etch contact vias in the PTFE, the sacrificial material, nitride, and CMOS oxide layers down to second level metal using Mask 2. This step is shown in Fig. 50.
    10. 10. Deposit 1 micron of titanium nitride (TiN).
    11. 11. Etch the TiN using Mask 3. This mask defines the heater pattern for the hot arm of the catch actuator, the cold arm of the catch actuator, and the catch. This step is shown in Fig. 51.
    12. 12. Deposit 1 micron of PTFE.
    13. 13. Etch both layers of PTFE using Mask 4. This mask defines the sleeve of the hot arm of the catch actuator. This step is shown in Fig. 52.
    14. 14. Deposit a seed layer for electroplating.
    15. 15. Spin on 11 microns of resist, and expose and develop the resist using Mask 5. This mask defines the magnetic paddle. This step is shown in Fig. 53.
    16. 16. Electroplate 10 microns of ferromagnetic material such as nickel iron (NiFe). This step is shown in Fig. 54.
    17. 17. Strip the resist and etch the seed layer.
    18. 18. Deposit 0.5 microns of low stress PECVD silicon nitride.
    19. 19. Etch the nitride using Mask 6, which defines the spring. This step is shown in Fig. 55.
    20. 20. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 56.
    21. 21. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 57.
    22. 22. Plasma back-etch through the boron doped layer using Mask 8. This mask defines the nozzle, and the edge of the chips.
    23. 23. Plasma back-etch nitride up to the glass sacrificial layer through the holes in the boron doped silicon layer. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 58.
    24. 24. Strip the adhesive layer to detach the chips from the glass blank.
    25. 25. Etch the sacrificial layer. This step is shown in Fig. 59.
    26. 26. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
    27. 27. Connect the print heads to their interconnect systems.
    28. 28. Hydrophobize the front surface of the print heads.
    29. 29. Fill the completed print heads with ink, apply an oscillating magnetic field, and test the print heads. This step is shown in Fig. 60.
    A Description of IJ17 S
  • In an embodiment, an oscillating ink reservoir pressure is utilised to eject ink from ejection nozzles. Each nozzle has an associated shutter which normally blocks the nozzle. The shutter is moved away from the nozzle by an actuator whenever an ink drop is to be fired.
  • Turning initially to Fig. 61, there is illustrated an exploded perspective view of a single ink jet nozzle 1610 as constructed in accordance with the principles of the present invention. The exploded perspective illustrates a single ink jet nozzle 1610. Ideally, the nozzles are formed as an array at a time on a bottom silicon wafer 1612. The silicon wafer 1612 is processed so as to have two level metal CMOS circuitry which includes metal layers and glass layers 1613 and which are planarised after construction. The CMOS metal layer has a reduced aperture 1614 for the access of ink from the back of silicon wafer 1612 via the larger radius portal 1615.
  • A bottom nitride layer 1616 is constructed on top of the CMOS layer 1613 so as to cover, protect and passivate the CMOS layer 1613 from subsequent etching processes. Subsequently, there is provided a copper heater layer 1618 which is sandwiched between two polytetrafluoroethylene (PTFE) layers 1619,1620. The copper layer 1618 consists of a circuit having two arms e.g. 1622,1623. The copper layer 1618 is connected to lower CMOS layer 1613 through vias 1625,1626. The copper layer 1618 and PTFE layers 1619,1620 are encapsulated within nitride borders e.g. 1628 and nitride top layer 1629 which includes an ink ejection portal 1630 in addition to a number of sacrificial etched access holes 1632 which are of a smaller dimension than the ejection portal 1630 and are provided for allowing access of a etchant to lower sacrificial layers thereby allowing the utilisation of a the etchant in the construction of layers, 1618,1619,1620 and 1628.
  • Turning now to Fig. 62, there is shown a cut-out perspective view of a fully constructed ink jet nozzle 1610. The ink jet nozzle uses an oscillating ink pressure to eject ink from ejection port 1630. Each nozzle has an associated shutter 1631 which normally blocks it. The shutter 1631 is moved away from the ejection port 1630 opening by an actuator 1635 whenever an ink drop is to be fired.
  • The nozzles 1630 are connected to ink chambers which contain the actuators 1635. These chambers are connected to ink supply channels 1636 which are etched through the silicon wafer. The ink supply channels 1636 are substantially wider than the nozzles 1630, to reduce the fluidic resistance to the ink pressure wave. The ink channels 1636 are connected to an ink reservoir. An ultrasonic transducer (for example, a piezoelectric transducer) is positioned in the reservoir. The transducer oscillates the ink pressure at approximately 100 KHz. The ink pressure oscillation is sufficient that ink drops would be ejected from the nozzle were it not blocked by the shutter 1631.
  • The shutters are moved by a thermoelastic actuator 1635. The actuators are formed as a coiled serpentine copper heater 1623 embedded in polytetrafluoroethylene (PTFE) 1619/1620. PTFE has a very high coefficient of thermal expansion (approximately 77010-6). The current return trace 1622 from the heater 1623 is also embedded in the PTFE actuator 1635, the current return trace 1622 is made wider than the heater trace 1623 and is not serpentine. Therefore, it does not heat the PTFE as much as the serpentine heater 1623 does. The serpentine heater 1623 is positioned along the inside edge of the PTFE coil, and the return trace is positioned on the outside edge. When actuated, the inside edge becomes hotter than the outside edge, and expands more. This results in the actuator 1635 uncoiling.
  • The heater layer 1623 is etched in a serpentine manner both to increase its resistance, and to reduce its effective tensile strength along the length of the actuator. This is so that the low thermal expansion of the copper does not prevent the actuator from expanding according to the high thermal expansion characteristics of the PTFE.
  • By varying the power applied to the actuator 1635, the shutter 1631 can be positioned between the fully on and fully off positions. This may be used to vary the volume of the ejected drop. Drop volume control may be used either to implement a degree of continuous tone operation, to regulate the drop volume, or both.
  • When data signals distributed on the print head indicate that a particular nozzle is turned on, the actuator 1635 is energised, which moves the shutter 1631 so that it is not blocking the ink chamber. The peak of the ink pressure variation causes the ink to be squirted out of the nozzle 1630. As the ink pressure goes negative, ink is drawn back into the nozzle, causing drop break-off. The shutter 1631 is kept open until the nozzle is refilled on the next positive pressure cycle. It is then shut to prevent the ink from being withdrawn from the nozzle on the next negative pressure cycle.
  • Each drop ejection takes two ink pressure cycles. Preferably half of the nozzles 1610 should eject drops in one phase, and the other half of the nozzles should eject drops in the other phase. This minimises the pressure variations which occur due to a large number of nozzles being actuated.
  • The amplitude of the ultrasonic transducer can be altered in response to the viscosity of the ink (which is typically affected by temperature), and the number of drops which are to be ejected in the current cycle. This amplitude adjustment can be used to maintain consistent drop size in varying environmental conditions.
  • The drop firing rate can be around 50 KHz. The ink jet head is suitable for fabrication as a monolithic page wide print head. Fig. 62 shows a single nozzle of a 1600 dpi print head in "up shooter" configuration.
  • Return again to Fig. 61, one method of construction of the ink jet print nozzles 1610 will now be described. Starting with the bottom wafer layer 1612, the wafer is processed so as to add CMOS layers 1613 with an aperture 1614 being inserted. The nitride layer 1616 is laid down on top of the CMOS layers so as to protect them from subsequent etchings.
  • A thin sacrificial glass layer is then laid down on top of nitride layers 1616 followed by a first PTFE layer 1619, the copper layer 1618 and a second PTFE layer 1620. Then a sacrificial glass layer is formed on top of the PTFE layer and etched to a depth of a few microns to form the nitride border regions 1628. Next the top layer 1629 is laid down over the sacrificial layer utilising the mask for forming the various holes including the processing step of forming the rim 1640 on nozzle 1630. The sacrificial glass is then dissolved away and the channel 1615 formed through the wafer by means of utilisation of high density low pressure plasma etching such as that available from Surface Technology Systems.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. The wafer is passivated with 0.1 microns of silicon nitride. This step is shown in Fig. 64. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 63 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch nitride and oxide down to silicon using Mask 1. This mask defines the nozzle inlet below the shutter. This step is shown in Fig. 65.
    3. 3. Deposit 3 microns of sacrificial material (e.g. aluminum or photosensitive polyimide)
    4. 4. Planarize the sacrificial layer to a thickness of 1 micron over nitride. This step is shown in Fig. 66.
    5. 5. Etch the sacrificial layer using Mask 2. This mask defines the actuator anchor point. This step is shown in Fig. 67.
    6. 6. Deposit 1 micron of PTFE.
    7. 7. Etch the PTFE, nitride, and oxide down to second level metal using Mask 3. This mask defines the heater vias. This step is shown in Fig. 68.
    8. 8. Deposit the heater, which is a 1 micron layer of a conductor with a low Young's modulus, for example aluminum or gold.
    9. 9. Pattern the conductor using Mask 4. This step is shown in Fig. 69.
    10. 10. Deposit 1 micron of PTFE.
    11. 11. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator and shutter This step is shown in Fig. 70.
    12. 12. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    13. 13. Deposit 3 microns of sacrificial material. Planarize using CMP
    14. 14. Etch the sacrificial material using Mask 6. This mask defines the nozzle chamber wall. This step is shown in Fig. 71.
    15. 15. Deposit 3 microns of PECVD glass.
    16. 16. Etch to a depth of (approx.) 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 72.
    17. 17. Etch down to the sacrificial layer using Mask 6. This mask defines the roof of the nozzle chamber, the nozzle, and the sacrificial etch access holes. This step is shown in Fig. 73.
    18. 18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 74.
    19. 19. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 75.
    20. 20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer. The package also includes a piezoelectric actuator attached to the rear of the ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
    21. 21. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    22. 22. Hydrophobize the front surface of the print heads.
    23. 23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 76.
    A Description of IJ18 S
  • In an embodiment, an inkjet nozzle includes a shutter mechanism which interconnects the nozzle chamber with an ink supply reservoir, the reservoir being under an oscillating ink pressure. Hence, when the shutter is open, ink is forced through the shutter mechanism and out of the nozzle chamber. Closing of the shutter mechanism results in the nozzle chamber remaining in a stable state and not ejecting any ink from the chamber.
  • Turning initially to Fig. 77, there is illustrated a single nozzle chamber 1710 as constructed in accordance with the principles of an embodiment. The nozzle chamber 1710 can be constructed on a silicon wafer 1711, having an electrical circuitry layer 1712 which contains the control circuitry and drive transistors. The layer 1712 can comprise a two level metal CMOS layer or another suitable form of semi conductor processing layer. On top of the layer 1712 is deposited a nitride passivation layer1713. Fig. 77 illustrates the shutter in a closed state while Fig. 78 illustrates the shutter when in an open state.
  • Fig. 79 illustrates an exploded perspective view of the various layers of the inkjet nozzle when the shutters are in an open state as illustrated in Fig. 78. The nitride layer 1713 includes a series of slots e.g. 1715, 1716 and 1717 which allow for the flow of ink from an ink channel 1719 etched through the silicon wafer 1711. The nitride layer 1713 also preferably includes bottom portion 1720 which acts to passivate those exposed portions of lower layer 1712 which may be attacked in any sacrificial etch utilised in the construction of the nozzle chamber 1710. The next layers include a polytetrafluoroethylene (PTFE) layer 1722 having an internal copper structure 1723. The PTFE layers 1722 and internal copper portions 1723 comprise the operational core of the nozzle chamber arrangement 1710. The copper layer 1723 includes copper end posts, e.g. 1725-1727, interconnecting serpentine copper portions 1730,1731. The serpentine copper portions 1730,1731 are designed for concertinaing greatly upon heating. The heating circuit is provided by means of interconnecting vias (not shown) between the end portions, e.g. 1725-1727, and lower level CMOS circuitry at CMOS level 1712. Hence when it is desired to open the shutter, a current is passed through the two portions 1730,1731 thereby heating up portions 1734,1735 of the PTFE layer 1722. The PTFE layer has a very high co-efficient of the thermal expansion (approximately 77010-6) and hence expands more rapidly than the copper portions 1730,1731. However, the copper portions 1730,1731 are constructed in a serpentine manner which allows the serpentine structure to concertina to accommodate the expansion of the PTFE layer. This results in a buckling of the PTFE layer portions 1734,1735 which in turn results in a movement of the shutter portions e.g. 1737 generally in the direction 1738. The movement of the shutter 1737 in direction 1738 in turn results in an opening of the nozzle chamber 1710 to the ink supply. As stated previously, in Fig. 77 there is illustrated the shutter in a closed position whereas in Fig. 78, there is illustrated an open shutter after activation by means of passing a current through the two copper portions 1730,1731. The portions 1730,1731 are positioned along one side within the portions 1734,1735 so as to ensure buckling in the correct direction.
  • Nitride layers, including side walls 1740 and top portion 1741, are constructed to form the rest of a nozzle chamber 1710. The top surface includes an ink ejection nozzle 1742 in addition to a number of smaller nozzles 1743 which are provided for sacrificial etching purposes. The nozzles 1743 are much smaller than the nozzle 1742 such that, during operation, surface tension effects restrict any ejection of ink from the nozzles 1743.
  • In operation, the ink supply channel 1719 is driven with an oscillating ink pressure. The oscillating ink pressure can be induced by means of driving a piezoelectric actuator in an ink chamber. When it is desired to eject a drop from the nozzle 1742, the shutter is opened forcing the drop of ink out of the nozzle 1742 during the next high pressure cycle of the oscillating ink pressure. The ejected ink is separated from the main body of ink within the nozzle chamber 1710 when the pressure is reduced. The separated ink continues to the paper. Preferably, the shutter is kept open so that the ink channel may refill during the next high pressure cycle. Afterwards it is rapidly shut so that the nozzle chamber remains full during subsequent low cycles of the oscillating ink pressure. The nozzle chamber is then ready for subsequent refiring on demand.
  • The inkjet nozzle 1710 can be constructed as part of an array of inkjet nozzles through MEMS depositing of the various layers utilising the required masks, starting with a CMOS layer 1712 on top of which the nitride layer 1713 is deposited having the requisite slots. A sacrificial glass layer can then be deposited followed by a bottom portion of the PTFE layer 1722, followed by the copper layer 1723 with the lower layers having suitable vias for interconnecting with the copper layer. Next, an upper PTFE layer is deposited so as to encase to the copper layer 1723 within the PTFE layer 1722. A further sacrificial glass layer is then deposited and etched, before a nitride layer is deposited forming side walls 1740 and nozzle plate 1741. The nozzle plate 1741 is etched to have suitable nozzle holes 1742 and sacrificial etching holes 1743 with the plate also being etched to form a rim around the nozzle hole 1742. Subsequently, the sacrificial glass layers can be etched away, thereby releasing the structure of the actuator of the PTFE and copper layers. Additionally, the wafer can be through etched utilising a high density low pressure plasma etching process such as that available from Surface Technology Systems.
  • As noted previously many nozzles can be formed on a single wafer with the nozzles grouped into their desired width heads and the wafer diced in accordance with requirements. The diced print heads can then be interconnected to a print head ink supply reservoir on the back portion thereof, for operation, producing a drop on demand inkjet printer.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 81. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 80 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch the oxide layers down to silicon using Mask 1. This mask defines the lower fixed grill. This step is shown in Fig. 82.
    3. 3. Deposit 3 microns of sacrificial material (e.g. aluminum or photosensitive polyimide)
    4. 4. Planarize the sacrificial layer to a thickness of 0.5 micron over glass. This step is shown in Fig. 83.
    5. 5. Etch the sacrificial layer using Mask 2. This mask defines the nozzle chamber walls and the actuator anchor points. This step is shown in Fig. 84.
    6. 6. Deposit 1 micron of PTFE.
    7. 7. Etch the PTFE and oxide down to second level metal using Mask 3. This mask defines the heater vias. This step is shown in Fig. 85.
    8. 8. Deposit I micron of a conductor with a low Young's modulus, for example aluminum or gold.
    9. 9. Pattern the conductor using Mask 4. This step is shown in Fig. 86.
    10. 10. Deposit 1 micron of PTFE.
    11. 11. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator and shutter This step is shown in Fig. 87.
    12. 12. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    13. 13. Deposit 6 microns of sacrificial material.
    14. 14. Etch the sacrificial material using Mask 6. This mask defines the nozzle chamber wall. This step is shown in Fig. 88.
    15. 15. Deposit 3 microns of PECVD glass.
    16. 16. Etch to a depth of (approx.) 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 89.
    17. 17. Etch down to the sacrificial layer using Mask 6. This mask defines the roof of the nozzle chamber, the nozzle, and the sacrificial etch access holes. This step is shown in Fig. 90.
    18. 18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 91.
    19. 19. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 92.
    20. 20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer. The package also includes a piezoelectric actuator attached to the rear of the ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
    21. 21. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    22. 22. Hydrophobize the front surface of the print heads.
    23. 23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 93.
  • It would be appreciated by a person skilled in the art that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiment without departing from the spirit or scope of the invention as broadly described. The present embodiment is, therefore, to be considered in all respects to be illustrative and not restrictive.
  • A Description of IJ19 S
  • An embodiment utilises an ink reservoir with oscillating ink pressure and a shutter activated by a thermal actuator to eject drops of ink.
  • Turning now to Fig. 94, there is illustrated two ink nozzle arrangements 1820, 1821 as constructed in accordance with an embodiment. The ink nozzle arrangement 1820 is shown in an open position with the ink nozzle arrangement 1821 shown in a closed position. The ink nozzle arrangement of Fig. 94 can be constructed as part of a large array of nozzles or print heads on a silicon wafer utilising micro-electro mechanical technologies (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field such as the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • In Fig. 94, each of the ink nozzle arrangements 1820, 1821 covers an ink nozzle eg. 1822 from which ejection of ink occurs when the ink nozzle arrangement is in an open state and the pressure wave is at a maximum.
  • Each of the ink nozzle arrangements of Fig. 94 utilises a thermocouple actuator device 1809 having two arms. The ink nozzle arrangement 1820 utilises arms 1824, 1825 and the ink apparatus 1821 utilising thermocouple arms 1826, 1827. The thermocouple arms 1824, 1825 are responsible for movement of a grated shutter device within a shutter cage 1829.
  • Referring now to Fig. 95, there is illustrated the thermocouple arms 1824, 1825 and shutter 1830 of Fig. 94 without the cage. The shutter 1830 includes a number of apertures 1831 for the passage of ink through the shutter 1830 when the shutter is in an open state. The thermocouple arms 1824, 1825 are responsible for movement of the shutter 1830 upon activation of the thermocouple via means of an electric current flowing through bonding pads 1832, 1833 (Fig. 94). The thermal actuator of Fig. 95 operates along similar principles to that disclosed in the aforementioned proceedings by the authors J. Robert Reid, Victor M. Bright and John. H. Comtois with a number of significant differences in operation which will now be discussed. The arm 1824 can comprise an inner core of poly-silicon surrounded by an outer jacket of thermally insulating material. The cross-section of the arm 1824 is illustrated in Fig. 95 and includes the inner core 1840 and the outer core 1841.
  • It would be appreciated by a person skilled in the art that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiment without departing from the spirit or scope of the invention as broadly described. The present embodiment is, therefore, to be considered in all respects to be illustrative and not restrictive.
  • A current is passed through the two arms 1824, 1825 via bonding pads 1832, 1833. The arm 1824 includes an inner resistive element 1840, preferably comprising polysilicon or the like which heats up upon a current being passed through it. The thermal jacket 1841 is provided to isolate the inner core 1840 from the ink chamber 1811 in which the arms 1824, 1825 are immersed.
  • It should be noted that the arm 1824 contains a thermal jacket whereas the arm 1825 does not include a thermal jacket. Hence, the arm 1825 will be generally cooler than the arm 1824 and undergo a different rate of thermal expansion. The two arms acting together to form a thermal actuator. The thermocouple comprising arms 1824, 1825 results in movement of the shutter 1830 generally in the direction 1834 upon a current being passed through the two arms. Importantly, the arm 1825 includes a thinned portion 1836 (in Fig. 94) which amplifies the radial movement of shutter 1830 around a central axis near the bonding pads 1832, 1833 (in Fig. 94). This results in a "magnification" of the rotational effects of activation of the thermocouple, resulting in an increased movement of the shutter 1830. The thermocouples 1824, 1825 can be activated to move the shutter 1830 from the closed position as illustrated generally 1821 in Fig. 94 to an open position as illustrated 1820 in Fig. 94.
  • Returning now to Fig. 94 a second thermocouple actuator 1850 is also provided having first and second arms 1851, 1852. The actuator 1850 operates on the same physical principals as the arm associated with the shutter system 1830. The arm 1850 is designed to be operated so as to lock the shutter 1830 in an open or closed position. The arm 1850 locking the shutter 1830 in an open position Is illustrated in Fig. 94. When in a closed position, the arm 1850 locks the shutter by means of engagement of knob 1838 with a cavity on shutter 1830 (not shown). After a short period, the shutter 1830 is deactivated, and the hot arm 1824 (Fig. 95) of the actuator begins to cool.
  • An example timing diagram of operation of each ink nozzle arrangement will now be described. In Fig. 96 there is illustrated generally 1855 a first pressure plot which illustrates the pressure fluctuation around an ambient pressure within the ink chamber (1811 of Fig. 95) as a result of the driving of the piezo-electric actuator in a substantially sinusoidal manner. The pressure fluctuation 1870 is also substantially sinusoidal in nature and the printing cycle is divided into four phases being a drop formation phase 1871, a drop separation phase 1872, a drop refill phase 1873 and a drop settling phase 1874.
  • Also shown in Fig. 96 are clock timing diagrams 1856 and 1857. The first diagram 1856 illustrates the control pulses received by the shutter thermal actuator of a single ink nozzle so as to open and close the shutter. The second clock timing diagram 1857 is directed to the operation of the second thermal actuator or latch (eg. 1850 of Fig. 94).
  • At the start of the drop formation phase 1871 when the pressure 1870 within the ink chamber is going from a negative pressure to a positive pressure, the latch 1850 is actuated 1859 to an open state. Subsequently, the shutter is also actuated 1860 so that it also moves from a closed to an open position. Next, the latch 1850 is deactivated 1861 thereby locking the shutter in an open position with the head 1863 (Fig. 94) of the latch 1850 locking against one side of the shutter 1830. Simultaneously, the shutter 1830 is deactivated 1862 to reduce the power consumption in the nozzle.
  • As the ink chamber and ink nozzle are in a positive pressure state at this time, the ink meniscus will be expanding out of the ink nozzle.
  • Subsequently, the drop separation phase 1872 is entered wherein the chamber undergoes a negative pressure causing a portion of the flowing ink flowing out of the ink nozzle back into the chamber. This rapid flow causes ink bubble separation from the main body of ink. The ink bubble or jet then passes to the print media while the surface meniscus of the ink collapses back into the ink nozzle. Subsequently, the pressure cycle enters the drop refill stage 1873 with the shutter still open with a positive pressure cycle experienced. This causes rapid refilling of the ink chamber. At the end of the drop re-filling stage, the latch 1850 is opened 1863 causing the now cold shutter to spring back to a closed position. Subsequently, the latch is closed 1864 locking the shutter in the closed position, thereby completing one cycle of printing. The closed shutter allows a drop settling stage 1874 to be entered which allows for the dissipation of any resultant ringing or transient in the ink meniscus position while the shutter is closed. At the end of the drop settling stage, the state has returned to the start of the drop formation stage 1871 and another drop can be ejected from the ink nozzle.
  • Of course, a number of refinements of operation are possible. In a first refinement, the pressure wave oscillation which is shown to be a constant oscillation in magnitude and frequency can be altered in both respects. The size and period of each cycle can be scaled in accordance with such pre-calculated factors such as the number of nozzles ejecting ink and the tuned pressure requirements for nozzle refill with different inks. Further, the clock periods of operation can be scaled to take into account differing effects such as actuation speeds etc.
  • Turning now to Fig. 97, there is illustrated 1880 an exploded perspective view of one form of construction of the ink nozzle pair 1820, 1821 of Fig. 94.
  • The ink jet nozzles are constructed on a buried boron-doped layer 1881 of a silicon wafer 1871 which includes fabricated nozzle rims, e.g. 1883 which form part of the layer 1881 and limit any hydrophilic spreading of the meniscus on the bottom end of the layer 1881. The nozzle rim, e.g. 1883 can be dispensed with when the bottom surface of layer 1881 is suitably treated with a hydrophobosizing process.
  • On top of the wafer 1882 is constructed a CMOS layer 1885 which contains all the relevant circuitry required for driving of the two nozzles. This CMOS layer is finished with a silicon dioxide layer 1886. Both the CMOS layer 1885 and the silicon dioxide 1886 include triangular apertures 1887 and 1888 allowing for the fluid communication with the nozzle ports, e.g. 1884.
  • On top of the SiO2 layer 1886 are constructed the various shutter layers 1890 to 1892. A first shutter layer 1890 is constructed from a first layer of polysilicon and comprises the shutter and actuator mechanisms. A second shutter layer 1891 can be constructed from a polymer, for example, polyamide and acts as a thermal insulator on one arm of each of the thermocouple devices. A final covering cage layer 1892 is constructed from a second layer of polysilicon.
  • The construction of the nozzles 1880 relies upon standard semi-conductor fabrication processes and MEMS process known to those skilled in the art. For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
  • One form of construction of nozzle arrangement 1880 would be to utilise a silicon wafer containing a boron doped epitaxial layer which forms the final layer 1881. The silicon wafer layer 1882 is formed naturally above the boron doped epitaxial 1881. On top of this layer is formed the layer 1885 with the relevant CMOS circuitry etc. being constructed in this layer. The apertures 1887, 1888 can be formed within the layers by means of plasma etching utilising an appropriate mask. Subsequently, these layers can be passivated by means of a nitride covering and then filled with a sacrificial material such as glass which will be subsequently etched. A sacrificial material with an appropriate mask can also be utilised as a base for the moveable portions of the layer 1890 which are again deposited utilising appropriate masks. Similar procedures can be carried out for the layers 1891, 1892. Next, the wafer can be thinned by means of back etching of the wafer to the boron doped epitaxial layer 1891 which is utilised as an etchant stop. Subsequently, the nozzle rims and nozzle apertures can be formed and the internal portions of the nozzle chamber and other layers can be sacrificially etched away releasing the shutter structure. Subsequently, the wafer can be diced into appropriate print heads attached to an ink chamber wafer and tested for operational yield.
  • Of course, many other materials can be utilised to form the construction of each layer. For example, the shutter and actuators could be constructed from tantalum or a number of other substances known to those skilled in the art of construction of MEMS devices.
  • It will be evident to the person skilled in the art, that large arrays of ink jet nozzle pairs can be constructed on a single wafer and ink jet print heads can be attached to a corresponding ink chamber for driving of ink through the print head, on demand, to the required print media. Further, normal aspects of (MEMS) construction such as the utilisation of dimples to reduce the opportunity for stiction, while not specifically disclosed in the current embodiment would be obviously utilised as means to improve yield and operation of the shutter device as constructed in accordance with an embodiment.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
    2. 2. Deposit 10 microns of n/n+ epitaxial silicon. Note that the epitaxial layer is substantially thicker than required for CMOS. This is because the nozzle chambers are crystallographically etched from this layer. This step is shown in Fig. 99. Fig. 98 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle.
    3. 3. Plasma etch the epitaxial silicon with approximately 90 degree sidewalls using MEMS Mask 1. This mask defines the nozzle cavity. The etch is timed for a depth approximately equal to the epitaxial silicon (10 microns), to reach the boron doped silicon buried layer. This step is shown in Fig. 100.
    4. 4. Deposit 10 microns of low stress sacrificial oxide. Planarize down to silicon using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 101.
    5. 5. Begin fabrication of the drive transistors, data distribution, and timing circuits using a CMOS process. The MEMS processes which form the mechanical components of the inkjet are interleaved with the CMOS device fabrication steps. The example given here is of a 1 micron, 2 poly, 1 metal retrograde P-well process. The mechanical components are formed from the CMOS polysilicon layers. For clarity, the CMOS active components are omitted.
    6. 6. Grow the field oxide using standard LOCOS techniques to a thickness of 0.5 microns. As well as the isolation between transistors, the field oxide is used as a MEMS sacrificial layer, so inkjet mechanical details are incorporated in the active area mask. The MEMS features of this step are shown in Fig. 102.
    7. 7. Perform the PMOS field threshold implant. The MEMS fabrication has no effect on this step except in calculation of the total thermal budget.
    8. 8. Perform the retrograde P-well and NMOS threshold adjust implants. The MEMS fabrication has no effect on this step except in calculation of the total thermal budget.
    9. 9. Perform the PMOS N-tub deep phosphorus punchthrough control implant and shallow boron implant. The MEMS fabrication has no effect on this step except in calculation of the total thermal budget.
    10. 10. Deposit and etch the first polysilicon layer. As well as gates and local connections, this layer includes the lower layer of MEMS components. This includes the shutter, the shutter actuator, and the catch actuator. It is preferable that this layer be thicker than the normal CMOS thickness. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 103.
    11. 11. Perform the NMOS lightly doped drain (LDD) implant. This process is unaltered by the inclusion of MEMS in the process flow.
    12. 12. Perform the oxide deposition and RIE etch for polysilicon gate sidewall spacers. This process is unaltered by the inclusion of MEMS in the process flow.
    13. 13. Perform the NMOS source/drain implant. The extended high temperature anneal time to reduce stress in the two polysilicon layers must be taken into account in the thermal budget for diffusion of this implant. Otherwise, there is no effect from the MEMS portion of the chip.
    14. 14. Perform the PMOS source/drain implant. As with the NMOS source/drain implant, the only effect from the MEMS portion of the chip is on thermal budget for diffusion of this implant.
    15. 15. Deposit 1.3 micron of glass as the first interlevel dielectric and etch using the CMOS contacts mask. The CMOS mask for this level also contains the pattern for the MEMS inter-poly sacrificial oxide. The MEMS features of this step are shown in Fig. 104.
    16. 16. Deposit and etch the second polysilicon layer. As well as CMOS local connections, this layer includes the upper layer of MEMS components. This includes the grill and the catch second layer (which exists to ensure that the catch does not 'slip off the shutter. A polysilicon thickness of 1 micron can be used. The MEMS features of this step are shown in Fig. 105.
    17. 17. Deposit 1 micron of glass as the second interlevel dielectric and etch using the CMOS via 1 mask. The CMOS mask for this level also contains the pattern for the MEMS actuator contacts.
    18. 18. Deposit and etch the metal layer. None of the metal appears in the MEMS area, so this step is unaffected by the MEMS process additions. However, all required annealing of the polysilicon should be completed before this step. The MEMS features of this step are shown in Fig. 106.
    19. 19. Deposit 0.5 microns of silicon nitride (Si3N4) and etch using MEMS Mask 2. This mask defines the region of sacrificial oxide etch performed in step 24. The silicon nitride aperture is substantially undersized, as the sacrificial oxide etch is isotropic. The CMOS devices must be located sufficiently far from the MEMS devices that they are not affected by the sacrificial oxide etch. The MEMS features of this step are shown in Fig. 107.
    20. 20. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. The MEMS features of this step are shown in Fig. 108.
    21. 21. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using MEMS Mask 3. This mask defines the nozzle rim. The MEMS features of this step are shown in Fig. 109.
    22. 22. Plasma back-etch through the boron doped layer using MEMS Mask 4. This mask defines the nozzle, and the edge of the chips. At this stage, the chips are separate, but are still mounted on the glass blank. The MEMS features of this step are shown in Fig. 110.
    23. 23. Detach the chips from the glass blank. Strip the adhesive. This step is shown in Fig. 111.
    24. 24. Etch the sacrificial oxide using vapor phase etching (VPE) using an anhydrous HF/methanol vapor mixture. The use of a dry etch avoids problems with stiction. This step is shown in Fig. 112.
    25. 25. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer. The package also includes a piezoelectric actuator attached to the rear of the ink channels. The piezoelectric actuator provides the oscillating ink pressure required for the ink jet operation.
    26. 26. Connect the print heads to their interconnect systems.
    27. 27. Hydrophobize the front surface of the print heads.
    28. 28. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 113.
    A Description of IJ20 T
  • In an embodiment, an ink jet print head is constructed from an array of ink nozzle chambers which utilise a thermal actuator for the ejection of ink having a shape reminiscent of the calyx arrangement of a flower. The thermal actuator is activated so as to close the flower arrangement and thereby cause the ejection of ink from a nozzle chamber. formed in the space above the calyx arrangement. The calyx arrangement has particular advantages in allowing for rapid refill of the nozzle chamber in addition to efficient operation of the thermal actuator.
  • Turning to Fig. 114, there is shown a perspective - sectional view of a single nozzle chamber as constructed in accordance with an embodiment. The nozzle arrangement 1910 is based around a calyx type structure 1911 which includes a plurality of petals eg. 1913 which are constructed from polytetrafluoroethylene (PTFE). The petals 1913 include an internal resistive element 1914 which can comprise a copper heater. The resistive element 1914 is generally of a serpentine structure, such that, upon heating, the copper heater 1914 can concertina and thereby expand at the rate of expansion of the PTFE petals, e.g. 1913. The PTFE petal 1913 has a much higher coefficient thermal expansion (770 x 106) and therefore undergoes substantial expansion upon heating. The copper portions 1914 are constructed nearer to the lower surface of the PTFE petal 1913 and as a result, the bottom surface of PTFE petal 1913 is heated more rapidly than the top surface. The difference in thermal grading results in a bending upwards of the petals 1913 upon heating. Each petal eg. 1913 is heated together which results in a combined upward movement of all the petals at the same time which in turn results in the imparting of momentum to the ink within chambers 1916 such that ink is forced out of the ink nozzle 1914. The forcing out of ink out of ink nozzle 1917 results in an expansion of the meniscus 1918 and subsequently results in the ejection of drops of ink from the nozzle 1917.
  • An important advantageous feature of an embodiment is that PTFE is normally hydrophobic. In an embodiment the bottom surface of petals 1913 comprises untreated PTFE and is therefore hydrophobic. This results in an air bubble 1920 forming under the surface of the petals. The air bubble contracts on upward movement of petals 1913 as illustrated in Fig. 115 which illustrates a cross-sectional perspective view of the form of the nozzle after activation of the petal heater arrangement.
  • The top of the petals is treated so as to reduce its hydrophobic nature. This can take many forms, including plasma damaging in an ammonia atmosphere. The top of the petals 1913 is treated so as to generally make it hydrophilic and thereby attract ink into nozzle chamber 1916.
  • Returning now to Fig. 114, the nozzle chamber 1916 is constructed from a circular rim 1921 of an inert material such as nitride as is the top nozzle plate 1922. The top nozzle plate 1922 can include a series of the small etchant holes 1923 which are provided to allow for the rapid etching of sacrificial material utilised in the construction of the nozzle chamber 1910. The etchant holes 1923 are large enough to allow the flow of etchant into the nozzle chamber 1916 however, they are small enough so that surface tension effects retain any ink within the nozzle chamber 1916. A series of posts 1924 are further provided for support of the nozzle plate 1922 on a wafer 1925.
  • The wafer 1925 can comprise a standard silicon wafer on top of which is constructed data drive circuitry which can be constructed in the usual manner such as two level metal CMOS with portions one level of metal (aluminium) being utilised 1926 for providing interconnection with the copper circuitry portions 1927.
  • The arrangement 1910 of Fig. 114 has a number of significant advantages in that, in the petal open position, the nozzle chamber 1916 can experience rapid refill, especially where a slight positive ink pressure is utilised. Further, the petal arrangement provides a degree of fault tolerance in that, if one or more of the petals is non-functional, the remaining petals can operate so as to eject drops of ink on demand.
  • Turning now to Fig. 116, there is illustrated an exploded perspective of the various layers of a nozzle arrangement 1910. The nozzle arrangement 1910 is constructed on a base wafer 1925 which can comprise a silicon wafer suitably diced in accordance with requirements. On the silicon wafer 1925 is constructed a silicon glass layer which can include the usual CMOS processing steps to construct a two level metal CMOS drive and control circuitry layer. Part of this layer will include portions 1927 which are provided for interconnection with the drive transistors. On top of the CMOS layer 1926, 1927 is constructed a nitride passivation layer 1929 which provides passivation protection for the lower layers during operation and also should an etchant be utilised which would normally dissolve the lower layers. The PTFE layer 1930 really comprises a bottom PTFE layer below a copper metal layer 1931 and a top PTFE layer above it, however, they are shown as one layer in Fig. 116. Effectively, the copper layer 1931 is encased in the PTFE layer 1930 as a result. Finally, a nitride layer 1932 is provided so as to form the rim 1921 of the nozzle chamber and nozzle posts 1924 in addition to the nozzle plate.
  • The arrangement 1910 can be constructed on a silicon wafer by utilisation of micro-electro-mechanical systems techniques. For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. The PTFE layer 1930 can be constructed on a sacrificial material base such as glass, wherein a via for stem 1933 of layer 1930 is provided.
  • The layer 1932 is constructed on a second sacrificial etchant material base so as to form the nitride layer 1932. The sacrificial material is then etched away utilising a suitable etchant which does not attack the other material layers so as to release the internal calyx structure. To this end, the nozzle plate 1932 includes the aforementioned etchant holes eg. 1923 so as to speed up the etching process, in addition to the nozzle 1917 and the nozzle rim 1934.
  • The nozzles 1910 can be formed on a wafer of print heads as required. Further, the print heads can include supply means either in the form of a "through the wafer" ink supply means which utilises high density low pressure plasma etching such as that available from Surface Technology Systems or via means of side ink channels attached to the side of the print head. Further, areas can be provided for the interconnection of circuitry to the wafer in the normal fashion as is normally utilised with MEMS processes.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 118. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 117 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch through the silicon dioxide layers of the CMOS process down to silicon using Mask 1. This mask defines the ink inlet channels and the heater contact vias. This step is shown in Fig. 119.
    3. 3. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface. This step is shown in Fig. 120.
    4. 4. Deposit 3 micron of sacrificial material (e.g. photosensitive polyimide)
    5. 5. Etch the sacrificial layer using Mask 2. This mask defines the actuator anchor point. This step is shown in Fig. 121.
    6. 6. Deposit 0.5 micron of PTFE.
    7. 7. Etch the PTFE, nitride, and oxide down to second level metal using Mask 3. This mask defines the heater vias. This step is shown in Fig. 122.
    8. 8. Deposit 0.5 micron of heater material with a low Young's modulus, for example aluminum or gold.
    9. 9. Pattern the heater using Mask 4. This step is shown in Fig. 123.
    10. 10. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    11. 11. Deposit 1.5 microns of PTFE.
    12. 12. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator petals. This step is shown in Fig. 124.
    13. 13. Plasma process the PTFE to make the top surface hydrophilic.
    14. 14. Deposit 6 microns of sacrificial material.
    15. 15. Etch the sacrificial material to a depth of 5 microns using Mask 6. This mask defines the suspended walls of the nozzle chamber, the nozzle plate suspension posts, and the walls surrounding each ink color (not shown).
    16. 16. Etch the sacrificial material down to nitride using Mask 7. This mask defines the nozzle plate suspension posts and the walls surrounding each ink color (not shown). This step is shown in Fig. 125.
    17. 17. Deposit 3 microns of PECVD glass. This step is shown in Fig. 126.
    18. 18. Etch to a depth of 1 micron using Mask 8. This mask defines the nozzle rim. This step is shown in Fig. 127.
    19. 19. Etch down to the sacrificial layer using Mask 9. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 128.
    20. 20. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 10. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 129.
    21. 21. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 130.
    22. 22. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    23. 23. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    24. 24. Hydrophobize the front surface of the print heads.
    25. 25. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 131.
    A Description of IJ21 S
  • Turning initially to Fig. 132, in an embodiment 2001, there is provided an ink chamber 2002 which is supplied from an ink supply conduit 2003. A piezo electric actuator 2004 is driven in a substantially sign waive form so as to set up pressure waves 2006 within the chamber 2002. The ultrasonic transducer 2004 can typically comprise a piezo electric transducer positioned within the reservoir. The transducer 2004 oscillates the ink pressure within the chamber 2002 at approximately 100 KHz. The pressure is sufficient to eject the ink drops from each nozzle apparatus 2012 when required. Each nozzle 2008 is provided with a shutter 2010 which is opened and foreclosed on demand.
  • Turning now to Fig. 133, there is illustrated a single nozzle apparatus 2012 of Fig. 132.
  • Each nozzle apparatus 2012 includes a nozzle hole 2013 for the output of ink and a nozzle chamber 2014 which is normally filled with ink. Further, each nozzle is provided with a shutter 2010 which is designed to open and close nozzle chamber 2014 on demand. The shutter 2010 is actuated by a coiled thermal actuator 2015.
  • The coiled actuator 2015 is constructed from laminated conductors of either differing resistivities, different cross-section areas, different indices of thermal expansion, different thermal conductivities to the ink, different length, or some combination thereof. The coiling radius of the actuator 2015 changes when a current is passed through the conductors, as one side of the coiled beam expands differently than the other. One method, as illustrated in Fig. 133, can be to utilise two current paths2035, 2036, which are made of electrically conductive material. The current paths 2036, 2037 are connected at the shutter end 2017 of the thermal actuator 2015. One current path 2036 is etched in a serpentine manner to increase its resistance. When a current is passed through paths 2035, 2036, the side of the coiled actuator 2015 that comprises the serpentine path expands more. This results in the actuator 2015 uncoiling.
  • The thermal actuator 2015 controls the position of the shutter 2010 so that it can cover none, all or part of the nozzle chamber 2014. If the shutter 2010 does not cover any of the nozzle chamber 2014 then the oscillating ink pressure will be transmitted to the nozzle chamber 2014 and the ink will be ejected out of the nozzle hole 2013. When the shutter 2010 covers the ink chamber 2014, then the oscillating ink pressure of the chamber is significantly attenuated at the nozzle hole 2013. The ink pressure within the chamber 2014 will not be entirely stopped, due to leakage around the shutter 2010 when in a closed position and fixing of the shutter under varying pressures.
  • The shutter 2010 may also be driven to be part way across the nozzle chamber 2014, resulting in a partial attenuation of the ink pressure variation. This can be used to vary the volume of the ejected drop. This can be utilised to implement a degree of continuation tone operation of the nozzle hole 2013, to regulate the drop volume, or both. The shutter is normally shut, and is opened on demand.
  • The operation of the inkjet nozzle will now be explained in further detail.
  • Referring to Fig. 134, the piezo electric device is driven in a sinusoidal manner which in turn causes a sinusoidal variation 2070 in the pressure within the ink chamber 2002 (Fig. 132) with respect to time.
  • The operation of the printed devices utilises four phases being an ink ejection phase 2071, an ink separation phase 2072 an ink refill phase 2073 and an idle ink nozzle phase 2074.
  • Referring now to Fig. 135, before the ink ejection phase 2071 of Fig. 134, the shutter 2010 is located over the ink chamber 2014 and the ink forms a meniscus 2081 over the nozzle hole 2013.
  • At the start of the ejection phase 2071 the actuator coil is activated and the shutter 2010 moves away from its position over the chamber 2014 as illustrated in Fig. 136. As the chamber undergoes positive pressure, the meniscus 2081 grows and the volume of ink 2091 outside the nozzle hole 2013 increases due to an ink flow 2082. Subsequently, the separation phase 2072 of Fig. 134 is entered. In this phase, the pressure within the chamber 2014 becomes less than the ambient pressure. This causes a back flow 2083 (Fig. 137) within the chamber 2014 and results in the separation of a body of ink 2084 from the nozzle hole 2013. The negative pressure 2083 forcing the meniscus 2085 up the ink chamber 2014.
  • Subsequently, the ink chamber enters the refill phase 2073 of Fig. 134 wherein positive pressure is again experienced. This results in the situation 2110 as illustrated in Fig. 138 wherein the meniscus position 2111 is returned to that of Fig. 135. Subsequently, as illustrated in Fig. 143, the actuator is turned off and the shutter 2010 returns to its original position ready for reactivation (idle phase 2074 of Fig. 134).
  • The cycle operation as illustrated in Fig. 134 has a number of advantages. In particular, the level and duration of each sinusoidal cycle can be closely controlled by means of controlling the signal to the piezo electric actuator 2004 (Fig. 132). Of course, a number of further variations are possible. For example, as each drop ejection takes two ink pressure cycles, half the nozzles, e.g., nozzles 2020, 2022 and 2024 of Fig. 132 could be ejected in one phase and the other half of the nozzles e.g.,2021, 2023 could be ejected during a second phase. This allows for minimisation of the pressure variations which occurs due to large numbers of nozzles being actuated simultaneously.
  • Further, the amplitude of the driving signal to the actuator 2004 can be altered in response to the viscosity of the ink which will be typically effected by such factors as temperature and the number of drops which are to be ejected in the current cycle.
  • Construction and Fabrication
  • Each nozzle further includes drive circuitry which activates the actuator spiral when the shutter is to be opened. The nozzle chamber should be carefully dimensioned such that the radius of the nozzle tip is carefully selected to control the drop velocity and drop size. Further, the nozzle chamber 2014 of Fig. 133 should be wide enough so that fiscous drag from the chamber walls do not significantly increase the force required from the ultrasonic oscillator.
  • Preferably, the shutter 2010 is of a disk form which covers the nozzle chamber. The disk preferably has a honeycomb like structure to maximise strength while minimising its inertial mass.
  • Preferably, all surfaces are coated with a passivation layer so as to reduce the possibilities of corrosion from the ink flow. A suitable passivation layer can include silicon nitride (Si3N4), diamond like carbon (DLC), or other chemically inert, highly impermeable layer. The passivation layer is obviously especially important for device lifetime, as the active device will be immersed in ink. Fabrication Sequence
  • Fig. 140 is an exploded perspective view illustrating the construction of a single ink jet nozzle in accordance with an embodiment.
    1. 1) Start with a single crystal silicon wafer 2120, which has a buried epitaxial layer 2121 of silicon which his heavily doped with boron. The boron should be doped to preferably 1020 atoms per cm3 of boron or more, and be approximately 2 micron thick. The lightly doped silicon epitaxial layer on top of the boron doped layer should be approximately 8 micron thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is hereinafter called the "Sopij" wafer. The wafer diameter should be the same as the ink channel wafer.
    2. 2) Fabricate the drive transistors and data distribution circuitry according to the process chosen in the CMOS layer 2122, up until oxide over second level metal.
    3. 3) Planarise the wafer using Chemical Mechanical Planarisation (CMP).
    4. 4) Plasma etch the nozzle chamber, stopping at the boron doped epitaxial silicon layer. This etch will be through around 8 micron of silicon. The etch should be highly anisotropic, with near vertical sidewalls. The etch stop detection can be on boron in the exhaust gasses. This step also etches the edge of the print head chips down to the boron layer 2121, for later separation.
    5. 5) Conformally deposit 0.2 micron of high density Si3N42123. This forms a corrosion barrier, so should be free of pinholes, and be impermeable to OH ions.
    6. 6) Deposit a thick sacrificial layer. This layer should entirely fill the nozzle chambers, and coat the entire wafer to an added thickness of 2 micron. The sacrificial layer may be SiO2, for example, spin or glass (SOG).
    7. 7) Mask and etch the sacrificial layer using the coil post mask.
    8. 8) Deposit 0.2 micron of silicon nitride (Si3N4).
    9. 9) Mask and etch the Si3N4 layer using the coil electric contacts mask, a first layer of PTFE layer 2124 using the coil mask.
    10. 10) Deposit 4 micron of nichrome alloy (NiCr).
    11. 11) Deposit the copper conductive layer 2125 and etch using the conductive layer mask.
    12. 12) Deposit a second layer of PTFE using the coil mask.
    13. 13) Deposit 0.2 micron of silicon nitride (Si3N4) (not shown).
    14. 14) Mask and etch the Si3N4, layer using the spring passivation and bond pad mask.
    15. 15) Permanently bond the wafer onto a pre-fabricated ink channel wafer. The active side of the Sopij wafer faces the ink channel wafer.
    16. 16) Etch he Sopij wafer to entirely remove the backside silicon to the level of the boron doped epitaxial layer. This etch can be a batch wet etch in ethylene-diamine pyrocatechol (EPD).
    17. 17) Mask the nozzle holes 2013 from the underside of the Sopij wafer. This mask also includes the chip edges.
    18. 18) Etch through the boron doped silicon layer. This etch should also etch fairly deeply into the sacrificial material in the nozzle chambers 2014 to reduce time required to remove the sacrificial layer.
    19. 19) Completely etch the sacrificial material. If this material is SiO2, then a HF etch can be used. Access of the HF to the sacrificial layer material is through the nozzle, and simultaneously through the ink channel chip.
    20. 20) Separate the chips from the backing plate. The two wafers have already been etched through, so the print heads do not need to be diced.
    21. 21) TAB bond the good chips.
    22. 22) Perform final testing on the TAB bonded print heads.
  • One alternative form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
    2. 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
    3. 3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. The wafer is passivated with 0.1 microns of silicon nitride. This step is shown in Fig. 142. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 141 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    4. 4. Etch the CMOS oxide layers down to silicon using Mask 1. This mask defines the nozzle chamber below the shutter, and the edges of the print heads chips.
    5. 5. Plasma etch the silicon down to the boron doped buried layer, using oxide from step 4 as a mask. This step is shown in Fig. 143.
    6. 6. Deposit 6 microns of sacrificial material (e.g. aluminum or photosensitive polyimide)
    7. 7. Planarize the sacrificial layer to a thickness of 1 micron over nitride. This step is shown in Fig. 144.
    8. 8. Etch the sacrificial layer using Mask 2. This mask defines the actuator anchor point. This step is shown in Fig. 145.
    9. 9. Deposit 1 micron of PTFE.
    10. 10. Etch the PTFE, nitride, and oxide down to second level metal using Mask 3. This mask defines the heater vias. This step is shown in Fig. 146.
    11. 11. Deposit 1 micron of a conductor with a low Young's modulus, for example aluminum or gold.
    12. 12. Pattern the conductor using Mask 4. This step is shown in Fig. 147.
    13. 13. Deposit 1 micron of PTFE.
    14. 14. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator and shutter This step is shown in Fig. 148.
    15. 15. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    16. 16. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 149.
    17. 17. Plasma back-etch the boron doped silicon layer to a depth of (approx.) 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 150.
    18. 18. Plasma back-etch through the boron doped layer using Mask 7. This mask defines the nozzle, and the edge of the chips. At this stage, the chips are separate, but are still mounted on the glass blank. This step is shown in Fig. 151.
    19. 19. Detach the chips from the glass blank and etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 152.
    20. 20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
    21. 21. Connect the print heads to their interconnect systems.
    22. 22. Hydrophobize the front surface of the print heads.
    23. 23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 153.
    A Description of IJ22 T
  • In an embodiment, there is a provided an ink jet print head with a series of nozzles, each nozzle includes an actuator device comprising a plurality of actuators which actuate a series of paddles that operate in an iris type motion so as to cause the ejection of ink from a nozzle chamber.
  • Turning initially to Fig. 154 to Fig. 156, there is illustrated a single nozzle arrangement 2210 for the ejection of ink from a nozzle ejection port 2211. The ink is ejected out of the nozzle port 2211 from a nozzle chamber area 2212 which is formed from 2204 substantially identical iris vanes 2214. Each iris vane 2214 is operated simultaneously to cause the ink within the nozzle chamber 2212 to be squeezed out of the nozzle chamber, thereby ejected the ink from ink ejection port 2211.
  • Each nozzle vane 2214 is actuated by means of a thermal actuator 2215 in its base. The thermal actuator 2215 has two arms, an expanding, flexible arm 2225 and a rigid arm 2226. Both arms are fixed at one end 2227 and are joined at the other end 2228. The expanding arm 2225 can be constructed from a polytetrafluoroethylene (PTFE) layer 2229, inside of which is constructed a serpentine copper heater 2216. The rigid arm 2226 of the thermal actuator 2215 comprises the return trays of the copper heater 2216 and the vane 2214. The result of the heating of the expandable arm 2225 of the thermal actuator 2215 is that the outer PTFE layer 2229 is caused to bend around thereby causing the vane 2214 to push ink towards the centre of nozzle chamber 2212. The serpentine trays of copper layer 2216 concertinas in response to the high thermal expansion of the PTFE layer 2229. The other vanes 2218-2220 are operated simultaneously. The four vanes therefore cause a general compression of the ink within the nozzle chamber 2212 resulting in a subsequent ejection from the ink ejection port 2211.
  • The roof of the nozzle arrangement is formed from a nitride layer 2222 and is supported by posts 2223. The nitride top layer 2222 includes a series of holes 2224 which are provided in order to facilitate more rapid etching of sacrificial materials within the lower layers during construction. The nitride layer etchant holes 2224 are provided of a small diameter such that surface tension effects are sufficient to stop any ink being ejected from the nitride holes 2224 as opposed to ink ejection port 2211 upon activation of the iris vanes.
  • The arrangement of Fig. 154 can be constructed on a silicon wafer utilising standard semi-conductor fabrication and micro-electro-mechanical systems (MEMS) techniques. For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field. The nozzle arrangement 2210 can be constructed on a silicon wafer and built up from utilising various sacrificial materials where necessary as is common practice with MEMS constructions. Turning to Fig. 156, there is illustrated an exploded perspective view of a single nozzle arrangement 2210 illustrated the various layers utilised in the construction of a single nozzle. The lowest layer of the construction comprises a silicon wafer base 2230. Obviously a large number of print heads each having a large number of print nozzles in accordance with requirements can be constructed on a single large wafer which is appropriately diced into separate print heads in accordance with requirements. On top of the silicon wafer layer 2230 is first constructed a CMOS circuitry/glass layer 2231 which provides all the necessary interconnections and driving control circuitry for the various heater circuits. On top of the CMOS layer 2231 is constructed a nitride passivation layer 2232 which is provided for passivating the lower CMOS layer 2231 against any etchants which may be utilised. Layer 2232 having the appropriate vias (not shown) for connection of the heating elements to the relevant portion of the lower CMOS layer 2231.
  • On top of the nitride layer 2232 is constructed the aluminium layer 2233 which includes the various heater element circuits in addition to vias to the lower CMOS layer.
  • Next a PTFE layer 2234 is provided with the PTFE layer 2234 actually comprising 2 layers which encase the lower copper layer 2233. Next, a first nitride layer 2236 is constructed for the iris vanes 2214, 2218-2220 of Fig. 154. On top of this is a second nitride layer 2237 which forms the posts and nozzle roof of the nozzle chamber.
  • The various layers 2233, 2234, 2236 and 2237 can be constructed utilising intermediate sacrificial layers which are, as standard with MEMS processes, subsequently etched away so as to release the functional device. Suitable sacrificial materials include glass. When necessary, such as in the construction of nitride layer 2237, various other semi-conductor processes such as dual damascene processing can be utilised.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. The wafer is passivated with 0.1 microns of silicon nitride. Relevant features of the wafer at this step are shown in Fig. 158. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 157 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of sacrificial material (e.g. aluminum or photosensitive polyimide)
    3. 3. Etch the sacrificial layer using Mask 1. This mask defines the nozzle chamber posts and the actuator anchor point. This step is shown in Fig. 159.
    4. 4. Deposit 1 micron of PTFE.
    5. 5. Etch the PTFE, nitride, and oxide down to second level metal using Mask 2. This mask defines the heater vias. This step is shown in Fig. 160.
    6. 6. Deposit 1 micron of a conductor with a low Young's modulus, for example aluminum or gold.
    7. 7. Pattern the conductor using Mask 3. This step is shown in Fig. 161.
    8. 8. Deposit 1 micron of PTFE.
    9. 9. Etch the PTFE down to the sacrificial layer using Mask 4. This mask defines the actuators. This step is shown in Fig. 162.
    10. 10. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    11. 11. Deposit 6 microns of sacrificial material.
    12. 12. Etch the sacrificial material using Mask 5. This mask defines the iris paddle vanes and the nozzle chamber posts. This step is shown in Fig. 163.
    13. 13. Deposit 3 microns of PECVD glass and planarize down to the sacrificial layer using CMP.
    14. 14. Deposit 0.5 micron of sacrificial material.
    15. 15. Etch the sacrificial material down to glass using Mask 6. This mask defines the nozzle chamber posts. This step is shown in Fig. 164.
    16. 16. Deposit 3 microns of PECVD glass.
    17. 17. Etch to a depth of (approx.) 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 165.
    18. 18. Etch down to the sacrificial layer using Mask 8. This mask defines the roof of the nozzle chamber, the nozzle, and the sacrificial etch access holes. This step is shown in Fig. 166.
    19. 19. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. When the silicon layer is etched, change the etch chemistry to etch the glass and nitride using the silicon as a mask. The wafer is also diced by this etch. This step is shown in Fig. 167.
    20. 20. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 168.
    21. 21. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    22. 22. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    23. 23. Hydrophobize the front surface of the print heads.
    24. 24. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 169.
    A Description of IJ23 T
  • In an embodiment, ink is ejected from a nozzle through the utilisation of the bending of a thermal actuator so as to eject the ink.
  • Turning now to Fig. 170, there is illustrated a single nozzle arrangement 2301 of an embodiment. The nozzle arrangement 2301 includes a thermal actuator 2302 located above a nozzle chamber 2303 and nozzle 2304. The thermal actuator 2302 includes an electrical circuit comprising leads 2306, 2307 connected to a serpentine resistive element 2308. The resistive element 2308 can comprise the copper layer in this respect, a copper stiffener 2309 is provided to provide support for one end of the thermal actuator 2302.
  • The copper resistive element 2308 is constructed in a serpentine manner to provide very little tensile strength along the length of the thermal actuator panel 2302.
  • The copper resistive element is embedded in a polytetrafluoroethylene (PTFE) layer 2312. The PTFE layer 2312 has a very high coefficient of thermal expansion (approximately 770 x 10-6). This layer undergoes rapid expansion when heated by the copper heater 2308. The copper heater 2308 is positioned closer to the top surface of the PTFE layer 2312, thereby heating the upper level of the PTFE layer 2312 faster than the bottom level, resulting in a bending down of the thermal actuator 2302 towards the nozzle 2304 in the nozzle chamber 2303.
  • The operation of the nozzle arrangement 2301 is as follows:
    1. 1) When data signals distributed on the print head indicate that a particular nozzle is to eject a drop of ink, a drive transistor for that nozzle is turned on. This energises the leads 2306, 2307, and the heater 2308 in the paddle for that nozzle. The heater 2308 is energised for approximately 3µs, with the actual duration depending upon the design chosen for the actuator nozzle.
    2. 2) The heater heats the PTFE layer 2312, with the top level of the PTFE layer 2312 being heated more rapidly than the bottom level. This causes the paddle to bend generally in the direction towards the nozzle 2304 in the nozzle chamber 2303, as illustrated in Fig. 171. The bending of the paddle pushes ink from the ink chamber 2303 out of the nozzle 2304.
    3. 3) When the heater current is turned off, the paddle 2302 begins to return to its quiescent position. The paddle return 'sucks' some of the ink back into the nozzle 2304 into the nozzle chamber, causing the ink ligament connecting the ink drop to the ink in the nozzle 2304 to thin. The forward velocity of the drop and backward velocity of the ink in the chamber are resolved by the ink drop breaking off from the ink in the nozzle. The ink drop then continues towards the recording medium.
    4. 4) The paddle 2302 is at the quiescent position until the next drop ejection cycle.
    Construction
  • In order to construct a series of nozzle arrangements 2301 having an actuator associated with each of the nozzles, the following major parts need to be constructed:
    • A liquid ink print head has one actuator associated with each of a multitude of nozzles. The actuator has the following major parts:
      • 1) Drive circuitry to drive the arrangement 2301.
      • 2) The nozzle tip 2304. The radius of the nozzle tip 2304 is an important determinant of drop velocity and drop size.
      • 3) The paddle 2302 is made of a heater layer 2308 embedded into PTFE layer 2312. The paddle 2302 is fixed to one end of the ink chamber, and the other end is suspended 'over' the nozzle. Approximately half of the paddle contains the copper heater 2308. The heater section is at the fixed end of the paddle.
      • 4) The nozzle chamber 2303. The nozzle chamber 2303 is slightly wider than the paddle. The gap between the paddle and the nozzle chamber is determined by the fluid dynamics of the ink ejection and refill process. If the gap is too large, much of the paddle force will be wasted on pushing ink around the edges of the paddle. If the gap is too small, the ink refill time will be too long. Also, if the gap is too small, the crystallographic etch of the nozzle chamber will take too long to complete. A 2µm gap will usually be sufficient. The nozzle chamber is also deep enough so that air ingested through the nozzle tip when the plunger returns to its quiescent state does not extend to the piston. If it does, the ingested bubble may form a cylindrical surface instead of a hemispherical surface. If this happens, the nozzle will not refill properly. A depth of approximately 20µm is suitable.
      • 5) Nozzle chamber ledges 2313. As the paddle 2302 moves approximately 10µm, and the crystallographic etch angle of chamber surface 2315 is 54.74 degrees, a gap of around 7µm is required between the edge of the paddle 2 and the outermost edge of nozzle chamber. The walls of nozzle chamber must also clear the nozzle hole. This requires that the nozzle chamber 3 be approximately 52µm wide, whereas the paddle 2302 is only 30 µm wide. Were there to be an 11µm gap around the paddle, too much ink would flow around to the sides of the paddle when the actuator is energised. To prevent this, the nozzle chamber 2303 is undercut 9µm into the silicon surrounding the paddle, leaving a 9µm wide ledge 2313 to prevent ink flow around the paddle.
    Example Basic Fabrication Sequence
  • Two wafers are required: a wafer upon which the active circuitry and nozzles are fabricated (the print head wafer) and a further wafer in which the ink channels are fabricated. This is the ink channel wafer. One form of construction of print head wafer will now be discussed with reference to Fig. 171 which illustrates an exploded perspective view of a single ink jet nozzle constructed in accordance with an embodiment.
    1. 1) Starting with a single crystal silicon wafer, which has a buried epitaxial layer 2316 of silicon which is heavily doped with boron. The boron should be doped to preferably 1020 atoms per cm3 of boron or more, and be approximately 3µm thick. The lightly doped silicon epitaxial layer 2315 on top of the boron doped layer should be approximately 8µm thick, and be doped in a manner suitable for the active semiconductor device technology chosen. This is the print head wafer. The wafer diameter should preferably be the same as the ink channel wafer.
    2. 2) The drive transistors and data distribution circuitry layer 2317 is fabricated according to the process chosen, up until the oxide layer over second level metal.
    3. 3) Next, a silicon nitride passivation layer 2318 is deposited.
    4. 4) Next, the actuator 2302 (Fig. 170) is constructed. The actuator comprises one copper layer 2319 embedded into a PTFE layer 2320. The copper layer 2319 comprises both the heater portion 2308 and planar portion 2309 (of Fig. 170). Turning now to Fig. 173, the corrugated resistive element can be formed by depositing a resist layer 2350 on top of the first PTFE layer 2351. The resist layer 2350 is exposed utilising a mask 2352 having a half-tone pattern delineating the corrugations. After development the resist 2350 contains the corrugation pattern. The resist layer 2350 and the PTFE layer 2351 are then etched utilising an etchant that erodes the resist layer 2350 at substantially the same rate as the PTFE layer 2351. This transfers the corrugated pattern into the PTFE layer 2351. Turning to Fig. 174, on top of the corrugated PTFE layer 2351 is deposited the copper heater layer 2319 which takes on a corrugated form in accordance with its under layer. The copper heater layer 2319 is then etched in a serpentine or concertina form. In Fig. 175 there is illustrated a top view of the copper layer 2319 only, comprising the serpentine heater element 2308 and stiffener 2309. Subsequently, a further PTFE layer 2353 is deposited on top of layer 2319 so as to form the top layer of the thermal actuator 2302. Finally, the second PTFE layer 2352 is planarised to form the top surface of the thermal actuator 2302 (Fig. 170).
    5. 5) Etch through the PTFE, and all the way down to silicon in the region around the three sides of the paddle. The etched region should be etched on all previous lithographic steps, so that the etch to silicon does not require strong selectivity against PTFE.
    6. 6) Etch the wafers in an anisotropic wet etch, which stops on <111> crystallographic planes or on heavily boron doped silicon. The etch can be a batch wet etch in ethylenediamine pyrocatechol (EDP). The etch proceeds until the paddles are entirely undercut whereby forming nozzle chamber 2303. The backside of the wafer need not be protected against this etch, as the wafer is to be subsequently thinned. Approximately 60µm of silicon will be etched from the wafer backside during this process.
    7. 7) Permanently bond the print head wafer onto a pre-fabricated ink channel wafer. The active side of the print head wafer faces the ink channel wafer. The ink channel wafer is attached to a backing plate, as it has already been etched into separate ink channel chips.
    8. 8) Etch the print head wafer to entirely remove the backside silicon to the level of the boron doped epitaxial layer 2316. This etch can be a batch wet etch in ethylenediamine pyrocatechol (EDP).
    9. 9) Mask the nozzle rim 2311 (Fig. 170) from the underside of the print head wafer. This mask is a series of circles approximately 0.5µm to 1µm larger in radius than the nozzles. The purpose of this step is to leave a raised rim 2311 around the nozzle tip, to help prevent ink spreading on the front surface of the wafer. This step can be eliminated if the front surface is made sufficiently hydrophobic to reliably prevent front surface wetting.
    10. 10) Etch the boron doped silicon layer 2316 to a depth of 1µm.
    11. 11) Mask the nozzle holes from the underside of the print head wafer. This mask can also include the chip edges.
    12. 12) Etch through the boron doped silicon layer to form nozzles 2304.
    13. 13) Separate the chips from their backing place. Each chip is now a full print head including ink channels. The two wafers have already been etched through, so the print heads do not need to be diced.
    14. 14) Test the print heads and TAB bond the good print heads.
    15. 15) Hydrophobise the front surface of the print heads.
    16. 17) Perform final testing on the TAB bonded print heads.
  • It would be evident to persons skilled in the relevant arts that the arrangement described by way of example in an embodiments will result in a nozzle arrangement able to eject ink on demand and be suitable for incorporation in a drop on demand ink jet printer device having an array of nozzles for the ejection of ink on demand.
  • Of course, alternative embodiments will also be self-evident to the person skilled in the art. For example, the thermal actuator could be operated in a reverse mode wherein passing current through the actuator results in movement of the paddle to an ink loading position when the subsequent cooling of the paddle results in the ink being ejected. However, this has a number of disadvantages in that cooling is likely to take a substantially longer time than heating and this arrangement would require a constant current to be passed through nozzles when not in use.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    • 1 . Using a double sided polished wafer deposit 3 microns of epitaxial silicon heavily doped with boron.
    • 2. Deposit 10 microns of epitaxial silicon, either p-type or n-type, depending upon the CMOS process used.
    • 3. Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 177. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 176 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    • 4. Etch the CMOS oxide layers down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, and the edges of the print heads chips. This step is shown in Fig. 178.
    • 5. Crystallographically etch the exposed silicon using, for example, KOH or EDP (ethylenediamine pyrocatechol). This etch stops on <111> crystallographic planes, and on the boron doped silicon buried layer. This step is shown in Fig. 179.
    • 6. Deposit 0.5 microns of low stress silicon nitride.
    • 7. Deposit 12 microns of sacrificial material (polyimide). Planarize down to nitride using CMP. The sacrificial material temporarily fills the nozzle cavity. This step is shown in Fig. 180.
    • 8. Deposit 1 micron of PTFE.
    • 9. Deposit, expose and develop 1 micron of resist using Mask 2. This mask is a gray-scale mask which defines the heater vias as well as the corrugated PTFE surface that the heater is subsequently deposited on.
    • 10. Etch the PTFE and resist at substantially the same rate. The corrugated resist thickness is transferred to the PTFE, and the PTFE is completely etched in the heater via positions. In the corrugated regions, the resultant PTFE thickness nominally varies between 0.25 micron and 0.75 micron, though exact values are not critical. This step is shown in Fig. 181.
    • 11. Etch the nitride and CMOS passivation down to second level metal using the resist and PTFE as a mask.
    • 12. Deposit and pattern resist using Mask 3. This mask defines the heater.
    • 13. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 2311 and 2312 form a lift-off process. This step is shown in Fig. 182.
    • 14. Deposit 1.5 microns of PTFE.
    • 15. Etch the PTFE down to the nitride or sacrificial layer using Mask 4. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 183.
    • 16. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    • 17. Plasma process the PTFE to make the top and side surfaces of the paddle hydrophilic. This allows the nozzle chamber to fill by capillarity.
    • 18. Mount the wafer on a glass blank and back-etch the wafer using KOH with no mask. This etch thins the wafer and stops at the buried boron doped silicon layer. This step is shown in Fig. 184.
    • 19. Plasma back-etch the boron doped silicon layer to a depth of 1 micron using Mask 5. This mask defines the nozzle rim. This step is shown in Fig. 185.
    • 20. Plasma back-etch through the boron doped layer and sacrificial layer using Mask 6. This mask defines the nozzle, and the edge of the chips. At this stage, the chips are still mounted on the glass blank. This step is shown in Fig. 186.
    • 21. Etch the remaining sacrificial material while the wafer is still attached to the glass blank.
    • 22. Plasma process the PTFE through the nozzle holes to render the PTFE surface hydrophilic.
    • 23. Strip the adhesive layer to detach the chips from the glass blank. This process completely separates the chips. This step is shown in Fig. 187.
    • 24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply different colors of ink to the appropriate regions of the front surface of the wafer.
    • 25. Connect the print heads to their interconnect systems.
    • 26. Hydrophobize the front surface of the print heads.
    • 27. Fill with ink and test the completed print heads. A filled nozzle is shown in Fig. 188.
    A Description of IJ24 T
  • In an embodiment, an inkjet nozzle is provided having a thermally based actuator which is highly energy efficient. The thermal actuator is located within a chamber filled with ink and relies upon the thermal expansion of materials when an electric current is being passed through them to activate the actuator thereby causing the ejection of ink out of a nozzle provided in the nozzle chamber.
  • Turning to the Figures, in Fig. 189, there are illustrated two adjoining inkjet nozzles 2410 constructed in accordance with an embodiment, with Fig. 190 showing an exploded perspective and Fig. 192 and 2404 showing various sectional views. Each nozzle 2410, can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • The nozzle chamber 2410 includes a ink ejection port 2411 for the ejection of ink from within the nozzle chamber. Ink is supplied via an inlet port 2412 which has a grill structure fabricated from a series of posts 2414, the grill acting to filter out foreign bodies within the ink supply and also to provide stability to the nozzle chamber structure. Inside the nozzle chamber is constructed a thermal actuator device 2416 which is interconnected to an electric circuit (not shown) which, when thermally actuated, acts as a paddle bending upwards so as to cause the ejection of ink from each ink ejection port 2411. A series of etchant holes e.g. 2418 are also provided in the top of nozzle chamber 2410, the holes 2418 being provided for manufacturing purposes only so to allow a sacrificial etchant to easily etch away the internal portions of nozzle chamber 2410. The etchant ports 2418 are of a sufficiently small diameter so that the resulting surface tension holds the ink within chamber 2410 such that no ink leaks out via ports 2418.
  • The thermal actuator 2416 is composed primarily of polytetrafluoroethylene (PTFE) which is a generally hydrophobic material. The top layer of the actuator 2416 is treated or coated so as to make it hydrophilic and thereby attract water/ink via inlet port 2412. Suitable treatments include plasma exposure in an ammonia atmosphere. The bottom surface remains hydrophobic and repels the water from the underneath surface of the actuator 2416. Underneath the actuator 2416 is provided a further surface 2419 also composed of a hydrophobic material such as PTFE. The surface 2419 has a series of holes 2420 in it which allow for the flow of air into the nozzle chamber 2410. The diameter of the nozzle holes 2420 again being of such a size so as to restrict the flow of fluid out of the nozzle chamber via surface tension interactions. out of the nozzle chamber.
  • The surface 2419 is separated from a lower level 2423 by means of a series of spaced apart posts e.g. 2422 which can be constructed when constructing the layer 2419 utilising an appropriate mask. The nozzle chamber 2410, but for grill inlet port 2412, is walled on its sides by silicon nitride walls e.g. 2425,2426. An air inlet port is formed between adjacent nozzle chambers such that air is free to flow between the walls 2425,2428. Hence, air is able to flow down channel 2429 and along channel 2430 and through holes e.g. 2420 in accordance with any fluctuating pressure influences.
  • The air flow acts to reduce the vacuum on the back surface of actuator 2416 during operation. As a result, less energy is required for the movement of the actuator 2416. In operation, the actuator 2416 is thermally actuated so as to move upwards and cause ink ejection. As a result, air flows in along channels 2429,2430 and through the holes e.g. 2420 into the bottom area of actuator 2416. Upon deactivation of the actuator 2416, the actuator lowers with a corresponding airflow out of port 2420 along channel 2430 and out of channel 2429. Any fluid within nozzle chamber 2410 is firstly repelled by the hydrophobic nature of the bottom side of the surface of actuator 2416 in addition to the top of the surface 2419 which is again hydrophobic. As noted previously the limited size holes e.g. 2420 further stop the fluid from passing the holes 2420 as a result of surface tension characteristics.
  • A further preferable feature of nozzle chamber 2410 is the utilisation of the nitride posts 2414 to also clamp one end of the surfaces 2416 and 2419 firmly to bottom surface 2420 thereby reducing the likelihood delaminating during operation.
  • In Fig. 190, there is illustrated an exploded perspective view of a single nozzle arrangement 2410. The exploded perspective view illustrates the form of construction of each layer of a simple nozzle arrangement 2410. The nozzle arrangement can be constructed on a base silicon wafer 2434 having a top glass layer which includes the various drive and control circuitry and which, for example, can comprise a two level metal CMOS layer with the various interconnects (not shown). On top of the layer 2435 is first laid out a nitride passivation layer 2423 of approximately one micron thickness which includes a number of vias (not shown) for the interconnection of the subsequent layers to the CMOS layer 2435. The nitride layer is provided primarily to protect lower layers from corrosion or etching, especially where sacrificial etchants are utilized. Next, a one micron PTFE layer 2419 is constructed having the aforementioned holes e.g. 2420 and posts 2422. The structure of the PTFE layer 2419 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2419 is deposited. The PTFE layer 2419 includes various features, for example, a lower ridge portion 2438 in addition to a hole 2439 which acts as a via for the subsequent material layers.
  • The actuator proper is formed from two PTFE layers 2440,2441. The lower PTFE layer 2440 is made conductive. The PTFE layer 2440 can be made conductive utilising a number of different techniques including:
    • (i) Doping the PTFE layer with another material so as to make it conductive.
    • (ii) Embedding within the PTFE layer a series of quantum wires constructed from such a material as carbon nano-tubes created in a mesh form. ("Individual single-wall carbon nano-tubes as quantum wires" by Tans et al Nature, Volume 386, 3rd April 1997 at pages 474-477). The PTFE layer 2440 includes certain cut out portions e.g. 2443 so that a complete circuit is formed around the PTFE actuator 2440. The cut out portions can be optimised so as to regulate the resistive heating of the layer 2440 by means of providing constricted portions so as to thereby increase the heat generated in various "hot spots" as required. A space is provided between the PTFE layer 2419 and the PTFE layer 2440 through the utilisation of an intermediate sacrificial glass layer (not shown).
  • On top of the PTFE layer 2440 is deposited a second PTFE layer 2441 which can be a standard non conductive PTFE layer and can include filling in those areas in the lower PTFE layer e.g. 2443 which are not conductive. The top of the PTFE layer is further treated or coated to make it hydrophilic.
  • Next, a nitride layer can be deposited to form the nozzle chamber proper. The nitride layer can be formed by first laying down a sacrificial glass layer and etching the glass layer to form walls e.g. 2425, 2426 and grilled portion e.g. 2414. Preferably, the mask utilised results a first anchor portion 2445 which mates with the hole 2439 in layer 2419 so as to fix the layer 2419 to the nitride layer 2423. Additionally, the bottom surface of the grill 2414 meets with a corresponding step 2447 in the PTFE layer 2441 so as to clamp the end portion of the PTFE layers 2441,2440 and 2439 to the wafer surface so as to guard against delamination. Next, a top nitride layer 2450 can be formed having a number of holes e.g. 2418 and nozzle hole 2411 around which a rim can be etched through etching of the nitride layer 2450. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.
  • Obviously, large arrays of inkjet nozzles 2410 can be created side by side on a single wafer. The ink can be supplied via ink channels etched through the wafer utilising a high density low pressure plasma etching system such as that supplied by Surface Technology Systems of the United Kingdom.
  • The foregoing describes only one embodiment of the invention and many variations of the embodiment will be obvious for a person skilled in the art of semi conductor, micro mechanical fabrication. Certainly, various other materials can be utilised in the construction of the various layers.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 194. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 193 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.
    3. 3. Deposit 2 microns of sacrificial material (e.g. polyimide).
    4. 4. Etch the sacrificial layer using Mask 1. This mask defines the PTFE venting layer support pillars and anchor point. This step is shown in Fig. 195.
    5. 5. Deposit 2 microns of PTFE.
    6. 6. Etch the PTFE using Mask 2. This mask defines the edges of the PTFE venting layer, and the holes in this layer. This step is shown in Fig. 196.
    7. 7. Deposit 3 micron of sacrificial material (e.g. polyimide).
    8. 8. Etch the sacrificial layer and CMOS passivation layer using Mask 3. This mask defines the actuator contacts. This step is shown in Fig. 197.
    9. 9. Deposit 1 micron of conductive PTFE. Conductive PTFE can be formed by doping the PTFE with a conductive material, such as extremely fine metal or graphitic filaments, or fine metal particles, and so forth. The PTFE should be doped so that the resistance of the PTFE conductive heater is sufficiently low so that the correct amount of power is dissipated by the heater when the drive voltage is applied. However, the conductive material should be a small percentage of the PTFE volume, so that the coefficient of thermal expansion is not significantly reduced. Carbon nanotubes can provide significant conductivity at low concentrations. This step is shown in Fig. 198.
    10. 10. Etch the conductive PTFE using Mask 4. This mask defines the actuator conductive regions. This step is shown in Fig. 199.
    11. 11. Deposit 1 micron of PTFE.
    12. 12. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator paddle. This step is shown in Fig. 200.
    13. 13. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    14. 14. Plasma process the PTFE to make the top and side surfaces of the paddle hydrophilic. This allows the nozzle chamber to fill by capillarity.
    15. 15. Deposit 10 microns of sacrificial material.
    16. 16. Etch the sacrificial material down to nitride using Mask 6. This mask defines the nozzle chamber and inlet filter. This step is shown in Fig. 201.
    17. 17. Deposit 3 microns of PECVD glass. This step is shown in Fig. 202.
    18. 18. Etch to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 203.
    19. 19. Etch down to the sacrificial layer using Mask 8. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 204.
    20. 20. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 205.
    21. 21. Back-etch the CMOS oxide layers and subsequently deposited nitride layers through to the sacrificial layer using the back-etched silicon as a mask.
    22. 22. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 206.
    23. 23. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    24. 24. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    25. 25. Hydrophobize the front surface of the print heads.
    26. 26. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 207.
    A Description of IJ27 T
  • In an embodiment, a "roof shooting" ink jet print head is constructed utilising a buckle plate actuator for the ejection of ink. In an embodiment, the buckle plate actuator is constructed from polytetrafluoroethylene (PTFE) which provides superior thermal expansion characteristics. The PTFE is heated by an integral, serpentine shaped heater, which preferably is constructed from a resistive material, such as copper.
  • Turning now to Fig. 208 there is shown a sectional perspective view of an ink jet head 2701 of an embodiment. The ink jet head includes a nozzle chamber 2702 in which ink is stored to be ejected. The chamber 2702 can be independently connected to an ink supply (not shown) for the supply and refilling of the chamber. At the base of the chamber 2702 is a buckle plate 2703 which comprises a heater element 2704 which can be an electrically resistive such as copper. The heater element 2704 is encased in a polytetrafluoroethylene layer 2705. The utilisation of the PTFE layer 2705 allows for high rates of thermal expansion and therefore more effective operation of the buckle plate 2703. PTFE has a high coefficient of thermal expansion (77010-6) with the copper having a much lower degree of thermal expansion. The copper layer 2704 is therefore fabricated in a serpentine pattern so as to allow the expansion of the PTFE layer to proceed unhindered. The serpentine fabrication of the heater means that the two coefficients of thermal expansion of the PTFE and the heater material need not be closely matched. The PTFE is primarily chosen for its high thermal expansion properties.
  • Current can be supplied to the buckle plate 2703 via means of connectors 2707, 2708 which inter-connect the buckle plate 2703 with a lower drive circuitry and logic layer 2709. Hence, to operate the ink jet head 2701, the heater coil 2704 is energised thereby heating the PTFE 2705. The PTFE 2705 expands and buckles between end portions 2712, 2713. The buckle causes initial ejection of ink out of a nozzle 2715 located at the top of the nozzle chamber 2702. There is an air bubble between the buckle plate 2703 and the adjacent wall of the chamber which forms due to the hydrophobic nature of the PTFE on the back surface of the buckle plate 2703. An air vent 2717 connects the air bubble to the ambient air through a channel 2718 formed between a nitride layer 2719 and an additional PTFE layer 2720, separated by posts, e.g. 2721, and through holes, e.g. 2722, in the PTFE layer 2720. The air vent 2717 allows the buckle plate 2703 to move without being held back by a reduction in air pressure as the buckle plate 2703 expands. Subsequently, power is turned off to the buckle plate 2703 resulting in a collapse of the buckle plate and the sucking back of some of the ejected ink. The forward motion of the ejected ink and the sucking back is resolved by an ink drop breaking off from the main volume of ink and continuing onto a page. Ink refill is then achieved by surface tension effects across the nozzle part 2715 and a resultant inflow ink into the nozzle chamber 2702 through the grilled supply channel 2716.
  • Subsequently the nozzle chamber 2702 is ready for refiring.
  • It has been found in simulations of an embodiment that the utilization of the PTFE layer and serpentine heater arrangement allows for a substantial reduction in energy requirements of operation in addition to a more compact design.
  • Turning now to Fig. 209, there is provided an exploded perspective view partly in sections illustrating the construction of a single ink jet nozzle in accordance with an embodiment. The nozzle arrangement 2701 is fabricated on top of a silicon wafer 2725. The nozzle arrangement 2701 can be constructed on the semi-conductor wafer 2725 utilising standard semi-conductor processing techniques in addition to those techniques commonly used for the construction of micro-electro-mechanical systems (MEMS). For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceedings of the SPIE (International Society for Optical Engineering), volumes 2642 and 2882 which contain the proceedings for recent advances and conferences in this field.
  • On top of the silicon layer 2725 is deposited a two level CMOS circuitry layer 2726 which substantially comprises glass, in addition to the usual metal layers. Next a nitride layer 2719 is deposited to protect and passivate the underlying layer 2726. The nitride layer 2719 also includes vias for the interconnection of the heater element 2704 to the CMOS layer 2726. Next, a PTFE layer 2720 is constructed having the aforementioned holes, e.g. 2722, and posts, e.g. 2721. The structure of the PTFE layer 2720 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 2720 is deposited. The PTFE layer 2720 includes various features, for example, a lower ridge portion 2727 in addition to a hole 2728 which acts as a via for the subsequent material layers. The buckle plate 2703 (Fig. 208) comprises a conductive layer 2731 and a PTFE layer 2732. A first, thicker PTFE layer is deposited onto a sacrificial layer (not shown). Next, a conductive layer 2731 is deposited including contacts 2729, 2730. The conductive layer 2731 is then etched to form a serpentine pattern. Next, a thinner, second PTFE layer is deposited to complete the buckle plate 2703 (Fig. 208) structure.
  • Finally, a nitride layer can be deposited to form the nozzle chamber proper. The nitride layer can be formed by first laying down a sacrificial glass layer and etching this to form walls, e.g. 2733, and grilled portions, e.g. 2734. Preferably, the mask utilised results in a first anchor portion 2735 which mates with the hole 2728 in layer 2720. Additionally, the bottom surface of the grill, for example 2734 meets with a corresponding step 2736 in the PTFE layer 2732. Next, a top nitride layer 2737 can be formed having a number of holes, e.g. 2738, and nozzle port 2715 around which a rim 2739 can be etched through etching of the nitride layer 2737. Subsequently the various sacrificial layers can be etched away so as to release the structure of the thermal actuator and the air vent channel 2718 (Fig. 208).
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 211. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 210 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.
    3. 3. Deposit 2 microns of sacrificial material (e.g. polyimide).
    4. 4. Etch the sacrificial layer using Mask 1. This mask defines the PTFE venting layer support pillars and anchor point. This step is shown in Fig. 212.
    5. 5. Deposit 2 microns of PTFE.
    6. 6. Etch the PTFE using Mask 2. This mask defines the edges of the PTFE venting layer, and the holes in this layer. This step is shown in Fig. 213.
    7. 7. Deposit 3 microns of sacrificial material.
    8. 8. Etch the sacrificial layer using Mask 3. This mask defines the anchor points at both ends of the buckle actuator. This step is shown in Fig. 214.
    9. 9. Deposit 1.5 microns of PTFE.
    10. 10. Deposit and pattern resist using Mask 4. This mask defines the heater.
    11. 11. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 10 and 11 form a lift-off process. This step is shown in Fig. 215.
    12. 12. Deposit 0.5 microns of PTFE.
    13. 13. Etch the PTFE down to the sacrificial layer using Mask 5. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 216.
    14. 14. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    15. 15. Plasma process the PTFE to make the top and side surfaces of the buckle actuator hydrophilic. This allows the nozzle chamber to fill by capillarity.
    16. 16. Deposit 10 microns of sacrificial material.
    17. 17. Etch the sacrificial material down to nitride using Mask 6. This mask defines the nozzle chamber. This step is shown in Fig. 217.
    18. 18. Deposit 3 microns of PECVD glass. This step is shown in Fig. 218.
    19. 19. Etch to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 219.
    20. 20. Etch down to the sacrificial layer using Mask 8. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 220.
    21. 21. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 221.
    22. 22. Back-etch the CMOS oxide layers and subsequently deposited nitride layers and sacrificial layer through to PTFE using the back-etched silicon as a mask.
    23. 23. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 222.
    24. 24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    25. 25. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    26. 26. Hydrophobize the front surface of the print heads.
    27. 27. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 223.
    A Description of IJ28 T
  • In an embodiment, a thermal actuator is utilised to activate a set of "vanes" so as to compress a volume of ink and thereby forcing ink out of an ink nozzle.
  • An embodiment fundamentally comprises a series of readily positioned vane chambers, e.g. 2802 which are normally filled with ink. The vane chambers include side walls made up of a first static vane 2803 having a first radial surface 2805 and a second circumferential surface 2806. A second set of "impeller vanes" e.g. 2807 is also provided which has a radially aligned surface and is attached to rings 2809, 2810 with the inner ring 2809 being pivotally mounted around a pivot unit 2812. The outer ring 2810 is also rotatable about the pivot point 2812 and is interconnected with a thermal actuator 2813. The thermal actuator 2813 is of a circumferential form and undergoes expansion and contraction thereby rotating the impeller vane 2807 towards the radial surface 2805 of the static vane 2803. As a consequence the vane chamber 2802 undergoes a rapid reduction in volume thereby resulting in a substantial increase in pressure resulting in the expulsion of ink from the chamber 2802.
  • The static vane 2803 is attached to a nozzle plate 2815. The nozzle plate 2815 includes a nozzle rim 2816 defining an aperture 2814 into the vane chambers 2802. The aperture 2814 defmed by rim 2816 allows for the injection of ink from the vane chambers 2802 onto the relevant print media.
  • Fig. 225 plan view taken from above of relevant portions of an ink jet nozzle 2801, constructed in accordance with an embodiment. The outer ring 2810 is interconnected at the points 2820, 2821 to thermal actuators 2813, 2822. The thermal actuators 2813, 2822 include inner resistive elements 2824, 2825 which are constructed from copper or the like. Copper has a low coefficient of thermal expansion and therefore constructed in a serpentine manner, so as to allow for greater expansion in the radial direction 2828. The inner resistive element is encased in an outer jacket, e.g. 2826 of a material having a high coefficient of thermal expansion. Suitable material includes polytetrafluoroethylene (PTFE) which has a high coefficient of thermal expansion (770 x 10-6). The thermal actuators eg. 2822 is anchored at the point 2827 to a lower layer of the wafer. The anchor point also forms an electrical connection with the relevant drive line of the lower layer. The resistive element, e.g. 2825 is also electronically connected 2821 at the other end to the outer ring 2810. Upon activation of the heater, e.g. 2825, the outer jacket 2826 undergoes rapid expansion which includes the expansion of the serpentine inner resist element 2825. The rapid expansion and subsequent contraction on de-energising the resistive element 2825 results in a rotational force in direction 2828 being induced in the ring 2810. The rotation of the ring 2810 causes a corresponding rotation in the relevant impeller vanes e.g. 2807 (Fig. 224). Hence, by the activation of the thermal actuators 2813, 2822, ink can be ejected out of the nozzle aperture 2814 (Fig. 224).
  • Turning now to Fig. 226, there is illustrated a cross-sectional view through a single nozzle arrangement. The illustration of Fig. 227 illustrates a drop 2831 being ejected out of the nozzle aperture 2814 as a result of utilisation of the impeller vanes e.g. 2807 (Fig. 224). The arrangement of Fig. 224 is constructed on a silicon wafer 2833. Electronic drive circuitry eg. 2834 is first constructed for control and driving of the thermal actuators 2813, 2822 (not shown). A silicon dioxide layer 2835 is provided for defining the nozzle chamber which includes channel walls separating one color ink from an adjacent ink reservoirs (not shown). The nozzle plate 2815, in addition to being mounted at channel walls 2836, is also interconnected to the wafer 2833 via nozzle plate stand off posts, e.g. 2837 so as to provide for stable separation from the wafer 2833. The static vanes, e.g. 2805 are constructed from silicon nitrate as is the nozzle plate 2815. The static vane 2803 and nozzle plate 2815 can be constructed utilizing a dual damascene process utilizing a sacrificial layer as discussed further hereinafter.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 228. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 227 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.
    3. 3. Deposit 2 microns of sacrificial material.
    4. 4. Etch the sacrificial layer using Mask 1. This mask defines the axis pivot and the anchor points of the actuators. This step is shown in Fig. 229.
    5. 5. Deposit 1 micron of PTFE.
    6. 6. Etch the PTFE down to top level metal using Mask 2. This mask defines the heater contact vias. This step is shown in Fig. 230.
    7. 7. Deposit and pattern resist using Mask 3. This mask defines the heater, the vane support wheel, and the axis pivot.
    8. 8. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 7 and 8 form a lift-off process. This step is shown in Fig. 231.
    9. 9. Deposit 1 micron of PTFE.
    10. 10. Etch both layers of PTFE down to the sacrificial material using Mask 4. This mask defines the actuators and the bond pads. This step is shown in Fig. 232.
    11. 11. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    12. 12. Deposit 10 microns of sacrificial material.
    13. 13. Etch the sacrificial material down to heater material or nitride using Mask 5. This mask defines the nozzle plate support posts and the moving vanes, and the walls surrounding each ink color. This step is shown in Fig. 233.
    14. 14. Deposit a conformal layer of a mechanical material and planarize to the level of the sacrificial layer. This material may be PECVD glass, titanium nitride, or any other material which is chemically inert, has reasonable strength, and has suitable deposition and adhesion characteristics. This step is shown in Fig. 234.
    15. 15. Deposit 0.5 microns of sacrificial material.
    16. 16. Etch the sacrificial material to a depth of approximately 1 micron above the heater material using Mask 6. This mask defines the fixed vanes and the nozzle plate support posts, and the walls surrounding each ink color. As the depth of the etch is not critical, it may be a simple timed etch.
    17. 17. Deposit 3 microns of PECVD glass. This step is shown in Fig. 235.
    18. 18. Etch to a depth of 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 236.
    19. 19. Etch down to the sacrificial layer using Mask 8. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 237.
    20. 20. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 238.
    21. 21. Back-etch the CMOS oxide layers and subsequently deposited nitride layers through to the sacrificial layer using the back-etched silicon as a mask.
    22. 22. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 239.
    23. 23. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    24. 24. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    25. 25. Hydrophobize the front surface of the print heads.
    26. 26. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 240.
    A Description of IJ29 T
  • In an embodiment, a new form of thermal actuator is utilized for the ejection of drops of ink on demand from an ink nozzle. Turning now to Fig. 241 to Fig. 244, there will be illustrated the basis of operation of the inkjet printing device utilising the actuator. Turning initially to Fig. 241, there is illustrated 2901, the quiescent position of a thermal actuator 2902 in a nozzle chamber 2903 filled with ink and having a nozzle 2904 for the ejection of ink. The nozzle 2904 has an ink meniscus 2905 in a state of surface tension ready for the ejection of ink. The thermal actuator 2902 is coated on a first surface 2906, facing the chamber 2903, with a hydrophilic material. A second surface 2907 is coated with a hydrophobic material which causes an air bubble 2908 having a meniscus 2909 underneath the actuator 2902. The air bubble 2908 is formed over time by outgassing from the ink within chamber 2903 and the meniscus 2909 is shown in an equilibrium position between the hydrophobic 2907 and hydrophilic 2906 surfaces. The actuator 2902 is fixed at one end 2911 to a substrate 2912 from which it also derives an electrical connection.
  • When it is desired to eject a drop from the nozzle 2904, the actuator 2902 is activated as shown in Fig. 242, resulting in a movement in direction 2914, the movement in direction 2914 causes a substantial increase in the pressure of the ink around the nozzle 2904. This results in a general expansion of the meniscus 2905 and the passing of momentum to the ink so as to form a partial drop 2915. Upon movement of the actuator 2902 in the direction 2914, the ink meniscus 2909 collapses generally in the indicated direction 2916.
  • Subsequently, the thermal actuator 2902 is deactivated as illustrated in Fig. 243, resulting in a return of the actuator 2902 in the direction generally indicated by the arrow 2917. The movement back of the actuator 2917 results in a low pressure region being experienced by the ink within the nozzle area 2904. The forward momentum of the drop 2915 and the low pressure around the nozzle 2904 results in the ink drop 2915 being broken off from the main body of the ink. The drop 2915 continues to the print media as required. The movement of the actuator 2902 in the direction 2917 further causes ink to flow in the direction 2919 around the actuator 2902 in addition to causing the meniscus 2909 to move as a result of the ink flow 2919. Further, further ink 2920 is sucked into the chamber 2903 to refill the ejected ink 2915.
  • Finally, as illustrated in Fig. 244, the actuator 2902 returns to its quiescent with the meniscus 2905 also returning to a state of having a slight bulge. The actuator 2902 is then in a state for refiring of another drop on demand as required.
  • In one form of implementation of an inkjet printer utilizing the method illustrated in Fig. 241 to Fig. 244, standard semi-conductive fabrication techniques are utilized in addition to standard micro-electro-mechanical (MEMs) to construct a suitable print device having a polarity of the chambers as illustrated in Fig. 241 with corresponding actuators 2902.
  • Turning now to Fig. 245, there is illustrated a cross-section through one form of suitable nozzle chamber. One end 2911 of the actuator 2902 is connected to the substrate 2912 and the other end includes a stiff paddle 2925 for utilisation in ejecting ink. The actuator itself is constructed from four a layer MEMs processing technique. The layers are as follows:
    1. 1. A polytetrafluoroethylene (PTFE) lower layer 2926. PTFE has a very high coefficient of thermal expansion (approximately 770 x 10-6, or around 380 times that of silicon). This layer expands when heated by a heater layer.
    2. 2. A heater layer 2927. A serpentine heater 2927 is etched in this layer, which may be formed from nichrome, copper or other suitable material with a resistivity such that the drive voltage for the heater is compatible with the drive transistors utilized. The serpentine heater 2927 is arranged to have very little tensile strength in the direction 2929 along the length of the actuator.
    3. 3. A PTFE upper layer 2930. This layer 2930 expands when heated by the heater layer.
    4. 4. A silicon nitride layer 2932. This is a thin layer 2932 is of high stiffness and low coefficient of thermal expansion. Its purpose is to ensure that the actuator bends, instead of simply elongating as a result of thermal expansion of the PTFE layers. Silicon nitride can be used simply because it is a standard semi-conductor material, and SiO2 cannot easily be used if it is also the sacrificial material used when constructing the device.
  • Operation of the ink jet actuator 2902 will then be as follows:
    1. 1. When data signals distributed on the print-head indicate that a particular nozzle is to eject a drop of ink, the drive transistor for that nozzle is turned on. This energises the heater 2927 in the paddle for that nozzle. The heater is energised for approximately 2µs, with the actual duration depending upon the exact design chosen for the actuator nozzle and the inks utilized.
    2. 2. The heater 2927 heats the PTFE layers 2926, 2930 which expand at a rate many times that of the Si3N4 layer 2932. This expansion causes the actuator 2902 to bend, with the PTFE layer 2926 being the convex side. The bending of the actuator moves the paddle, pushing ink out of the nozzle. The air bubble 2908 (Fig. 241) between the paddle and the substrate, forms due to the hydrophobic nature of the PTFE on the back surface of the paddle. This air bubble reduces the thermal coupling to the hot side of the actuator, achieving a higher temperature with lower power. The cold side of the actuator including SiN layer 2932 will still be water cooled. The air bubble will also expand slightly when heated, helping to move the paddle. The presence of the air bubble also means that less ink is required to move under the paddle when the actuator is energised. These three factors lead to a lower power consumption of the actuator.
    3. 3. When the heater current is turned off, as noted previously, the paddle 2925 begins to return to its quiescent position. The paddle return 'sucks' some of the ink back into the nozzle, causing the ink ligament connecting the ink drop to the ink in the nozzle to thin. The forward velocity of the drop and the backward velocity of the ink in the chamber are resolved by the ink drop breaking off from the ink in the nozzle. The ink drop then continues towards the recording medium.
    4. 4. The actuator 2902 is finally at rest in the quiescent position until the next drop ejection cycle. Basic Fabrications Sequence
  • One form of print-head fabrication sequence utilizing MEMs technology will now be described. The description assumes that the reader is familiar with surface and micromachining techniques utilized for the construction of MEMs devices, including the latest proceedings in these areas. Turning now to Fig. 246, there is illustrated an exploded perspective view of a single ink jet nozzle as constructed in accordance with an embodiment. The construction of a print-head can proceed as follows:
    1. 1. Start with a standard single crystal silicon wafer 2980 suitable for the desired manufacturing process of the active semiconductor device technology chosen. Here the manufacturing process is assumed to be 0.5µm CMOS.
    2. 2. Complete fabrication the CMOS circuitry layer 2983, including an oxide layer (not shown) and passivation layer 2982 for passivation of the wafer. As the chip will be immersed in water based ink, the passivation layer must be highly impervious. A layer of high density silicon nitride (Si3N4) is suitable. Another alternative is diamond-like carbon (DLC).
    3. 3. Deposit 2µm of phophosilicate glass (PSG). This will be a sacrificial layer which raises the actuator and paddle from the substrate. This thickness is not critical.
    4. 4. Etch the PSG to leave islands under the actuator positions on which the actuators will be formed.
    5. 5. Deposit 1.0µm of polytetrafluoroethylene (PTFE) layer 2984. The PTFE may be roughened to promote adhesion. The PTFE may be deposited as a spin-on nanoemulsion. [T. Rosenmayer, H. Wu, "PTFE nanoemulsions as spinon, low dielectric constant materials for ULSI applications", PP463-468, Advanced Metallisation for Future ULSI, MRS vol. 427,1996].
    6. 6. Mask and etch via holes through to the top level metal of the CMOS circuitry for connection of a power supply to the actuator (not shown). Suitable etching procedures for PTFE are discussed in "Thermally assisted Ian Beam Etching of polytetrafluoroethylene: A new technique for High Aspect Ratio Etching of MEMS" by Berenschot et al in the Proceedings of the Ninth Annual International Workshop on Micro Electro Mechanical Systems, San Diego, February 1996.
    7. 7. Deposit the heater material layer 2985. This may be Nichrome (an alloy of 80% nickel and 20% chromium) which may be deposited by sputtering. Many other heater materials may be used. The principal requirements are a resistivity which results in a drive voltage which is suitable for the CMOS drive circuitry layer, a melting point above the temperature of subsequent process steps, electromigration resistance, and appropriate mechanical properties.
    8. 8. Etch the heater material using a mask pattern of the heater and the paddle stiffener.
    9. 9. Deposit 2.0µm of PTFE. As with step 5), the PTFE may be spun on as a nanoemulsion, and may be roughened to promote adhesion. (This layer forms part of layer 2984 in Fig. 246.)
    10. 10. Deposit via a mask 0.25 of silicon nitride for the top of the layer 2986 of the actuator, or any of a wide variety of other materials having suitable properties as previously described. The major materials requirements are: a low coefficient of thermal expansion compared to PTFE; a relatively high Young's modulus, does not corrode in water, and a low etch rate in hydrofluoric acid (HF). The last of these requirements is due to the subsequent use of HF to etch the sacrificial glass layers. If a different sacrificial layer is chosen, then this layer should obviously have resistance to the process used to remove the sacrificial material.
    11. 11. Using the silicon nitride as a mask, etch the PTFE, PTFE can be etched with very high selectivity (>1,000 to one) with ion beam etching. The wafer may be tilted slightly and rotated during etching to prevent the formation of microglass. Both layers of PTFE can be etched simultaneously.
    12. 12. Deposit 20µm of SiO2. This may be deposited as spin-on glass (SOG) and will be used as a sacrificial layer (not shown).
    13. 13. Etch through the glass layer using a mask defining the nozzle chamber and ink channel walls, e.g. 2951, and filter posts, e.g. 2952. This etch is through around 20µm of glass, so should be highly anisotropic to minimise the chip area required. The minimum line width is around 6µm, so coarse lithography may be used. Overlay alignment error should preferably be less than 0.5µm. The etched areas are subsequently filled by depositing silicon nitride through the mask.
    14. 14. Deposit 2µm of silicon nitride layer 2987. This forms the front surface of the print-head. Many other materials could be used. A suitable material should have a relatively high Young's modulus, not corrode in water, and have a low etch rate in hydrofluoric acid (HF). It should also be hydrophilic.
    15. 15. Mask and etch nozzle rims (not shown). These are 1µm annular protrusions above the print-head surface around the nozzles, e.g. 2904, which help to prevent ink flooding the surface of the print-head. They work in conjunction with the hydrophobising of the print-head front surface.
    16. 16. Mask and etch the nozzle holes 2904. This mask also includes smaller holes, e.g. 2947, which are placed to allow the ingress of the etchant for the sacrificial layers. These holes should be small enough to that the ink surface tension ensures that ink is not ejected from the holes when the ink pressure waves from nearby actuated nozzles is at a maximum. Also, the holes should be small enough to ensure that air bubbles are not ingested at times of low ink pressure. These holes are spaced close enough so that etchant can easily remove all of the sacrificial material even though the paddle and actuator are fairly large and flexible, stiction should not be a problem for this design. This is because the paddle is made from PTFE.
    17. 17. Etch ink access holes (not shown) through the wafer 2980. This can be done as an anisotropic crystallographic silicon etch, or an anisotropic dry etch. A dry etch system capable of high aspect ratio deep silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended for volume production, as the chip size can be reduced over wet etch. The wet etch is suitable for small volume production, as the chip size can be reduced over wet etch. The wet etch is suitable for small volume production where a suitable plasma etch system is not available. Alternatively, but undesirably, ink access can be around the sides of the print-head chips. If ink access is through the wafer higher ink flow is possible, and there is less requirement for high accuracy assembly. If ink access is around the edge of the chip, ink flow is severely limited, and the print-head chips must be carefully assembled onto ink channel chips. This latter process is difficult due to the possibility of damaging the fragile nozzle plate. If plasma etching is used, the chips can be effectively diced at the same time. Separating the chips by plasma etching allows them to be spaced as little as 35µm apart, increasing the number of chips on a wafer. At this stage, the chips must be handled carefully, as each chip is a beam of silicon 100mm long by 0.5mm wide and 0.7mm thick.
    18. 18. Mount the print-head chips into print-head carriers. These are mechanical support and ink connection mouldings. The print-head carriers can be moulded from plastic, as the minimum dimensions are 0.5mm.
    19. 19. Probe test the print-heads and bond the good print-heads. Bonding may be by wire bonding or TAB bonding.
    20. 20. Etch the sacrificial layers. This can be done with an isotropic wet etch, such as buffered HF. This stage is performed after the mounting of the print-heads into moulded print-head carriers, and after bonding, as the front surface of the print-heads is very fragile after the sacrificial etch has been completed. There should be no direct handling of the print-head chips after the sacrificial etch.
    21. 21. Hydrophobise the front surface of the print heads.
    22. 22. Fill with ink and perform final testing on the completed print heads.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 249. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 248 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.
    3. 3. Deposit 3 micron of sacrificial material (e.g. polyimide).
    4. 4. Etch the sacrificial layer using Mask 1. This mask defines the actuator anchor point. This step is shown in Fig. 250.
    5. 5. Deposit 0.5 microns of PTFE.
    6. 6. Etch the PTFE, nitride, and CMOS passivation down to second level metal using Mask 2. This mask defines the heater vias. This step is shown in Fig. 251.
    7. 7. Deposit and pattern resist using Mask 3. This mask defines the heater.
    8. 8. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 7 and 8 form a lift-off process. This step is shown in Fig. 252.
    9. 9. Deposit 1.5 microns of PTFE.
    10. 10. Etch the PTFE down to the sacrificial layer using Mask 4. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 253.
    11. 11. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    12. 12. Plasma process the PTFE to make the top surface hydrophilic. This allows the nozzle chamber to fill by capillarity, but maintains a hydrophobic layer underneath the paddle, which traps an air bubble. The air bubble reduces the negative pressure on the back of the paddle, and increases the temperature achieved by the heater.
    13. 13. Deposit 10 microns of sacrificial material.
    14. 14. Etch the sacrificial material down to nitride using Mask 5. This mask defines the nozzle chamber and the nozzle inlet filter. This step is shown in Fig. 254.
    15. 15. Deposit 3 microns of PECVD glass. This step is shown in Fig. 255.
    16. 16. Etch to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 256.
    17. 17. Etch down to the sacrificial layer using Mask 7. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 257.
    18. 18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 258.
    19. 19. Back-etch the CMOS oxide layers and subsequently deposited nitride layers through to the sacrificial layer using the back-etched silicon as a mask.
    20. 20. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 259.
    21. 21. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    22. 22. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    23. 23. Hydrophobize the front surface of the print heads.
    24. 24. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 260.
    A Description of IJ30 T
  • In an embodiment, there is provided an ink jet printer having ink ejection nozzles from which ink is ejected with the ink ejection being actuated by means of a thermal actuator which includes a "corrugated" copper heating element encased in a polytetrafluoroethylene (PTFE) layer.
  • Turning now to Fig. 261, there is illustrated a cross-sectional view of a single inkjet nozzle 3010 as constructed in accordance with the present embodiment. The inkjet nozzle 3010 includes an ink ejection port 3011 for the ejection of ink from a chamber 3012 by means of actuation of a thermal paddle actuator 3013. The thermal paddle actuator 3013 comprises an inner copper heating portion 3014 and paddle 3015 which are encased in an outer PTFE layer 3016. The outer PTFE layer 3016 has an extremely high coefficient of thermal expansion (approximately 77010-6, or around 380 times that of silicon). The PTFE layer 3016 is also highly hydrophobic which results in an air bubble 3017 being formed under the actuator 3013 due to out-gassing etc. The top PTFE layer is treated so as to make it hydrophilic. The heater 3014 is also formed within the lower portion of the actuator 3013.
  • The heater 3014 is connected at ends 3020,3021 (see also Fig. 267) to a lower CMOS drive layer 3018 containing drive circuitry (not shown). For the purposes of actuation of actuator 3013, a current is passed through the copper heater element 3014 which heats the bottom surface of actuator 3013. Turning now to Fig. 262, the bottom surface of actuator 3013, in contact with air bubble 3017 remains heated while any top surface heating is carried away by the exposure of the top surface of actuator 3013 to the ink within chamber 3012. Hence, the bottom PTFE layer expands more rapidly resulting in a general rapid bending upwards of actuator 3013 (as illustrated in Fig. 262) which consequentially causes the ejection of ink from ink ejection port 3011. An air inlet channel 3028 is formed between two nitride layers 3042, 3026 such that air is free to flow 3029 along channel 3028 and through holes, e.g. 3025, in accordance with any fluctuating pressure influences. The air flow 3029 acts to reduce the vacuum on the back surface of actuator 3013 during operation. As a result less energy is required for the movement of the actuator 3013.
  • The actuator 3013 can be deactivated by turning off the current to heater element 3014. This will result in a return of the actuator 3013 to its rest position.
  • The actuator 3013 includes a number of significant features. In Fig. 263 there is illustrated a schematic diagram of the conductive layer of the thermal actuator 3013. The conductive layer includes paddle 3015, which can be constructed from the same material as heater 3014, i.e. copper, and which contains a series of holes e.g. 3023. The holes are provided for interconnecting layers of PTFE both above and below panel 3015 so as to resist any movement of the PTFE layers past the panel 3015 and thereby reducing any opportunities for the delamination of the PTFE and copper layers.
  • Turning to Fig. 264, there is illustrated a close up view of a portion of the actuator 3013 of Fig. 261 illustrating the corrugated nature 3022 of the heater element 3014 within the PTFE nature of actuator 3013 of Fig. 261. The corrugated nature 3022 of the heater 3014 allows for a more rapid heating of the portions of the bottom layer surrounding the corrugated heater. Any resistive heater which is based upon applying a current to heat an object will result in a rapid, substantially uniform elevation in temperature of the outer surface of the current carrying conductor. The surrounding PTFE volume is therefore heated by means of thermal conduction from the resistive element. This thermal conduction is known to proceed, to a first approximation, at a substantially linear rate with respect to distance from a resistive element. By utilising a corrugated resistive element the bottom surface of actuator 3013 is more rapidly heated as, on average, a greater volume of the bottom PTFE surface is closer to a portion of the resistive element. Therefore, the utilisation of a corrugated resistive element results in a more rapid heating of the bottom surface layer and therefore a more rapid actuation of the actuator 3013. Further, a corrugated heater also assists in resisting any delamination of the copper and PTFE layer.
  • Turning now to Fig. 265, the corrugated resistive element can be formed by depositing a resist layer 3050 on top of the first PTFE layer 3051. The resist layer 3050 is exposed utilising a mask 3052 having a half-tone pattern delineating the corrugations. After development the resist 3050 contains the corrugation pattern. The resist layer 3050 and the PTFE layer 3051 are then etched utilising an etchant that erodes the resist layer 3050 at substantially the same rate as the PTFE layer 3051. This transfers the corrugated pattern into the PTFE layer 3051. Turning to Fig. 266, on top of the corrugated PTFE layer 3051 is deposited the copper heater layer 3014 which takes on a corrugated form in accordance with its under layer. The copper heater layer 3014 is then etched in a serpentine or concertina form. Subsequently, a further PTFE layer 3053 is deposited on top of layer 3014 so as to form the top layer of the thermal actuator 3013. Finally, the second PTFE layer 3052 is planarised to form the top surface of the thermal actuator 3013 (Fig. 261).
  • Returning again now to Fig. 261, it is noted that an ink supply can be supplied through a throughway for channel 3038 which can be constructed by means of deep anisotropic silicon trench etching such as that available from STS Limited ("Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology). The ink supply flows from channel 3038 through the side grill portions e.g. 3040 (see also Fig. 267) into chamber 3012. Importantly, the grill portions e.g. 3040 which can comprise silicon nitride or similar insulating material acts to remove foreign bodies from the ink flow. The grill 3040 also helps to pinch the PTFE actuator 3013 to a base CMOS layer 3018, the pinching providing an important assistance for the thermal actuator 3013 so as to ensure a substantially decreased likelihood of the thermal actuator layer 3013 separating from a base CMOS layer 3018.
  • A series of sacrificial etchant holes, e.g. 3019, are provided in the top wall 3048 of the chamber 3012 to allow sacrificial etchant to enter the chamber 3012 during fabrication so as to increase the rate of etching. The small size of the holes, e.g. 3019, does not affect the operation of the device 3010 substantially as the surface tension across holes, e.g. 3019, stops ink being ejected from these holes, whereas, the larger size hole 3011 allows for the ejection of ink.
  • Turning now to Fig. 267, there is illustrated an exploded perspective view of a single nozzle 3010. The nozzles 3010 can be formed in layers starting with a silicon wafer device 3041 having a CMOS layer 3018 on top thereof as required. The CMOS layer 3018 provides the various drive circuitry for driving the copper heater elements 3014.
  • On top of the CMOS layer 3018 a nitride layer 3042 is deposited, providing primarily protection for lower layers from corrosion or etching. Next a PTFE layer 3026 is constructed having the aforementioned holes, e.g. 3025, and posts, e.g. 3027. The structure of the PTFE layer 3026 can be formed by first laying down a sacrificial glass layer (not shown) onto which the PTFE layer 3026 is deposited. The PTFE layer 3026 includes various features, for example, a lower ridge portion 3030 in addition to vias for the subsequent material layers.
  • In construction of the actuator 3013 (Fig. 261), the process of creating a first PTFE layer proceeds by laying down a sacrificial layer on top of layer 3026 in which the air bubble underneath actuator 3013 (Fig. 261) subsequently forms. On top of this is formed a first PTFE layer utilising the relevant mask. Preferably, the PTFE layer includes vias for the subsequent copper interconnections. Next, a copper layer 3043 is deposited on top of the first PTFE layer 3042 and a subsequent PTFE layer is deposited on top of the copper layer 3043, in each case, utilising the required mask.
  • The nitride layer 3046 can be formed by the utilisation of a sacrificial glass layer which is masked and etched as required to form the side walls and the grill 3040. Subsequently, the top nitride layer 3048 is deposited again utilising the appropriate mask having considerable holes as required. Subsequently, the various sacrificial layers can be etched away so as to release the structure of the thermal actuator.
  • In Fig. 268 there is illustrated a section of an ink jet print head configuration 3090 utilising ink jet nozzles constructed in accordance with an embodiment, e.g. 3091. The configuration 3090 can be utilised in a three color process 1600dpi print-head utilising 3 sets of 2 rows of nozzle chambers, e.g. 3092,3093, which are interconnected to one ink supply channel, e.g. 3094, for each set. The 3 supply channels 3094, 3095, 3096 are interconnected to cyan coloured, magenta coloured and yellow coloured ink reservoirs respectively.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 270. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 269 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.
    3. 3. Deposit 2 microns of sacrificial material (e.g. polyimide).
    4. 4. Etch the sacrificial layer using Mask 1. This mask defines the PTFE venting layer support pillars and anchor point. This step is shown in Fig. 271.
    5. 5. Deposit 2 microns of PTFE.
    6. 6. Etch the PTFE using Mask 2. This mask defines the edges of the PTFE venting layer, and the holes in this layer. This step is shown in Fig. 272.
    7. 7. Deposit 3 micron of sacrificial material (e.g. polyimide).
    8. 8. Etch the sacrificial layer using Mask 3. This mask defines the actuator anchor point. This step is shown in Fig. 273.
    9. 9. Deposit 1 micron of PTFE.
    10. 10. Deposit, expose and develop 1 micron of resist using Mask 4. This mask is a gray-scale mask which defines the heater vias as well as the corrugated PTFE surface that the heater is subsequently deposited on.
    11. 11. Etch the PTFE and resist at substantially the same rate. The corrugated resist thickness is transferred to the PTFE, and the PTFE is completely etched in the heater via positions. In the corrugated regions, the resultant PTFE thickness nominally varies between 0.25 micron and 0.75 micron, though exact values are not critical. This step is shown in Fig. 274.
    12. 12. Deposit and pattern resist using Mask 5. This mask defines the heater.
    13. 13. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 12 and 13 form a lift-off process. This step is shown in Fig. 275.
    14. 14. Deposit 1.5 microns of PTFE.
    15. 15. Etch the PTFE down to the sacrificial layer using Mask 6. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 276.
    16. 16. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    17. 17. Plasma process the PTFE to make the top and side surfaces of the paddle hydrophilic. This allows the nozzle chamber to fill by capillarity.
    18. 18. Deposit 10 microns of sacrificial material.
    19. 19. Etch the sacrificial material down to nitride using Mask 7. This mask defines the nozzle chamber. This step is shown in Fig. 277.
    20. 20. Deposit 3 microns of PECVD glass. This step is shown in Fig. 278.
    21. 21. Etch to a depth of 1 micron using Mask 8. This mask defines the nozzle rim. This step is shown in Fig. 279.
    22. 22. Etch down to the sacrificial layer using Mask 9. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 280.
    23. 23. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 10. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 281.
    24. 24. Back-etch the CMOS oxide layers and subsequently deposited nitride layers and sacrificial layer through to PTFE using the back-etched silicon as a mask.
    25. 25. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 282.
    26. 26. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    27. 27. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    28. 28. Hydrophobize the front surface of the print heads.
    29. 29. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 283.
    A Description of IJ31 T
  • In an embodiment, a drop on demand ink jet printer is provided which allows for the ejection of ink on demand by means of a thermal actuator which operates to eject the ink from a nozzle chamber. The nozzle chamber is formed directly over an ink supply channel thereby allowing for an extremely compact form of nozzle chamber. The extremely compact form of nozzle chamber allows for minimal area to be taken up by the printer head device thereby resulting in an improved economics fabrication.
  • Turning initially to Fig. 284 to Fig. 286, they will now describe the operation of an embodiment. In Fig. 284, there is illustrated a sectional view of two ink jet nozzles 3110, 3111 which are formed on a silicon wafer eg. 3112 which includes a series of through wafer ink supply channels eg. 3113.
  • Located over a portion of the wafer 3112 and over the ink supply channel 3113 is a nozzle actuator device 3114 which is actuated so as to eject ink from the corresponding nozzle chamber eg. 3111. The actuator 3114 is placed substantially over the ink supply channel 3113. In the quiescent position, the ink fills the nozzle chamber 3111 and an ink meniscus 3115 forms across the output nozzle of the chamber 3111.
  • When it is desired to eject a drop from the chamber 3111, the thermal actuator 3114 is activated by means of passing a current through the actuator. The actuation causes the actuator 3114 to rapidly bend upwards as indicated in Fig. 285. The movement of the actuator 3114 results in an increase in the ink pressure around the nozzle of the chamber 3111 which in turn causes a significant bulging of the meniscus 3115 and the flow of ink out of the nozzle chamber 3111. The actuator 3114 can be constructed so as to impart sufficient momentum to the ink to cause the direct ejection of a drop. Alternatively, as indicated in Fig. 286, the activation of actuator 3114 can be timed so as to turn the actuation current off at a predetermined point so as to cause the return of the actuator 3114 to its original position thereby resulting in a consequential backflow of ink 3117 into the chamber 3111 thereby causing a necking and separation of a body of ink 3118 which has a continuing momentum and continues towards the output media, such as paper, for printing thereof. Subsequently, the actuator 3114 returns to its quiescent position and surface tension effects result in a refilling of the nozzle chamber 3111 via ink supply channel 3113 as a consequence of surface tension effects on the meniscus 3115. In time, the arrangement returns to that depicted in Fig. 284.
  • Turning now to Fig. 287 and Fig. 288, there is illustrated the structure of a single nozzle chamber 3110 in more detail. Fig. 287 illustrates partly in section with Fig. 288 showing a corresponding exploded perspective. Inkjet nozzles can be formed, many print head at a time, on a selected wafer base 3112 utilising standard semi-conductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • On top of the silicon wafer layer 3112 is formed a CMOS layer 3120. The CMOS layer 3120 can, in accordance with standard techniques, include multi-level metal layers sandwiched between oxide layers and preferably at least a two level metal process is utilised. In order to reduce the number of necessary processing steps, the masks utilised include areas which provide for a build up of an aluminium barrier 3121 which can be constructed from a first 3122 and second 3123 level aluminium layer. Additionally, aluminium portions eg. 3124 are provided for providing electrical contacts to a subsequent heater layer. The aluminium barrier portion 3121 is important in providing an effective barrier to the possible subsequent etching of the oxide within the CMOS layer 3120 when a sacrificial etchant is utilised in the construction of a nozzle chamber 3111 with the etchable material preferably being glass layers.
  • On top of the CMOS layer 3120 is formed a nitride passivation layer 3126 which is formed to protect the lower CMOS layers from sacrificial etchants and ink erosion. Above the nitride layer 3126 there is formed a gap 3128 in which an air bubble forms during operation. The gap 3128 can be constructed by a means of laying down a sacrificial layer and subsequently etching the gap as will be explained hereinafter.
  • On top of the air gap 3128 is constructed a polytetrafluroethylene (PTFE) heater layer 3129 which really comprises to PTFE layers sandwiched between a gold serpentine heater layer 3130. The gold heater 3130 is constructed in a serpentine form to allow it to expand on heating. The heater layer 3130 and PTFE layer 3129 together comprise the thermal actuator 3114 of Fig. 284.
  • The outer PTFE layer 3129 has an extremely high coefficient of thermal expansion (approximately 77010-6, or around 380 times that of silicon). The PTFE layer 3129 is also normally highly hydrophobic which results in an air bubble being formed under the actuator in the region 3128 due to out-gassing etc. The top PTFE surface layer is treated so as to make it hydrophilic in addition to those areas around ink supply channel 3113. This can be achieved with a plasma etch in an ammonia atmosphere. The heater layer 3130 is also formed within the lower portion of the PTFE layer.
  • The heater layer 3130 is connected at ends eg. 3131 to the lower CMOS drive layer 3120 which contains the drive circuitry (not shown). For the purposes of actuation of actuator, a current is passed through the gold heater element 3130 which heats the bottom surface of actuator 3114. The bottom surface of actuator 3114, in contact with air bubble 3128 remains heated while any top surface heating is carried away by the exposure of the top surface of actuator 3114 to the ink within chamber 3132. Hence, the bottom PTFE layer expands more rapidly resulting in a general rapid bending upwards of actuator 3114 (as illustrated in Fig. 285) which consequentially causes the ejection of ink from ejection of ink from ink ejection port 3135.
  • The actuator 3114 can be deactivated by turning off the current to heater element 3130. This will result in a return of the actuator 3114 to its rest position.
  • On top of the actuator is formed the nitride nozzle plate comprising side wall portions 3133 and top portion 3134. The nozzle plate can be formed via a dual damascene process utilising a sacrificial layer. The top of the nozzle plate is etched to have nozzle ink ejection hole 3133 in addition to a series of etchant holes eg. 3136 which are of a relatively small diameter and allow for effective etching of lower sacrificial layers when utilising a sacrificial etchant. The etchant holes 3136 are made small enough such that surface tension effects restrict the possibilities of ink being ejected from the chamber 3132 via the etchant holes 3136 rather than the nozzle hole 3133.
  • Turning now to Fig. 289 to Fig. 298, there will now be explained the various steps involved in the construction of an array of ink jet nozzles:
    1. 1. Turning initially to Fig. 289, the starting position comprises a silicon wafer 3112 including a CMOS layer 3120 which has been nitride passivated 3126 and surface finished with a chemical - mechanical planarisation process.
    2. 2. The nitride layer is masked and etched as illustrated in Fig. 290 so as to define portions of the nozzle chamber and areas for interconnection between any subsequent heater layer and a lower CMOS layer.
    3. 3. Next, a sacrificial oxide layer is deposited, masked and etched as indicated in Fig. 291 with the oxide layer being etched in those areas that a subsequent heater layer electronically contacts the lower layers.
    4. 4. As illustrated in Fig. 292, next a 1µm layer of PTFE is deposited and firstly masked and etched for the heater contacts to the lower CMOS layer and secondly masked and etched for the heater shape.
    5. 5. Next, as illustrated in Fig. 293, the gold heater layer 3130, 3131 is deposited. Due to the fact that it is difficult to etch gold, the layer can be conformally deposited and subsequently portions removed utilising chemical mechanical planarisation so as to leave those portions associated with the heater element. The processing steps 4 and 5 basically comprising a dual damascene.
    6. 6. Next, a top PTFE layer is deposited and masked and etched down to the sacrificial layer as illustrated in Fig. 294 so as to define the heater shape. Subsequently, the surface of the PTFE layer is plasma processed so as to make it hydrophilic. Suitable processing can including plasma damage in an ammonia atmosphere. Alternatively, the surface could be coated with a hydrophilic material.
    7. 7. A further sacrificial layer is then deposited and etched as illustrated in Fig. 295 so as to form the structure for the nozzle chamber properly. The sacrificial oxide being masked and etched in order to define the nozzle chamber walls.
    8. 8. Next, as illustrated in Fig. 296, the nozzle chamber is formed by conformally depositing three microns of nitride and etching a mask nozzle rim to a depth of one micron for the nozzle rim (the etched depth not being overly time critical). Subsequently, a mask is utilised to etch the nozzle holes 3135 in addition to the sacrificial layer etchant holes 3136.
    9. 9. Next, as illustrated in Fig. 297, the backside of the wafer is masked for the ink channels and plasma etched through the wafer. A suitable plasma etching process can include a deep anisotropic trench etching system such as that available from SDS Systems Limited (See) "Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology).
    10. 10. Next, as illustrated in Fig. 298, the sacrificial layers are etched away utilising a sacrificial etchant such as hydrophilic acid. Subsequently, the portion underneath the actuator which is around the ink channel is plasma processed through the backside of the wafer to make the panel end hydrophilic.
  • Subsequently, the wafer contained a number of the ink jet printer heads can be separated into separate print heads and each print head is bonded into an injection moulded ink supply channel and the electrical signals to the chip can be tape automated bonded (TAB) to the print head for subsequent testing. Fig. 299 illustrates a top view of jet nozzles constructed on a wafer so as to provide for page width multicolour output.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 301. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 300 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Deposit 1 micron of low stress nitride. This acts as a barrier to prevent ink diffusion through the silicon dioxide of the chip surface.
    3. 3. Deposit 3 micron of sacrificial material (e.g. polyimide).
    4. 4. Etch the sacrificial layer using Mask 1. This mask defines the actuator anchor point. This step is shown in Fig. 302.
    5. 5. Deposit 0.5 microns of PTFE.
    6. 6. Etch the PTFE, nitride, and CMOS passivation down to second level metal using Mask 2. This mask defines the heater vias. This step is shown in Fig. 303.
    7. 7. Deposit and pattern resist using Mask 3. This mask defines the heater.
    8. 8. Deposit 0.5 microns of gold (or other heater material with a low Young's modulus) and strip the resist. Steps 7 and 8 form a lift-off process. This step is shown in Fig. 304.
    9. 9. Deposit 1.5 microns of PTFE.
    10. 10. Etch the PTFE down to the sacrificial layer using Mask 4. This mask defines the actuator paddle and the bond pads. This step is shown in Fig. 305.
    11. 11. Wafer probe. All electrical connections are complete at this point, and the chips are not yet separated.
    12. 12. Plasma process the PTFE to make the top and side surfaces of the paddle hydrophilic. This allows the nozzle chamber to fill by capillarity.
    13. 13. Deposit 10 microns of sacrificial material.
    14. 14. Etch the sacrificial material down to nitride using Mask 5. This mask defines the nozzle chamber. This step is shown in Fig. 306.
    15. 15. Deposit 3 microns of PECVD glass. This step is shown in Fig. 307.
    16. 16. Etch to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 308.
    17. 17. Etch down to the sacrificial layer using Mask 7. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 309.
    18. 18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 310.
    19. 19. Back-etch the CMOS oxide layers and subsequently deposited nitride layers and sacrificial layer through to PTFE using the back-etched silicon as a mask.
    20. 20. Plasma process the PTFE through the back-etched holes to make the bottom surface of the paddle hydrophilic. This allows the nozzle chamber to fill by capillarity, but maintains a hydrophobic surface underneath the actuator portion of the paddle. This hydrophobic section causes an air bubble to be trapped under the paddle when the nozzle is filled with a water based ink. This bubble serves two purposes: to increase the efficiency of the heater by decreasing thermal conduction away from the heated side of the PTFE, and to reduce the negative pressure on the back of the actuator section of the paddle.
    21. 21. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 311.
    22. 22. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    23. 23. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    24. 24. Hydrophobize the front surface of the print heads.
    25. 25. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 312.
    A Description of IJ32 T
  • In an embodiment, the actuation of an actuator for the ejection of ink is based around the utilisation of material having a High Young's modulus.
  • In an embodiment, materials are utilised for the ejection of ink which have a high bend efficiency when thermally heated. The inkjet print head is constructed utilising standard MEMS technology and therefore should utilise materials that are common in the construction of semi-conductor wafers. In an embodiment, the materials have been chosen through the utilisation of a bend efficiency for actuator devices which can be calculated as the coefficient of thermal expansion times young's modulus divided by the heat capacity and the density.
  • Of course, different equations could be utilised and, in particular, the factors on the numerator and the denominator have been chosen for their following qualities.
  • Coefficient of thermal expansion: The greater the coefficient of thermal expansion, the greater will be the degree of movement for any particular heating of a thermal actuator.
  • Young's Modulus: The Young's modulus provides a measure of the tensile or compressive stress of a material and is an indicator of the "strength" of the bending movement. Hence, a material having a high Young's modulus or strength is desirable.
  • Heat capacity: In respect of the heat capacity, the higher the heat capacity, the greater the ability of material to absorb heat without deformation. This is an undesirable property in a thermal actuator.
  • Density: The denser the material the greater the heat energy required to heat the material and again, this is an undesirable property.
  • Example materials and their corresponding "Bend Efficiencies" are listed in the following table:
    MATERIAL CTE *10 -6 /K Young's modulus GPa Heat capacity W/Kg/C Density Kg/M 3 "Bend efficiency"
    Gold 14.2 80 129 19300 456
    PTFE 770 1.3 1024 2130 459
    Silicon Nitride 3.3 337 712 3200 488
    Osmium 2.6 581 130 22570 515
    Tantalum-Tungsten alloy 6.84 186 140 16660 517
    Silver 18.9 71 235 10500 544
    Platinum 8.8 177 133 21500 545
    Copper 16.5 124 385 8960 593
    Molybdenum 4.8 323 251 10200 606
    Aluminium 23.1 28.9 897 2700 657
    Nickel 13.4 206 444 8900 699
    Tungsten 4.5 408 132 19300 721
    Ruthenium 5.05 394 247 12410 1067
    Stainless Steel 20.2 215 500 7850 1106
    Iridium 6.8 549 130 22650 1268
    High Silicon Brass 31.5 130 376 8250 1320
    "Chromel D" alloy 25.2 212 448 7940 1502
    Titanium DiBoride 8.2 575 636 4450 1666
    Boron Carbide 10.1 454 955 2520 1905
  • Utilising the above equation, it can be seen that a suitable materials is titanium diboride (TiB2) which has a high bend efficiency and is also regularly used in semiconductor fabrication techniques. Although this material has a High Young's modulus, the coefficient of thermal expansion is somewhat lower than other possible materials. Hence, in an embodiment, a fulcrum arrangement is utilised to substantially increase the travel of a material upon heating thereby more fully utilizing the effect of the High Young's modulus material.
  • Turning initially to Fig. 313 and Fig. 314, there is illustrated a single nozzle 3201 of an inkjet device constructed in accordance with an embodiment. Fig. 313 illustrates a side perspective view of a single nozzle and Fig. 314 is an exploded perspective of the arrangement of Fig. 313. The single nozzle 3201 can be constructed as part of an array of nozzles formed on a silicon wafer 3202 utilising standard MEM processing techniques. On top of the silicon wafer 3202 is formed a CMOS layer 3203 which can include multiple metal layers formed within glass layers in accordance with the normal CMOS methodologies.
  • The wafer 3202 can contain a number of etched chambers eg. 3233 the chambers being etched through the wafer utilising a deep trench silicon etcher.
  • A suitable plasma etching process can include a deep anisotropic trench etching system such as that available from SDS Systems Limited (See "Advanced Silicon Etching Using High Density Plasmas" by J.K. Bhardwaj, H. Ashraf, page 224 of Volume 2639 of the SPIE Proceedings in Micro Machining and Micro Fabrication Process Technology).
  • An embodiment 3201 includes two arms 3204,3205 which operate in air and are constructed from a thin 0.3 micrometer layer of titanium diboride 3206 on top of a much thicker 5.8 micron layer of glass 3207. The two arms 3204,3205 are joined together and pivot around a point 3209 which is a thin membrane forming an enclosure which in turn forms part of the nozzle chamber 3210.
  • The arms 3204 and 3205 are affixed by posts 3211,3212 to lower aluminium conductive layers of 3214,3215 which can form part of the CMOS layer 3203. The outer surfaces of the nozzle chamber 3218 can be formed from glass or nitride and provides an enclosure for the filling with ink. The outer chamber 3218 includes a number of etchant holes e.g. 3219 which are provided for the rapid sacrificial etchant of internal cavities during construction. A nozzle rim 3220 is further provided around an ink ejection port 3221 for the ejection of ink.
  • In operation, the paddle surface 3224 is bent downwards as a result of release of the structure during fabrication. A current is passed through the titanium boride layer 3206 so as to cause heating of this layer along arms 3204 and 3205. The heating generally expands the T1B2 layer of arms 3204 and 3205 which have a high young's modulus. This expansion acts to bend the arms generally downwards, which are in turn being pivoted around the membrane 3209. The pivoting results in a rapid upward bending of the arm 3225 which in turn results in a rapid upward movement of the paddle surface 3224. The upward movement of the paddle surface 3224 causes the ejection of ink from the nozzle chamber 3221. The increase in pressure is insufficient to overcome the surface tension characteristics of the smaller etchant holes 3219 with the result being that ink is ejected from the nozzle chamber hole 3221.
  • As noted previously the thin titanium diboride strip 3206 has a sufficiently high young's modulus so as to cause the glass layer 3207 to be bent upon heating of the titanium diboride layer 3206. Hence, the operation of the inkjet device can be as illustrated in Fig. 315 to Fig. 317. In its quiescent state, the inkjet nozzle is as illustrated in Fig. 315, generally in the bent down position with the ink meniscus 3230 forming a slight bulge and the paddle being pivoted around the membrane wall 3209. The heating of the titanium diboride layers causes it to expand. Subsequently, it is bent by the glass layer 3207 so as to cause the pivoting of the paddle 3224 around the membrane wall 3209 as indicated in Fig. 316. This causes the rapid expansion of the meniscus 3230 resulting in the general ejection of ink from the nozzle chamber. Next, the current to the titanium diboride layer is turned off and the paddle 3224 returns to its quiescent state resulting in a general sucking back of ink via the meniscus 3230 which in turn results in the ejection of a drop 3231 on demand from the nozzle chamber.
  • Although many different alternatives are possible, the arrangement of an embodiment can be constructed utilising the following processing steps:
    1. 1. The starting wafer is a CMOS processed wafer with suitable electrical circuitry for the operation of an array of print head nozzles and includes aluminium layer portions 3214,3215.
    2. 2. First, the CMOS wafer layer 3203 can be etched 3234 down to the silicon wafer layer 3202 in the area of the ink supply channel 3234.
    3. 3. Next, a sacrificial layer can be constructed on top of the CMOS layer and planarised. A suitable sacrificial material can be aluminium. This layer is planarised, masked and etched to form cavities for the glass layer 3213. Subsequently, a glass layer is deposited on top of the sacrificial aluminium layer and etched so as to form the glass layer 3207 and lower layer 3213.
    4. 4. A titanium diboride layer 3206 is then deposited followed by the deposition of a second sacrificial material layer, the material again can be aluminium, the layer subsequently being planarised.
    5. 5. The sacrificial etchant layer is then etched to form cavities for the deposition of the side walls eg. 3209 of the top of the nozzle chamber.
    6. 6. A glass layer is then deposited on top of the sacrificial layer and etched so as to form the roof of the chamber layer 3209.
    7. 7. The rim 3220 and nozzle hole 3221 and etchant holes e.g. 3219 can then be formed in the top glass layer utilising suitable etching processes.
    8. 8. The sacrificial aluminium layers are sacrificially etched away so as to release the MEMS structure.
    9. 9. The ink supply channels can be formed through the back etching of the silicon wafer utilising a deep anisotropic trench etching system such as that available from Silicon Technology Systems. The deep trench etching systems can also be simultaneously utilised to separate print heads of a wafer which can then be mounted on an ink supply system and tested for operational capabilities.
  • Turning finally to Fig. 317, there is illustrated a portion of a print head 3240 showing a multi-coloured series of inkjet nozzles suitably arranged to form a multi-coloured print head. The portion is shown, partially in section so as to illustrate the through wafer etching process
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 320. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 319 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 321.
    3. 3. Deposit 1 micron of sacrificial material (e.g. aluminum)
    4. 4. Etch the sacrificial layer using Mask 2, defming the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 322.
    5. 5. Deposit 3 microns of PECVD glass, and etch using Mask 3. This mask defines the actuator, the nozzle walls, and the actuator anchor points with the exception of the contact vias. The etch continues through to aluminum.
    6. 6. Deposit 0.5 microns of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). This step is shown in Fig. 323.
    7. 7. Etch the heater material using Mask 4, which defines the actuator loop. This step is shown in Fig. 324.
    8. 8. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    9. 9. Deposit 8 microns of sacrificial material.
    10. 10. Etch the sacrificial material down to glass or heater material using Mask 5. This mask defines the nozzle chamber wall and actuator anchor points. This step is shown in Fig. 325.
    11. 11. Deposit 3 microns of PECVD glass. This step is shown in Fig. 326.
    12. 12. Etch to a depth of 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 327.
    13. 13. Etch down to the sacrificial layer using Mask 7. This mask defines the nozzle and the sacrificial etch access holes. This step is shown in Fig. 328.
    14. 14. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 329.
    15. 15. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 330.
    16. 16. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    17. 17. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    18. 18. Hydrophobize the front surface of the print heads.
    19. 19. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 331.
    A Description of IJ33 T
  • In an embodiment, there is provided an ink jet printing system wherein each nozzle has a nozzle chamber having a slotted side wall through which is formed an actuator mechanism attached to a vane within the nozzle chamber such that the actuator can be activated to move the vane within the nozzle chamber to thereby cause ejection of ink from the nozzle chamber.
  • Turning now to the figures, there is illustrated in Fig. 332 an example of an ink jet nozzle arrangement 3301 as constructed in accordance with an embodiment. The nozzle arrangement includes a nozzle chamber 3302 normally filled with ink and an actuator mechanism 3303 for actuating a vane 3304 for the ejection of ink from the nozzle chamber 3302 via an ink ejection port 3305.
  • Fig. 332 is a perspective view of the ink jet nozzle arrangement of an embodiment in its idle or quiescent in position. Fig. 333 illustrates a perspective view after actuation of the actuator 3303.
  • The actuator 3303 includes two arms 3306, 3307. The two arms can be formed from titanium di-boride (TiB2) which has a high Young's modulus and therefore provides a large degree of bending strength. A current is passed along the arms 3306, 3307 with the arm 3307 having a substantially thicker portion along most of its length. The arm 3307 is stiff but for in the area of thinned portion 3308 and hence the bending moment is concentrated in the area 3308. The thinned arm 3306 is of a thinner form and is heated by means of resistive heating of a current passing through the arms 3306, 3307. The arms 3306, 3307 are interconnected to electrical circuitry via connections 3310, 3311.
  • Upon heating of the arm 3306, the arm 3306 is expanded with the bending of the arm 3307 being concentrated in the area 3308. This results in movement of the end of the actuator mechanism 3303 which proceeds through a slot in the wall nozzle chamber 3302. The bending further causes movement of vane 3304 so as to increase the pressure of the ink within the nozzle chamber and thereby cause its subsequent ejection from ink ejection nozzle 3305. The nozzle chamber 3302 is refilled via an ink channel 3313 (Fig. 334) formed in the wafer substrate 3314. After movement of the vane 3304, so as to cause the ejection of ink, the current to arm 3306 is turned off which results in a corresponding back movement of the end vane 3304. The ink within nozzle chamber 3302 is then replenished by means of wafer ink supply channel 3313 which is attached to an ink supply formed on the back of wafer 3314. The refill can be by means of a surface tension reduction effects of the ink within nozzle chamber 3302 across ink ejection port 3305.
  • Fig. 334 illustrates an exploded perspective view of the components of the ink jet nozzle arrangement.
  • Referring now specifically to Fig. 334, an embodiment can be constructed utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • The nozzles can preferably be constructed by constructing a large array of nozzles on a single silicon wafer at a time. The array of nozzles can be divided into multiple print heads, with each print head itself having nozzles grouped into multiple colours to provide for full colour image reproduction. The arrangement can be constructed via the utilisation of a standard silicon wafer substrate 3314 upon which is deposited an electrical circuitry layer 3316 which can comprise a standard CMOS circuitry layer. The CMOS layer can include an etched portion defining pit 3317. On top of the CMOS layer is initially deposited a protective layer (not shown) which comprise silicon nitride or the like. On top of this layer is deposited a sacrificial material which is initially suitably etched so as to form cavities for the portion of the thermal actuator 3303 and bottom portion of the vane 3304, in addition to the bottom rim of nozzle chamber 3302. These cavities can then be filled with titanium di-boride. Next, a similar process is used to form the glass portions of the actuator. Next, a further layer of sacrificial material is deposited and suitably etched so as to form the rest of the vane 3304 in addition to a portion of the nozzle chamber walls to the same height of vane 3304.
  • Subsequently, a further sacrificial layer is deposited and etched in a suitable manner so as to form the rest of the nozzle chamber 3302. The top surface of the nozzle chamber is further etched so as to form the nozzle rim rounding the ejection port 3305. Subsequently, the sacrificial material is etched away so as to release the construction of an embodiment. It will be readily evident to those skilled in the art that other MEMS processing steps could be utilized.
  • Preferably, the thermal actuator and vane portions 3303 and 3304 in addition to the nozzle chamber 3305 are constructed from titanium di-boride. The utilisation of titanium di-boride is standard in the construction of semiconductor systems and, in addition, its material properties, including a high Young's modulus, is utilised to advantage in the construction of the thermal actuator 3303.
  • Further, preferably the actuator 3303 is covered with a hydrophobic material, such as Teflon, so as to prevent any leaking of the liquid out of the slot 3319.
  • Further, as a final processing step, the ink channel can be etched through the wafer utilising a high anisotropic silicon wafer etchers. This can be done as an anisotropic crystallographic silicon etch, or an anisotropic dry etch. A dry etch system capable of high aspect ratio deep silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended for volume production, as the chip size can be reduced over a wet etch. The wet etch is suitable for small volume production where a suitable plasma etch system is not available. Alterna-tively, but undesirably, ink access can be around the sides of the print head chips. If ink access is through the wafer higher ink flow is possible, and there is less requirement for high accuracy assembly. If ink access is around the edge of the chip, ink flow is severely limited, and the print head chips must be carefully assembled onto ink channel chips. This latter process is difficult due to the possibility of damaging the fragile nozzle plate. If plasma etching is used, the chips can be effectively diced at the same time. Separating the chips by plasma etching allows them to be spaced as little as 35 micron apart, increasing the number of chips on a wafer.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 336. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 335 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 337.
    3. 3. Deposit 1 micron of sacrificial material (e.g. aluminum)
    4. 4. Etch the sacrificial layer using Mask 2, defining the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 338.
    5. 5. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2).
    6. 6. Etch the heater material using Mask 3, which defines the actuator loop and the lowest layer of the nozzle wall. This step is shown in Fig. 339.
    7. 7. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    8. 8. Deposit 1 micron of titanium nitride.
    9. 9. Etch the titanium nitride using Mask 4, which defines the nozzle chamber wall, with the exception of the nozzle chamber actuator slot, and the paddle. This step is shown in Fig. 340.
    10. 10. Deposit 8 microns of sacrificial material.
    11. 11. Etch the sacrificial material down to titanium nitride using Mask 5. This mask defines the nozzle chamber wall and the paddle. This step is shown in Fig. 341.
    12. 12. Deposit a 0.5 micron conformal layer of titanium nitride and planarize down to the sacrificial layer using CMP.
    13. 13. Deposit 1 micron of sacrificial material.
    14. 14. Etch the sacrificial material down to titanium nitride using Mask 6. This mask defines the nozzle chamber wall. This step is shown in Fig. 342.
    15. 15. Deposit 1 microns of titanium nitride.
    16. 16. Etch to a depth of (approx.) 0.5 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 343.
    17. 17. Etch down to the sacrificial layer using Mask 8. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 344.
    18. 18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 345.
    19. 19. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 346.
    20. 20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    21. 21. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    22. 22. Hydrophobize the front surface of the print heads.
    23. 23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 347.
    A Description of IJ34 T
  • In an embodiment, there is provided an inkjet printer having a series of ink ejection mechanisms wherein each ink ejection mechanism includes a paddle actuated by a coil actuator, the coil spring actuator having a unique cross section so as to provide for efficient actuation as a coiled thermal actuator.
  • Turning initially to Fig. 348, there is illustrated a single ink ejection mechanism 3401 constructed in accordance with the principles of an embodiment. The ink ejection mechanism 3401 includes a chamber 3402 having a rim 3403. The chamber 3402 is normally filled with ink which bulges out around a surface having a border along the edge of rim 3403, the ink being retained within the chamber 3402 by means of surface tension around the rim 3403. Outside of the chamber 3402 is located a thermal actuator device 3405. The thermal actuator device 3405 is interconnected via a strut 3406 through a hole 3407 to a paddle device within the chamber 3402. The strut 3407 and hole 3406 is treated so as to be hydrophobic. Further, the hole 3407 is provided in a thin elongated form so that surface tension characteristics also assist in stopping any ink from flowing out of the hole 3407.
  • The thermal actuator 3405 comprises a first arm portion 3409 which can be constructed from glass or other suitable material. A second arm portion 3410 can be constructed from material such as titanium diboride which has a large Young's modulus or bending strength and hence, when a current is passed through the titanium diboride layer 3410, it expands with a predetermined coefficient of thermal expansion. The expansion of the thin strip 3410 has a high Young's modulus or bending strength and therefore the thin strip 3410 is able to bend the much thicker strip 3409 which has a substantially lower Young's modulus.
  • Turning to Fig. 349, there is illustrated a cross-section of the arm through the line II-II of Fig. 348 illustrating the structure of the actuator 3405. As known previously, the heater arm 3405 includes two titanium diboride portions 3410a, 3410b forming a circuit around the coil in addition to the glass portion 3409 which also provides for electrical isolation of the two arms, the arms being conductively joined at the strut end.
  • Turning now to Fig. 350 to Fig. 352, there will now be explaining the operation of the ink ejection mechanism 3401 for the ejection of ink. Initially, before the paddle 3408 has started moving, the situation is as illustrated in Fig. 350 with the nozzle chamber 3402 being filled with ink and having a slight bulge in meniscus 3412. Upon actuation of the actuator mechanism, the paddle 3408 begins to move towards the nozzle rim 3403 resulting in a substantial increase in pressure in the area around the nozzle rim 3403. This in turn results in the situation as illustrated in Fig. 351 wherein the meniscus begins to significantly bulge as a result of the increases in pressure. Subsequently, the actuator is deactivated resulting in a general urge for the paddle 3408 to return to its rest position. This results in the ink being sucked back into the chamber 3402 which in turn results in the meniscus necking and breaking off into a meniscus 3412 and ink drop 3414, the drop 3414 proceeding to a paper or film medium (not shown) for marking. The meniscus 3412 has generally a concave shape and surface tension characteristics result in chamber refilling by means of in flow 3413 from an ink supply channel etched through the wafer. The refill being as a consequence of surface tension forces on the meniscus 3412. Eventually the meniscus returns to its quiescent state as illustrated in Fig. 350.
  • Turning now to Fig. 353, there is illustrated an exploded perspective view of a single ink ejection mechanism 3401 illustrating the various material layers. The ink ejection mechanism 3401 can be formed as part of a large array of mechanisms forming a print head with multiple print heads being simultaneously formed on a silicon wafer. The wafer 3407 is initially processed so as to incorporate a standard CMOS circuitry layer 3418 which provides for the electrical interconnect for the control the conductive portions of the actuator. The CMOS layer 3418 can be completed with a silicon nitride passivation layer so as to protect it from subsequent processing steps in addition to ink flows through channel 3420. The subsequent layers eg. 3409, 3410, 3412 and 3402 can be deposited utilising standard micro-electro mechanical systems (MEMS) construction techniques including the deposit of sacrificial aluminium layers in addition to the deposit of the layers 3410 constructed from titanium diboride the layer 3409 constructed from glass material and the nozzle chamber proper 3402 again constructed from titanium diboride. Each of these layers can be built up in a sacrificial material such as aluminium which is subsequently etched away. Further, an ink supply channel eg. 3421 can be etched through the wafer 3417. The etching can be by means of an isotropic crystallagraphic silicon etch or an isotropic dry etch. A dry etch system capable of high aspect ratio silicon trench etching such as the Surface Technology Systems (STS) Advance Silicon Etch (ASE) system is recommended.
  • Subsequent to construction of the nozzle arrangement 3401, it can be attached to a ink supply apparatus for supplying ink to the reverse surface of the wafer 3417 so that ink can flow into chamber 3402.
  • The external surface of nozzle chamber 3402 including rim 3403 in addition to the area surrounding slot 3407 can then be hydrophobically treated so as to reduce the possibility of any ink exiting slot 3407.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 355. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 354 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print heads chip. This step is shown in Fig. 356.
    3. 3. Deposit 1 micron of sacrificial material (e.g. aluminum)
    4. 4. Etch the sacrificial layer using Mask 2, defining the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 357.
    5. 5. Deposit 1 micron of glass.
    6. 6. Etch the glass using Mask 3, which defines the lower layer of the actuator loop.
    7. 7. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). Planarize using CMP. Steps 5 to 7 form a'damascene' process. This step is shown in Fig. 358.
    8. 8. Deposit 0.1 micron of silicon nitride.
    9. 9. Deposit 1 micron of glass.
    10. 10. Etch the glass using Mask 4, which defines the upper layer of the actuator loop.
    11. 11. Etch the silicon nitride using Mask 5, which defines the vias connecting the upper layer of the actuator loop to the lower layer of the actuator loop.
    12. 12. Deposit 1 micron of the same heater material as in step 7 heater material. Planarize using CMP. Steps 8 to 12 form a'dual damascene' process. This step is shown in Fig. 359.
    13. 13. Etch the glass down to the sacrificial layer using Mask 6, which defines the actuator and the nozzle chamber wall, with the exception of the nozzle chamber actuator slot. This step is shown in Fig. 360.
    14. 14. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    15. 15. Deposit 3 microns of sacrificial material.
    16. 16. Etch the sacrificial layer down to glass using Mask 7, which defines the nozzle chamber wall, with the exception of the nozzle chamber actuator slot. This step is shown in Fig. 361.
    17. 17. Deposit 1 micron of PECVD glass and planarize down to the sacrificial layer using CMP. This step is shown in Fig. 362.
    18. 18. Deposit 5 microns of sacrificial material.
    19. 19. Etch the sacrificial material down to glass using Mask 8. This mask defines the nozzle chamber wall and the paddle. This step is shown in Fig. 363.
    20. 20. Deposit 3 microns of PECVD glass and planarize down to the sacrificial layer using CMP.
    21. 21. Deposit 1 micron of sacrificial material.
    22. 22. Etch the sacrificial material down to glass using Mask 9. This mask defines the nozzle chamber wall. This step is shown in Fig. 364.
    23. 23. Deposit 3 microns of PECVD glass.
    24. 24. Etch to a depth of (approx.) 1 micron using Mask 10. This mask defines the nozzle rim. This step is shown in Fig. 365.
    25. 25. Etch down to the sacrificial layer using Mask 11. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 366.
    26. 26. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 12. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 367.
    27. 27. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 368.
    28. 28. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    29. 29. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    30. 30. Hydrophobize the front surface of the print heads.
    31. 31. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 369.
    A Description of IJ35 T
  • In an embodiment, there is provided an inkjet printing arrangement arranged on a silicon wafer. The ink is supplied to a first surface of the silicon wafer by means of channels etched through the back of the wafer to an ink ejection chamber located along the surface of the wafer. The ink ejection chamber is filled with ink and includes a paddle vane attached to an external actuator which is activated so as to compress a portion of the ink wihtin the chamber against a sidewall resulting in the corresponding ejection of the ink from the chamber.
  • Turning now to the figures, Fig. 370 illustrates the ink ejection arrangement 3501 in the quiescent position with Fig. 371 illustrating the preferred arrangement 3501 after activation of the thermal actuator 3507 and Fig. 372 illustrates an exploded perspective of the ink ejection arrangement 3501.
  • Turning initially to Fig. 370, as noted previously, ink is supplied to an ink ejection chamber 3502 from an ink supply channel 3503 which is etched through the wafer 3504 and supplies ink to the ejection chamber 3502. Located between the supply channel 3503 and the ejection chamber 3502 is a paddle 3506 which is attached to an actuated device 3507, which can comprise a thermal actuator. When the actuator 3507 is actuated, the paddle 3506 is caused to move as illustrated in Fig. 371 thereby compressing ink within the ink ejection chamber 3502 resulting in its corresponding ejection from the chamber 3502. The actuator 3507 comprises a coiled arm which is in turn made up of three sub-arm components.
  • Turning to Fig. 373, there is illustrated a section through the line IV-IV of Fig. 370 illustrating the structure of the arm which includes an upper conductive arm 3510 and a lower conductive arm 3511. The two arms can be made from conductive titanium diboride which has a high Young's modulus in addition to a suitably high coefficient of thermal expansion. The two arms 3510, 3511 are incased in a silicon nitride portion of the arm 3512. The two arms 3510, 3511 are conductively interconnected at one end 3513 (Fig. 370) of the actuator 3507 and, at the other end they are electrically interconnected 3514, 3515 to control circuitry to a lower CMOS layer 3517 which includes the drive circuitry for activating the actuator 3507.
  • The conductive heating of the arms 3510, 3511 result in a general expansion of these two arms 3510, 3511. The expansion works against the nitride portion 3512 of the arm resulting in an "uncoiling" of the actuator 3507 which in turn results in corresponding movement of the paddle 3506 resulting in the ejection of ink from the nozzle chamber 3502. The nozzle chamber 3502 can include a rim 3518 which, for convenience, can also be constructed also from titanium diboride. The rim includes an arc profile eg. 3519 which is designed to follow the path swept out by paddle 3506 as it expands as a result of actuation of the actuator 3507. Similarly, the walls of ink ejection chamber 3502 are similarly profiled. Upon ejection of a drop, the paddle 3506 returns to its quiescent position.
  • Turning now to Fig. 374 to Fig. 393, there will now be explained the manufacturing processing steps involved in the construction of an embodiment.
    1. 1. Starting initially with Fig. 374, the starting arrangement of an embodiment is a silicon wafer for having a CMOS layer 3517 which can comprise the normal CMOS processes including multi-level metal layers etc. and which provide the electrical circuitry for the operation of an embodiment which can be formed as part of a multiple series or array of nozzles at a time on a single wafer.
    2. 2. The next step in the construction of an embodiment is to form an etched pit 3521 as illustrated in Fig. 375. The etched pit 3521 can be formed utilising a highly anisotropic trench etcher such as that available from Silicon Technology Systems of the United Kingdom. The pit 3521 is preferably etched to have steep sidewalls. A dry etch system capable of high aspect ratio deep silicon trench etching is that known as the Advance Silicon Etch System available from Surface Technology Systems of the United Kingdom.
    3. 3. Next, as is illustrated in Fig. 376, a 1µm layer of aluminium is deposited 3522 over the surface of the wafer.
    4. 4. Next, as illustrated in Fig. 377 a five micron glass layer 3523 is then deposited on top of the aluminium layer 3522.
    5. 5. Next, the glass layer is chemically mechanically planarised so as to provide a 1µm thick layer of glass over the aluminium layer as illustrated in Fig. 378.
    6. 6. Next, a triple masked etch process is utilised to etch the deposited layer as illustrated in Fig. 379. The etch includes a 1.5µm etch of the glass layer. The etch provides for the etching of via 3525, a trench for rim portions 3526, 3527 and a paddle portion 3528.
    7. 7. Next, as illustrated in Fig. 380, a 0.9µm layer of titanium diboride is deposited.
    8. 8. The titanium diboride layer is subsequently masked and etched so as to leave those portions as illustrated in Fig. 381.
    9. 9. Subsequently, a 1 µm layer of silicon dioxide (SiO2) is deposited and chemical-mechanically planarised as illustrated in Fig. 382 to the level of the titanium diboride.
    10. 10. Next, as illustrated in Fig. 383 the silicon dioxide layer is etched to form the spiral pattern where a nitride layer will later be deposited. The spiral pattern includes etched portions 3530-3532.
    11. 11. Next, as illustrated in Fig. 384, a 0.2µm layer of the silicon nitride is deposited.
    12. 12. The silicon nitride layer is then etched in areas 3534 - 3536 so as to provide for electrical interconnect in areas 3534, 3535, in addition to a mechanical interconnect, as will become more apparent hereinafter, in the area 3536.
    13. 13. Turning next to Fig. 386, a 0.9µm layer of titanium diboride is then deposited.
    14. 14. The titanium diboride is then etched so as to leave the via structure 3514 the spiral structure eg. 3510 and the paddle arm 3506.
    15. 15. Next, a 1µm layer of silicon nitride is then deposited as illustrated in Fig. 388.
    16. 16. The nitride layer is then chemically and mechanically planarized to the level of the titanium diboride layer as shown in Fig. 389.
    17. 17. Next, the silicon nitride layer is etched so as to form the silicon nitride portions of the spiral arm 3542, 3543 with a thin portion of silicon nitride also remaining under the paddle arm as shown in Fig. 390.
    18. 18. Next, as illustrated in Fig. 391 an ink supply channel can be etched 3545 from the back of the wafer. Again, a STS deep silicon trench etcher can be utilised.
    19. 19. Turning now to Fig. 392, the next step is a wet etch of all exposed glass (SiO2) surfaces of the wafer which results in a substantial release of the paddle structure as illustrated in Fig. 392.
    20. 20. Finally, it is illustrated in Fig. 393 the exposed aluminium surfaces are then wet etched away resulting in a release of the paddle structure which springs back to its quiescent or return position ready for operation.
  • The wafer can then be separated into printhead units and interconnected to an ink supply along the back surface of the wafer for the supply of ink to the nozzle arrangement.
  • In Fig. 394, there is illustrated a portion 3549 of an array of nozzles which can include a three colour output including a first colour series 3550, second colour series 3551 and third colour series 3552. Each colour series is further divided into two rows of ink ejection units with each unit providing for the ink ejection of drops corresponding to a single pixel of a line. Hence, a page width array of nozzles can be formed including appropriate bond pads eg. 3555 for providing for an electrical interconnect. The page width printhead can be formed by silicon wafer with multiple print heads being formed simultaneously utilising the aforementioned steps. Subsequently, the print heads can be separated and joined on an ink supply mechanism for supplying ink via the back of the wafer to each ink ejection arrangement, the supply being suitably arranged for providing the separate colours.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 396. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 395 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 397.
    3. 3. Etch silicon to a depth of 10 microns using the etched oxide as a mask. This step is shown in Fig. 398.
    4. 4. Deposit 1 micron of sacrificial material (e.g. aluminum). This step is shown in Fig. 399.
    5. 5. Deposit 10 microns of a second sacrificial material (e.g. polyimide). This fills the etched silicon hole.
    6. 6. Planarize using CMP to the level of the first sacrificial material. This step is shown in Fig. 400.
    7. 7. Etch the first sacrificial layer using Mask 2, defming the nozzle chamber wall and the actuator anchor point. This step is shown in Fig. 401.
    8. 8. Deposit 1 micron of glass.
    9. 9. Etch the glass and second sacrificial layer using Mask 3. This mask defines the lower layer of the actuator loop, the nozzle chamber wall, and the lower section of the paddle.
    10. 10. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). Planarize using CMP. Steps 8 to 10 form a 'damascene' process. This step is shown in Fig. 402.
    11. 11. Deposit 0.1 micron of silicon nitride.
    12. 12. Deposit 1 micron of glass.
    13. 13. Etch the glass using Mask 4, which defines the upper layer of the actuator loop, the arm to the paddle, and the upper section of the paddle.
    14. 14. Etch the silicon nitride using Mask 5, which defines the vias connecting the upper layer of the actuator loop to the lower layer of the actuator loop, as well as the arm to the paddle, and the upper section of the paddle.
    15. 15. Deposit 1 micron of the same heater material as in step 10. Planarize using CMP. Steps 11 to 15 form a 'dual damascene' process. This step is shown in Fig. 403.
    16. 16. Etch the glass and nitride down to the sacrificial layer using Mask 6, which defines the actuator. This step is shown in Fig. 404.
    17. 17. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    18. 18. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 405.
    19. 19. Etch both sacrificial materials. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 406.
    20. 20. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    21. 21. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    22. 22. Fill the print head with water. Hydrophobize the exposed portions or the print head by exposing the print head to a vapor of a perfluorinated alkyl trichlorosilane. Drain the water and dry the print head.
    23. 23. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 407.
    A Description of IJ36 T
  • In an embodiment, there is provided an inkjet printhead having an array of nozzles wherein the nozzles are grouped in pairs and each pair is provided with a single actuator which is actuated so as to move a paddle type mechanism to force the ejection of ink out of one or other of the nozzle pairs. The paired nozzles eject ink from a single nozzle chamber which is resupplied by means of an ink supply channel. Further, the actuator of an embodiment has unique characteristics so as to simplify the actuation process.
  • Turning initially to Fig. 408 to Fig. 412, there will now be explained the principles of operation of an embodiment. In an embodiment, a single nozzle chamber 3601 is utilised to supply ink two ink ejection nozzles 3602, 3603. Ink is resupplied to the nozzle chamber 3601 via means of an ink supply channel 3605. In its quiescent position, to ink menisci 3606, 3607 are formed around the ink ejection holes 3602, 3603. The arrangement of Fig. 408 being substantially axially symmetric around a central paddle 3609 which is attached to an actuator mechanism.
  • When it is desired to eject ink out of one of the nozzles, say nozzle 3603, the paddle 3609 is actuated so that it begins to move as indicated in Fig. 409. The movement of paddle 3609 in the direction 3610 results in a general compression of the ink on the right hand side of the paddle 3609. The compression of the ink results in the meniscus 3607 growing as the ink is forced out of the nozzles 3603. Further, the meniscus 3606 undergoes an inversion as the ink is sucked back on the left hand side of the actuator 3610 with additional ink 3612 being sucked in from ink supply channel 3605. The paddle actuator 3609 eventually comes to rest and begins to return as illustrated in Fig. 410. The ink 3613 within meniscus 3607 has substantial forward momentum and continues away from the nozzle chamber whilst the paddle 3609 causes ink to be sucked back into the nozzle chamber. Further, the surface tension on the meniscus 3606 results in further in flow of the ink via the ink supply channel 3605. The resolution of the forces at work in the resultant flows results in a general necking and subsequent breaking of the meniscus 3607 as illustrated in Fig. 411 wherein a drop 3614 is formed which continues onto the media or the like. The paddle 3609 continues to return to its quiescent position.
  • Next, as illustrated in Fig. 412, the paddle 3609 returns to its quiescent position and the nozzle chamber refills by means of surface tension effects acting on meniscuses 3606, 3607 with the arrangement of returning to that showing in Fig. 408. When required, the actuator 3609 can be activated to eject ink out of the nozzle 3602 in a symmetrical manner to that described with reference to Fig. 408 to Fig. 412. Hence, a single actuator 3609 is activated to provide for ejection out of multiple nozzles. The dual nozzle arrangement has a number of advantages including in that movement of actuator 3609 does not result in a significant vacuum forming on the back surface of the actuator 3609 as a result of its rapid movement. Rather, meniscus 3606 acts to ease the vacuum and further acts as a "pump" for the pumping of ink into the nozzle chamber. Further, the nozzle chamber is provided with a lip 3615 (Fig. 409) which assists in equalising the increase in pressure around the ink ejection holes 3603 which allows for the meniscus 3607 to grow in an actually symmetric manner thereby allowing for straight break off of the drop 3614.
  • Turning now to Fig. 413 and Fig. 414, there is illustrated a suitable nozzle arrangement with Fig. 413 showing a single side perspective view and Fig. 414 showing a view, partly in section illustrating the nozzle chamber. The actuator 3620 includes a pivot arm attached at the post 3621. The pivot arm includes an internal core portion 3622 which can be constructed from glass. On each side 3623, 3624 of the internal portion 3622 is two separately control heater arms which can be constructed from an alloy of copper and nickel (45% copper and 55% nickel). The utilisation of the glass core is advantageous in that it has a low coefficient thermal expansion and coefficient of thermal conductivity. Hence, any energy utilised in the heaters 3623, 3624 is substantially maintained in the heater structure and utilised to expand the heater structure and opposed to an expansion of the glass core 3622. Structure or material chosen to form part of the heater structure preferably has a high "bend efficiency". One form of definition of bend efficiency can be the youngs modulus times the coefficient of thermal expansion divided by the density and by the specific heat capacity.
  • The copper nickel alloy in addition to being conductive has a high coefficient of thermal expansion, a low specific heat and density in addition to a high young's modulus. It is therefore a highly suitable material for construction of the heater element although other materials would also be suitable.
  • Each of the heater elements can comprise a conductive out and return trace with the traces being insulated from one and other along the length of the trace and conductively joined together at the far end of the trace. The current supply for the heater can come from a lower electrical layer via the pivot anchor 3621. At one end of the actuator 3620, there is provided a bifurcated portion 3630 which has attached at one end thereof to leaf portions 3631, 3632.
  • To operate the actuator, one of the arms 3623, 3624 eg. 3623 is heated in air by passing current through it. The heating of the arm results in a general expansion of the arm. The expansion of the arm results in a general bending of the arm 3620. The bending of the arm 3620 further results in leaf portion 3632 pulling on the paddle portion 3609. The paddle 3609 is pivoted around a fulcrum point by means of attachment to leaf portions 3638, 3639 which are generally thin to allow for minor flexing. The pivoting of the arm 3609 causes ejection of ink from the nozzle hole 3638. The heater is deactivated resulting in a return of the actuator 3620 to its quiescent position and its corresponding return of the paddle 3609 also to is quiescent position. Subsequently, to eject ink out of the other nozzle hole 3641, the heater 3624 can be activated with the paddle operating in a substantially symmetric manner.
  • It can therefore be seen that the actuator can be utilised to move the paddle 3609 on demand so as to eject drops out of the ink ejection hole eg. 3638 with the ink refilling via an ink supply channel 3644 located under the paddle 3609.
  • The nozzle arrangement of an embodiment can be formed on a silicon wafer utilising standard semi-conductor fabrication processing steps and micro-electromechanical systems (MEMS) construction techniques.
  • For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • Preferably, a large wafer of printheads is constructed at any one time with each printhead providing a predetermined pagewidth capabilities and a single printhead can in turn comprise multiple colors so as to provide for full color output as would be readily apparent to those skilled in the art.
  • Turning now to Fig. 415 - Fig. 434 there will now be explained one form of fabrication of an embodiment. An embodiment can start as illustrated in Fig. 415 with a CMOS processed silicon wafer 3650 which can include a standard CMOS layer 3651 including of the relevant electrical circuitry etc. The processing steps can then be as follows:
    1. 1. As illustrated in Fig. 416, a deep etch of the nozzle chamber 3651 is performed to a depth of 25micron;
    2. 2. As illustrated in Fig. 417, a 27micron layer of sacrificial material 3652 such as aluminum is deposited;
    3. 3. As illustrated in Fig. 418, the sacrificial material is etched to a depth of 26 micron using a glass stop so as to form cavities using a paddle and nozzle mask.
    4. 4. As illustrated in Fig. 419, a 2 micron layer of low stress glass 3653 is deposited.
    5. 5. As illustrated in Fig. 420, the glass is etched to the aluminum layer utilizing a first heater via mask.
    6. 6. As illustrated in Fig. 421, a 2 micron layer of 60% copper and 40% nickel is deposited 3655 and planarized (Fig. 422) using chemical mechanical planarization (CMP).
    7. 7. As illustrated in Fig. 423, a 0.1 micron layer of silicon nitride is deposited 3656 and etched using a heater insulation mask.
    8. 8. As illustrated in Fig. 424, a 2 micron layer of low stress glass 3657 is deposited and etched using a second heater mask.
    9. 9. As illustrated in Fig. 425, a 2 micron layer of 60% copper and 40% nickel is deposited 3655 and planarized (Fig. 426) using chemical mechanical planarization.
    10. 10. As illustrated in Fig. 427, a 1 micron layer of low stress glass 3660 is deposited and etched (Fig. 428) using a nozzle wall mask.
    11. 11. As illustrated in Fig. 429, the glass is etched down to the sacrificial layer using an actuator paddle wall mask.
    12. 12. As illustrated in Fig. 430, a 5 micron layer of sacrificial material 3662 is deposited and planarized using CMP.
    13. 13. As illustrated in Fig. 431, a 3 micron layer of low stress glass 3663 is deposited and etched using a nozzle rim mask.
    14. 14. As illustrated in Fig. 432, the glass is etched down to the sacrificial layer using nozzle mask.
    15. 15. As illustrated in Fig. 433, the wafer can be etched from the back using a deep silicon trench etcher such as the Silicon Technology Systems deep trench etcher.
    16. 16. Finally, as illustrated in Fig. 434, the sacrificial layers are etched away releasing the ink jet structure. Subsequently, the print head can be washed, mounted on an ink chamber, relevant electrical interconnections TAB bonded and the print head tested.
  • Turning now to Fig. 435, there is illustrated a portion 3680 of a full colour printhead which is divided into three series of nozzles 3671, 3672 and 3673. Each series can supply a separate color via means of a corresponding ink supply channel. Each series is further subdivided into two subrows e.g. 3676, 3677 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.
  • As illustrated in Fig. 435 the actuators a formed in a curved relationship with respect to the main nozzle access so as to provide for a more compact packing of the nozzles. Further, the block portion (3621 of Fig. 413) is formed in the wall of an adjacent series with the block portion of the row 3673 being formed in a separate guide rail 3680 provided as an abutment surface for the TAB strip when it is abutted against the guide rail 3680 so as to provide for an accurate registration of the tab strip with respect to the bond pads 3681, 3682 which are provided along the length of the printhead so as to provide for low impedance driving of the actuators.
  • The principles of an embodiment can obviously be readily extended to other structures. For example, a fulcrum arrangement could be constructed which includes two arms which are pivoted around a thinned wall by means of their attachment to a cross bar. Each arm could be attached to the central cross bar by means of similarly leafed portions to that shown in Fig. 413 and Fig. 414. The distance between a first arm and the thinned wall can be L units whereas the distance between the second arm and wall can be NL units. Hence, when a translational movement is applied to the second arm for a distance of N x X units the first arm undergoes a corresponding movement of X units. The leafed portions allow for flexible movement of the arms whilst providing for full pulling strength when required.
  • It would be evident to those skilled in the art that the present invention can further be utilised in either mechanical arrangements requiring the application forces to enduce movement in a structure.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 437. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 436 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet, the heater contact vias, and the edges of the print head chips. This step is shown in Fig. 438.
    3. 3. Etch exposed silicon to a depth of 20 microns. This step is shown in Fig. 439.
    4. 4. Deposit a 1 micron conformal layer of a first sacrificial material.
    5. 5. Deposit 20 microns of a second sacrificial material, and planarize down to the first sacrificial layer using CMP. This step is shown in Fig. 440.
    6. 6. Etch the first sacrificial layer using Mask 2, defining the nozzle chamber wall, the paddle, and the actuator anchor point. This step is shown in Fig. 441.
    7. 7. Etch the second sacrificial layer down to the first sacrificial layer using Mask 3. This mask defines the paddle. This step is shown in Fig. 442.
    8. 8. Deposit a 1 micron conformal layer of PECVD glass.
    9. 9. Etch the glass using Mask 4, which defines the lower layer of the actuator loop.
    10. 10. Deposit 1 micron of heater material, for example titanium nitride (TiN) or titanium diboride (TiB2). Planarize using CMP. This step is shown in Fig. 443.
    11. 11. Deposit 0.1 micron of silicon nitride.
    12. 12. Deposit 1 micron of PECVD glass.
    13. 13. Etch the glass using Mask 5, which defines the upper layer of the actuator loop.
    14. 14. Etch the silicon nitride using Mask 6, which defines the vias connecting the upper layer of the actuator loop to the lower layer of the actuator loop.
    15. 15. Deposit 1 micron of the same heater material previously deposited. Planarize using CMP. This step is shown in Fig. 444.
    16. 16. Deposit 1 micron of PECVD glass.
    17. 17. Etch the glass down to the sacrificial layer using Mask 6. This mask defines the actuator and the nozzle chamber wall, with the exception of the nozzle chamber actuator slot. This step is shown in Fig. 445.
    18. 18. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    19. 19. Deposit 4 microns of sacrificial material and planarize down to glass using CMP.
    20. 20. Deposit 3 microns of PECVD glass. This step is shown in Fig. 446.
    21. 21. Etch to a depth of (approx.) 1 micron using Mask 7. This mask defines the nozzle rim. This step is shown in Fig. 447.
    22. 22. Etch down to the sacrificial layer using Mask 8. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 448.
    23. 23. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 9. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 449.
    24. 24. Etch both types of sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 450.
    25. 25. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    26. 26. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    27. 27. Hydrophobize the front surface of the print heads.
    28. 28. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 451.
    A Description of IJ37 T
  • In an embodiment, an inkjet printing system is provided for the projection of ink from a series of nozzles. In an embodiment a single paddle is located within a nozzle chamber and attached to an actuator device. When the nozzle is actuated in a first direction, ink is ejected through a first nozzle aperture and when the actuator is activated in a second direction causing the paddle to move in a second direction, ink is ejected out of a second nozzle. Turning initially to Fig. 452 to Fig. 456, there will now be illustrated in a schematic form, the operational principles of an embodiment.
  • Turning initially to Fig. 452, there is shown a nozzle arrangement 3701 of an embodiment when in its quiescent state. In the quiescent state, ink fills a first portion 3702 of the nozzle chamber and a second portion 3703 of the nozzle chamber. The ink fills the nozzle chambers from an ink supply channel 3705 to the point that a meniscus 3706, 3707 is formed around corresponding nozzle holes 3708, 3709. A paddle 3710 is provided within the nozzle chamber 3702 with the paddle 3710 being interconnected to a actuator device 3712 which can comprise a thermal actuator which can be actuated so as to cause the actuator 3712 to bend, as will be become more apparent hereinafter.
  • In order to eject ink from the first nozzle hole 3709, the actuator 3712, which can comprise a thermal actuator, is activated so as to bend as illustrated in Fig. 453. The bending of actuator 3712 causes the paddle 3710 to rapidly move upwards which causes a substantial increase in the pressure of the fluid, such as ink, within nozzle chamber 3702 and adjacent to the meniscus 3707. This results in a general rapid expansion of the meniscus 3707 as ink slows through the nozzle hole 3709 with result of the increasing pressure. The rapid movement of paddle 3710 causes a reduction in pressure along the back surface of the paddle 3710. This results in general flows as indicated 3717, 3718 from the second nozzle chamber and the ink supply channel. Next, while the meniscus 3707 is extended, the actuator 3712 is deactivated resulting in the return of the paddle 3710 to its quiescent position as indicated in Fig. 454. The return of the paddle 3710 operates against the forward momentum of the ink adjacent the meniscus 3707 which subsequently results in the breaking off of the meniscus 3707 so as to form the drop 3720 as illustrated in Fig. 454. The drop 3720 continues onto the print media. Further, surface tension effects on the ink meniscus 3707 and ink meniscus 3706 result in ink flows 3721 - 3723 which replenish the nozzle chambers. Eventually, the paddle 3710 returns to its quiescent position and the situation is again as illustrated in Fig. 452.
  • Subsequently, when it is desired to eject a drop via ink ejection hole 3708, the actuator 3712 is activated as illustrated in Fig. 465. The actuation 3712 causes the paddle 3710 to move rapidly down causing a substantial increase in pressure in the nozzle chamber 3703 which results in a rapid growth of the meniscus 3706 around the nozzle hole 3708. This rapid growth is accompanied by a general collapse in meniscus 3707 as the ink is sucked back into the chamber 3702. Further, ink flow also occurs into ink supply channel 3705 however, hopefully this ink flow is minimised. Subsequently, as indicated in Fig. 456, the actuator 3712 is deactivated resulting in the return of the paddle 3710 to is quiescent position. The return of the paddle 3710 results in a general lessening of pressure within the nozzle chamber 3703 as ink is sucked back into the area under the paddle 3710. The forward momentum of the ink surrounding the meniscus 3706 and the backward momentum of the other ink within nozzle chamber 3703 is resolved through the breaking off of an ink drop 3725 which proceeds towards the print media. Subsequently, the surface tension on the meniscus 3706 and 3707 results in a general ink inflow from nozzle chamber 3705 resulting, in the arrangement returning to the quiescent state as indicated in Fig. 452.
  • It can therefore be seen that the schematic illustration of Fig. 452 to Fig. 456 describes a system where a single planar paddle is actuated so as to eject ink from multiple nozzles.
  • Turning now to Fig. 457, there is illustrated a sectional view through one form of implementation of a single nozzle arrangement 3701. The nozzle arrangement 3701 can be constructed on a silicon wafer base 3728 through the construction of large arrays of nozzles at one time utilising standard micro electro-mechanical processing techniques.
  • An array of nozzles on a silicon wafer device and can be constructed from the utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • One form of construction will now be described with reference to Fig. 458 to Fig. 455. On top of the silicon wafer 3728 is first constructed a CMOS processing layer 3729 which can provide for the necessary interface circuitry for driving the thermal actuator and its interconnection with the outside world. The CMOS layer 3729 being suitably passivated so as to protect it from subsequent MEMS processing techniques. The walls eg. 3730 can be formed from glass (SiO2). Preferably, the paddle 3710 includes a thinned portion 3732 for more efficient operation. Additionally, a sacrificial etchant hole 3733 is provided for allowing more effective etching of sacrificial etchants within the nozzle chamber 3702. The ink supply channel 3705 is generally provided for interconnecting an ink supply conduit 3734 which can be etched through the wafer 3728 by means of utilisation of a deep anisotropic trench etcher such as that available from Silicon Technology Systems of the United Kingdom.
  • The arrangement 3701 further includes a thermal actuator device eg. 3712 which includes two arms comprising an upper arm 3736 and a lower arm 3737 formed around a glass core 3738. Both upper and lower arm heaters 3736, 3737 can comprise a 0.4µm film of 60% copper and 40% nickel hereinafter known as (Cupronickel) alloy. Copper and nickel is used because it has a high bend efficiency and is also highly compatible with standard VLSI and MEMS processing techniques. The bend efficiency can be calculated as the square of the coefficient of the thermal expansion times the Young's modulus, divided by the density and divided by the heat capacity. This provides a measure of the amount of "bend energy" produced by a material per unit of thermal (and therefore electrical) energy supplied.
  • The core can be fabricated from glass which also has many suitable properties in acting as part of the thermal actuator. The actuator 3712 includes a thinned portion 3740 for providing an interconnect between the actuator and the paddle 3710. The thinned portion 3740 provides for non-destructive flexing of the actuator 3712. Hence, when it is desired to actuate the actuator 3712, say to cause it to bend downwards, a current is passed down through the top cupronickel layer causing it to be heated and expand. This in turn causes a general bending due to the thermocouple relationship between the layers 3736 and 3738. The bending down of the actuator 3736 also causes thinned portion 3740 to move downwards in addition to the portion 3741. Hence, the paddle 3710 is pivoted around the wall 3741 which can, if necessary, include slots for providing for efficient bending. Similarly, the heater coil 3737 can be operated so as to cause the actuator 3712 to bend up with the consequential movement upon the paddle 3710.
  • A pit 3739 is provided adjacent to the wall of the nozzle chamber to ensure that any ink outside of the nozzle chamber has minimal opportunity to "wick" along the surface of the printhead as, the wall 3741 can be provided with a series of slots to assist in the flexing of the fulcrum.
  • Turning now to Fig. 458 to Fig. 475, there will now be described one form of processing construction of an embodiment of Fig. 457. This can involve the following steps:
    1. 1. Initially, as illustrated in Fig. 458, starting with a fully processed CMOS wafer 3728 the CMOS layer 3729 is deep silicon etched so as to provide for the nozzle ink inlet 3705.
    2. 2. Next, as illustrated in Fig. 459, a 7 layer of a suitable sacrificial material (for example, aluminium), is deposited and etched with a nozzle wall mask in addition to the electrical interconnect mask.
    3. 3. Next, as illustrated in Fig. 460, a 7 layer of low stress glass is deposited 3743 and planarised utilising chemical planarization.
    4. 4. Next, as illustrated in Fig. 461, the sacrificial material is etched to a depth of 0.4 micron and the glass to at least a level of 0.4 micron utilising a first heater mask.
    5. 5. Next, as illustrated in Fig. 462, the glass layer is etched 3745, 3746 down to the aluminium portions of the CMOS layer 3704 providing for an electrical interconnect utilising a first heater via mask.
    6. 6. Next, as illustrated in Fig. 463, a 3 micron layer of 50% copper and 40% nickel alloy is deposited eg. 3748 and planarised utilising chemical mechanical planarization.
    7. 7. Next, as illustrated in Fig. 464, a 4 micron layer of low stress glass is deposited and etched 3749 to a depth of 0.5 micron utilising a mask for the second heater.
    8. 8. Next, as illustrated in Fig. 465, the deposited glass layer is etched 3750 down to the cupronickel utilising a second heater via mask.
    9. 9. Next, as illustrated in Fig. 466, a 3 micron layer of cupronickel is deposited 3751 and planarised utilising chemical mechanical planarization.
    10. 10. As illustrated in Fig. 467, next, a 7 micron layer low stress glass 3752 is deposited.
    11. 11. The glass is etched, as illustrated in Fig. 468 to a depth of 1 micron utilising a first paddle mask.
    12. 12. Next, as illustrated in Fig. 469, the glass is again etched to a depth of 3 micron utilising a second paddle mask with the first mask utilised in Fig. 468 etching away those areas not having any portion of the paddle and the second mask as illustrated in Fig. 469 etching away those areas having a thinned portion. Both the first and second mask of Fig. 468 and Fig. 469 can be a timed etch.
    13. 13. Next, as illustrated in Fig. 470, the glass is etched to a depth of 7 micron using a third paddle mask. The third paddle mask leaving the nozzle wall 3730, baffle 3711, thinned wall 3741 and end portion 3754 which fixes one end of the thermal actuator firmly to the substrate.
    14. 14. The next step, as illustrated in Fig. 465, is to deposit an 11 micron layer 3755 of sacrificial material such as aluminium and planarize the layer utilising chemical mechanical planarization.
    15. 15. As illustrated in Fig. 472, a 3 micron layer of glass is deposited and etched to a depth of 1 micron utilising a nozzle rim mask.
    16. 16. Next, as illustrated in Fig. 473, the glass is etched down to the sacrificial layer utilising a nozzle mask so as to form the nozzle structure eg. 3758.
    17. 17. The next step, as illustrated in Fig. 474, is to back etch an ink supply channel 3734 utilising a deep silicon trench etcher such as that available from Silicon Technology Systems. The printheads can also be diced by this etch.
    18. 18. Next, the sacrificial layers are etched away by means of a wet etch and wash.
  • The printheads can then be inserted in an ink chamber moulding, tab bonded and a PTFE hydrophobic layer evaporated over the surface so as to provide for a hydrophobic surface.
  • In Fig. 476, there is illustrated a portion of a page with printhead including a series of nozzle arrangements as constructed in accordance with the principles of an embodiment. The array 3760 has been constructed for three colour output having a first row 3761 a second row 3762 and a third row 3763. Additionally, a series of bond pads, eg. 3764, 3765 are provided at the side for tab automated bonding to the printhead. Each row 3761, 3762, 3763 can be provided with a different colour ink including cyan, magenta and yellow for providing full colour output. The nozzles of each row 3761 - 3763 are further divided into sub rows eg. 3768, 3769. Further, a glass strip 3770 can be provided for anchoring the actuators of the row 3763 in addition to providing for alignment for the bond pad 3764, 3765.
  • The CMOS circuitry can be provided so as to fire the nozzles with the correct timing relationships. For example, each nozzle in the row 3768 is fired together followed by each nozzle in the row 3769 such that a single line is printed.
  • It could be therefore seen that an embodiment provides for an extremely compact arrangement of an inkjet printhead which can be made in a highly inexpensive manner in large numbers on a single silicon wafer with large numbers of printheads being made simultaneously. Further, the actuation mechanism provides for simplified complexity in that the number of actuators is halved with the arrangement of an embodiment.
  • One alternative form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 478. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 477 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the ink inlet hole.
    3. 3. Etch silicon to a depth of 15 microns using etched oxide as a mask. The sidewall slope of this etch is not critical (75 to 90 degrees is acceptable), so standard trench etchers can be used. This step is shown in Fig. 479.
    4. 4. Deposit 7 microns of sacrificial aluminum.
    5. 5. Etch the sacrificial layer using Mask 2, which defines the nozzle walls and actuator anchor. This step is shown in Fig. 480.
    6. 6. Deposit 7 microns of low stress glass and planarize down to aluminum using CMP.
    7. 7. Etch the sacrificial material to a depth of 0.4 microns, and glass to a depth of at least 0.4 microns, using Mask 3. This mask defined the lower heater. This step is shown in Fig. 481.
    8. 8. Etch the glass layer down to aluminum using Mask 4, defining heater vias. This step is shown in Fig. 482.
    9. 9. Deposit 1 micron of heater material (e.g. titanium nitride (TiN)) and planarize down to the sacrificial aluminum using CMP. This step is shown in Fig. 483.
    10. 10. Deposit 4 microns of low stress glass, and etch to a depth of 0.4 microns using Mask 5. This mask defines the upper heater. This step is shown in Fig. 484.
    11. 11. Etch glass down to TiN using Mask 6. This mask defines the upper heater vias.
    12. 12. Deposit 1 micron of TiN and planarize down to the glass using CMP. This step is shown in Fig. 485.
    13. 13. Deposit 7 microns of low stress glass.
    14. 14. Etch glass to a depth of 1 micron using Mask 7. This mask defines the nozzle walls, nozzle chamber baffle, the paddle, the flexure, the actuator arm, and the actuator anchor. This step is shown in Fig. 486.
    15. 15. Etch glass to a depth of 3 microns using Mask 8. This mask defines the nozzle walls, nozzle chamber baffle, the actuator arm, and the actuator anchor. This step is shown in Fig. 487.
    16. 16. Etch glass to a depth of 7 microns using Mask 9. This mask defines the nozzle walls and the actuator anchor. This step is shown in Fig. 488.
    17. 17. Deposit 11 microns of sacrificial aluminum and planarize down to glass using CMP. This step is shown in Fig. 489.
    18. 18. Deposit 3 microns of PECVD glass.
    19. 19. Etch glass to a depth of 1 micron using Mask 10, which defines the nozzle rims. This step is shown in Fig. 490.
    20. 20. Etch glass down to the sacrificial layer (3 microns) using Mask 11, defining the nozzles and the nozzle chamber roof. This step is shown in Fig. 491.
    21. 21. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    22. 22. Back-etch the silicon wafer to within approximately 10 microns of the front surface using Mask 12. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This etch can be achieved with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems. This step is shown in Fig. 492.
    23. 23. Etch all of the sacrificial aluminum. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 493.
    24. 24. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    25. 25. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    26. 26. Hydrophobize the front surface of the print heads.
    27. 27. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 494.
    A Description of IJ38 T
  • An embodiment of the present invention includes an inkjet arrangement wherein a single actuator drives two output nozzles. When the actuator is driven in the first direction, ink is ejected out of a first nozzle and when the actuator is driven in a second direction, ink is ejected out of a second nozzle. The paddle actuator is interconnected via a slot in the nozzle chamber wall to a rigid thermal actuator which can be actuated so as to cause the ejection of ink from the ink ejection holes.
  • Turning initially to Fig. 500 and Fig. 501, there is illustrated a nozzle arrangement 3801 of an embodiment with Fig. 501 being a sectional view through the line VII-VII of Fig. 500. The nozzle arrangement 3801 includes two ink ejection ports 3802, 3803 for the ejection of ink from within a nozzle chamber. The nozzle chamber further includes first and second chamber portions 3805, 3806 in addition to an etched cavity 3807 which, during normal operation, are normally filled with ink supplied via an ink inlet channel 3808. The ink inlet channel 3808 is in turn connected to an ink supply channel 3809 etched through a silicon wafer. Inside the nozzle chamber is located an actuator paddle 3810 which is interconnected through a slot 3812 in the chamber wall to an actuator arm 3813 which is actuated by means of thermal actuators 3814, 3815 which are in turn connected to a substrate 3817 via an end block portion 3818 with the substrate 3817 providing the relevant electrical interconnection for the heaters3814, 3815.
  • Hence, the actuator arm 3813 can be actuated by the thermal actuators 3814, 3815 to move up and down so as to eject ink via the nozzle holes 3802 or 3803. A series of holes eg. 3820 - 3822 are also provided in top of the nozzle plate. As will become more readily apparent hereinafter, the holes 3820 - 3822 assist in the etching of sacrificial layers during construction in addition to providing for "breathing" assistance during operation of the nozzle arrangement 3801. The two chambers 3805, 3806 are separated by a baffle 3824 and the paddle arm 3810 includes a end lip portion 3825 in addition to a plug portion 3826. The plug portion 3826 is designed to mate with the boundary of the ink inlet channel 3808 during operation.
  • Turning now to Fig. 495 to Fig. 499, there will now be explained the operation of the nozzle arrangement 3801. Each of Fig. 495 to Fig. 499 illustrate a cross sectional view of the nozzle arrangement during various stages of operation. Turning initially to Fig. 495, there is shown the nozzle arrangement 3801 when in its quiescent position. In this state, the paddle 3810 is idle and ink fills the nozzle chamber so as to form menisci 3829 - 3833 and 3837.
  • When it is desired to eject a drop out of the nozzle port 3803, as indicated in Fig. 497, the bottom heater 3815 is actuated. The heater 3815 can comprise a 60% copper and 40% nickel alloy which has a high bending efficiency where the bending efficiency is defined as: bend efficiency = Youn g s Modulus × ( Coefficient of Thermal expansion ) Density × Specific Heat Capacity
    Figure imgb0004
  • The two heaters 3814, 3815 can be constructed from the same material and normally exist in a state of balance when the paddle 3810 is in its quiescent position. As noted previously, when it is desired to eject a drop out of nozzle chamber 3803, the heater 3815 is actuated which causes a rapid upwards movement of the actuator paddle 3810. This causes a general increase in pressure in the area in front of the actuator paddle 3810 which further causes a rapid expansion in the meniscus 3830 in addition to a much less significant expansion in the menisci 3831 - 3833 (due to their being of a substantially smaller radius). Additionally, the substantial decrease in pressure around the back surface of the paddle 3810 causes a general inflow of ink from the nozzle chamber 3808 in addition to causing a general collapse in the meniscus 3829 and a corresponding flow of ink 3835 around the baffle 3824. A slight bulging also occurs in the meniscus 3837 around the slot in the side wall 3812.
  • Turning now to Fig. 498, the heater 3815 is merely pulsed and turned off when it reaches its maximum extent. Hence, the paddle actuator 3810 rapidly begins to return to its quiescent position causing the ink around the ejection port 3803 to begin to flow back into the chamber. The forward momentum of the ink in the expanded meniscus and the backward pressure exerted by actuator paddle 3810 results in a general necking of the meniscus and the subsequent breaking off of a separate drop 3839 which proceeds to the print media. The menisci 3829, 3831, 3832 and 3833 each of a generally concave shape exert a further force on the ink within the nozzle chamber which begins to draw ink in from the ink inlet channel 3808 so as to replenish the nozzle chamber. Eventually, the nozzle arrangement returns to the quiescent position which is as previously illustrated in respect of Fig. 495.
  • Turning now to Fig. 498, when it is desired to eject a droplet of ink out of the ink ejection port 3802, the thermal actuator 3814 is actuated resulting in a general expansion of the thermal actuator 3814 which in turn causes a rapid downward movement of the actuator paddle 3810. The rapid downward movement causes a substantial increase in pressure within the cavity 3807 which in turn results in a general rapid expansion of the meniscus 3829. The end plug portion 3826 results in a general blocking of the ink supply channel 3808 stopping fluid from flowing back down the ink supply channel 3808. This further assists in causing ink to flow towards the cavity 3807. The menisci 3830 - 3833 of Fig. 495 are drawn generally into the nozzle chamber and may unite so as to form a single meniscus 3840. The meniscus 3837 is also drawn into the chamber. The heater 3814 is merely pulsed, which as illustrated in Fig. 499 results in a rapid return of the paddle 3810 to its quiescent position. The return of the paddle 3810 results in a general reduction in pressure within the cavity 3807 which in turn results in the ink around the nozzle 3802 beginning to flow 3843 back into the nozzle chamber. The forward momentum of the ink around the meniscus 3829 in addition to the backflow 3843 results in a general necking of the meniscus and the formation of an ink drop 3842 which separates from the main body of the ink and continues to the print media.
  • The return of the actuator paddle 3810 further results in plugging portion 3826 "unplugging" the ink supply channel 3808. The general reduction in pressure in addition to the collapsed menisci 3840, 3837 and 3829 results in a flow of ink from the ink inlet channel 3808 into the nozzle chamber so as to cause replenishment of the nozzle chamber and return to the quiescent state as illustrated in Fig. 496.
  • Returning now to Fig. 500 and Fig. 501, a number of other important features of an embodiment include the fact that each nozzle eg. 3802, 3803, 3820, 3821, 3822, 3812 etc. includes a nozzle rim around its outer periphery. The nozzle rim acts to stop wicking of the meniscus formed across the nozzle rim. Further, the actuator arm 3813 is provided with a wick minimisation protrusion eg. 3844 in addition to a series of pits eg. 3845 which were again shaped so as to minimise wicking along the surfaces surrounding the actuator arms 3813.
  • The nozzle arrangement of an embodiment can be formed on a silicon wafer utilising standard semi-conductor fabrication processing steps and micro-electromechanical systems (MEMS) construction techniques.
  • For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • Preferably, a large wafer of printheads is constructed at any one time with each printhead providing a predetermined pagewidth capabilities and a single printhead can in turn comprise multiple colors so as to provide for full color output as would be readily apparent to those skilled in the art.
  • Turning now to Fig. 501 - Fig. 519 there will now be explained one form of fabrication of an embodiment. An embodiment can start with a CMOS processed silicon wafer 3850 which can include a standard CMOS layer 3851 of the relevant electrical circuitry etc. The processing steps can then be as follows:
    1. 1. As illustrated in Fig. 501 a deep silicon etch is performed so as to form the nozzle cavity 3807 and ink inlet 3808. A series of pits eg. 3845 are also etched down to an aluminium portion of the CMOS layer.
    2. 2. Next, as illustrated in Fig. 502, a sacrificial material layer is deposited and planarised using a standard Chemical Mechanical Planarization (CMP) process before being etched with a nozzle wall mask so. as to form cavities for the nozzle wall, plug portion and interconnect portion. A suitable etchant material is aluminium which is often utilised in MEMS processes as a sacrificial material.
    3. 3. Next, as illustrated in Fig. 503, a 3 micron layer of low stress glass is deposited and planarized utilising CMP.
    4. 4. Next, as illustrated in Fig. 504, the sacrificial material 3852 is etched to a depth of 1.1 micron and the glass 3853 is further etched at least 1.1 micron utilising a first heater mask.
    5. 5. Next, as illustrated in Fig. 505, the glass is etched eg. 3855 down to an aluminium layer eg. 3856 of the CMOS layer.
    6. 6. Next, as illustrated in Fig. 506, a 3 micron layer of 60% copper and 40% nickel alloy is deposited 3857 and planarized utilising CMP. The copper and nickel alloy hereinafter called "cupronickel" is a material having a high "bend efficiency" as previously described.
    7. 7. Next, as illustrated in Fig. 507, a 3 micron layer 3860 of low stress glass is deposited and etched utilising a first paddle mask.
    8. 8. Next, as illustrated in Fig. 508, a further 3 micron layer of aluminium eg. 3861 is deposited and planarized utilising chemical mechanical planarization.
    9. 9. Next, as illustrated in Fig. 509, a 2 micron layer of low stress glass is deposited and etched 3863 by 1.1 micron utilizing a heater mask for the second heater.
    10. 10. As illustrated in Fig. 510, the glass is etched 3864 down to the cupronickel layer so as to provide for the upper level heater contact.
    11. 11. Next, as illustrated in Fig. 511, a 3 micron layer of cupronickel alloy is deposited and planarized 3865 utilizing CMP.
    12. 12. Next, as illustrated in Fig. 512, a 7 micron layer of low stress glass 3866 is deposited.
    13. 13. Next, as illustrated in Fig. 513 the glass is etched 3868 to a depth of 2 micron utilizing a mask for the paddle.
    14. 14. Next, as illustrated in Fig. 514, the glass is etched to a depth of 7 micron using a mask for the nozzle walls, portions of the actuator and the post portion.
    15. 15. Next, as illustrated in Fig. 515, a 9 micron layer of sacrificial material is deposited 3870 and planarized utilising CMP.
    16. 16. Next, as illustrated in Fig. 516, a 3 micron layer of low stress glass is deposited and etched 3871 to a depth of 1 micron utilizing a nozzle rim mask.
    17. 17. Next, as illustrated in Fig. 517, the glass is etched down to the sacrificial layer eg. 3872 utilising a nozzle mask.
    18. 18. Next, as illustrated in Fig. 518, an ink supply channel 3873 is etched through from the back of the wafer utilizing a silicon deep trench etcher which has near vertical side wall etching properties. A suitable silicon trench etcher is the deep silicon trench etcher available from Silicon Technology Systems of the United Kingdom. The printheads can also be "diced" as a result of this etch.
    19. 19. Next, as illustrated in Fig. 519, the sacrificial layers are etched away utilising a wet etch so as release the structure of the printhead.
  • The printheads can then be washed and inserted in an ink chamber moulding for providing an ink supply to the back of the wafer so to allow ink to be supplied via the ink supply channel. The printhead can then have one edge along its surface TAB bonded to external control lines and preferably a thin anti-corrosion layer of ECR diamond-like carbon deposited over its surfaces so as to provide for anti corrosion capabilities.
  • Turning now to Fig. 520, there is illustrated a portion 3880 of a full colour printhead which is divided into three series of nozzles 3881, 3882 and 3883. Each series can supply a separate color via means of a corresponding ink supply channel. Each series is further subdivided into two subrows 3886, 3887 with the relevant nozzles of each subrow being fired simultaneously with one subrow being fired a predetermined time after a second subrow such that a line of ink drops is formed on a page.
  • As illustrated in Fig. 520 the actuators a formed in a curved relationship with respect to the main nozzle access so as to provide for a more compact packing of the nozzles. Further, the block portion (3818) of Fig. 495 is formed in the wall of an adjacent series with the block portion of the row 3883 being formed in a separate guide rail 3890 provided as an abutment surface for the TAB strip when it is abutted against the guide rail 3890 so as to provide for an accurate registration of the tab strip with respect to the bond pads 3891, 3892 which are provided along the length of the printhead so as to provide for low impedance driving of the actuators.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 523. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 522 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the pit underneath the paddle, the anti-wicking pits at the actuator entrance to the nozzle chamber, as well as the edges of the print heads chip.
    3. 3. Etch silicon to a depth of 20 microns using etched oxide as a mask. The sidewall slope of this etch is not critical (60 to 90 degrees is acceptable), so standard trench etchers can be used. This step is shown in Fig. 524.
    4. 4. Deposit 23 microns of sacrificial material (e.g. polyimide or aluminum). Planarize to a thickness of 3 microns over the chip surface using CMP.
    5. 5. Etch the sacrificial layer using Mask 2, which defines the nozzle walls and actuator anchor. This step is shown in Fig. 525.
    6. 6. Deposit 3 microns of PECVD glass and planarize using CMP.
    7. 7. Etch the sacrificial material to a depth of 1.1 microns, and glass to a depth of at least 1.1 microns, using Mask 3. This mask defmed the lower heater. This step is shown in Fig. 526.
    8. 8. Etch the glass layer down to aluminum using Mask 4, defining heater vias. This step is shown in Fig. 527.
    9. 9. Deposit 3 microns of heater material (e.g. cupronickel [Cu: 60%, Ni: 40%] or TiN). If cupronickel, then deposition can consist of three steps - a thin anti-corrosion layer of, for example, TiN, followed by a seed layer, followed by electroplating of the cupronickel.
    10. 10. Planarize down to the sacrificial layer using CMP. Steps 7 to 10 form a'dual damascene' process. This step is shown in Fig. 528.
    11. 11. Deposit 3 microns of PECVD glass and etch using Mask 5. This mask defines the actuator arm and the second layer of the nozzle chamber wall. This step is shown in Fig. 529.
    12. 12. Deposit 3 microns of sacrificial material and planarize using CMP.
    13. 13. Deposit 2 microns of PECVD glass.
    14. 14. Etch the glass to a depth of 1.1 microns, using Mask 6. This mask defmed the upper heater. This step is shown in Fig. 530.
    15. 15. Etch the glass layer down to heater material using Mask 7, defining the upper heater vias. This step is shown in Fig. 531.
    16. 16. Deposit 3 microns of the same heater material as step 9.
    17. 17. Planarize down to the glass layer using CMP. Steps 14 to 17 form a second dual damascene process. This step is shown in Fig. 532.
    18. 18. Deposit 7 microns of PECVD glass. This step is shown in Fig. 533.
    19. 19. Etch glass to a depth of 2 microns using Mask 8. This mask defines the paddle, actuator, actuator anchor, as well as the nozzle walls. This step is shown in Fig. 534.
    20. 20. Etch glass to a depth of 7 microns (stopping on sacrificial material in exhaust gasses) using Mask 9. This mask defines the nozzle walls and actuator anchor. This step is shown in Fig. 535.
    21. 21. Deposit 9 microns of sacrificial material and planarize down to glass using CMP. This step is shown in Fig. 536.
    22. 22. Deposit 3 microns of PECVD glass.
    23. 23. Etch glass to a depth of 1 micron using Mask 10, which defines the nozzle rims. This step is shown in Fig. 537.
    24. 24. Etch glass down to the sacrificial layer (3 microns) using Mask 11, defining the nozzles and the nozzle chamber roof. This step is shown in Fig. 538.
    25. 25. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    26. 26. Back-etch silicon wafer to within approximately 15 microns of the front surface using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This etch can be achieved with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems. This step is shown in Fig. 539.
    27. 27. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 540.
    28. 28. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    29. 29. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    30. 30. Hydrophobize the front surface of the print heads.
    31. 31. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 541.
  • It would therefore be evident that an embodiment provides for a compact form of manufacture of an inkjet printhead which includes a dual nozzle single actuator system.
  • A Description of IJ39 T
  • In an embodiment, an inkjet printing system is provided having an ink ejection nozzle arrangement such that a paddle actuator type device is utilised to eject ink from a refillable nozzle chamber. As a result of the construction processes utilised, the paddle is generally of a "cupped" shape. The cup shape provides for the alleviation of a number of the aforementioned problems. The paddle is interconnected to a thermal actuator device which is thermally actuated by means of passing a current through a portion of the thermal actuator, so as to cause the ejection of ink therefrom. Further, the cupped paddle allows for a suitable construction process which does not require the formation of thick surface layers during the process of construction. This means that thermal stresses across a series of devices constructed on a single wafer are minimised.
  • Turning initially to Fig. 542 to Fig. 544, there will now be explained the operational principles of an embodiment. In Fig. 542 there is illustrated an inkjet nozzle arrangement 3901 having a nozzle chamber 3902 which is normally filled with ink from a supply channel 3903 such that a meniscus 3904 forms across the ink ejection aperture of the nozzle arrangement. Inside the nozzle arrangement, a cupped paddle actuator 3905 is provided and interconnected to an actuator arm 3906 which, when in a quiescent position, is bent downwards. The lower surface of the actuator arm 3906 includes a heater element 3908 which is constructed of material having a high "bend efficiency".
  • Preferably, the heater element has a high bend efficiency wherein the bend efficiency is defmed as: b e nd effi c i e n c y = Y oung´s M o d u l u s × ( C o effi c i e n t  of   t h e r m a l E x p a n s i o n ) D e n s i t y × S p e cifi c   H e a t   C a p a c i t y
    Figure imgb0005
  • A suitable material can be a copper nickel alloy of 60% copper and 40% nickel, hereinafter called (cupronickel) which can be formed below a glass layer so as to bend the glass layer.
  • In its quiescent position, the arm 3906 is bent down by the element 3908. When it is desired to eject a droplet of ink from the nozzle chamber 3902, a current is passed through the actuator arm 3908 by means of an interconnection provided by a post 3909. The heater element 3908 is heated and expands with a high bend efficiency thereby causing the arm 3906 to move upwards as indicated in Fig. 543. The upward movement of the actuator arm 3906 causes the cupped paddle 3905 to also move up which results in a general increase in pressure within the nozzle chamber 3902 in the area surrounding the meniscus 3904. This results in a general outflow of ink and a bulging of the meniscus 3904. Next, as indicated in Fig. 544, the heater element 3908 is turned off which results in the general return of the arm 3906 to its quiescent position which further results in a downward movement of the cupped paddle 3905. This results in a general sucking back 3911 of the ink within the nozzle chamber 3902. The forward momentum of the ink surrounding the meniscus and the backward momentum of the ink 3911 results in a general necking of the meniscus and the formation of a drop 3912 which proceeds to the surface of the page. Subsequently, the shape of the meniscus 3904 results in a subsequent inflow of ink via the inlet channel 3903 which results in a refilling of the nozzle chamber 3902. Eventually, the state returns to that indicated by Fig. 542.
  • Turning now to Fig. 545, there is illustrated a side perspective view partly in section of one form of construction, a single nozzle arrangement 3901 in greater detail. The nozzle arrangement 3901 includes a nozzle chamber 3902 which is normally filled with ink. Inside the nozzle chamber 3902 is a paddle actuator 3905 which divides the nozzle chamber from an ink refill supply channel 3903 which supplies ink from a back surface of a silicon wafer 3914.
  • Outside of the nozzle chamber 3902 is located an actuator arm 3906 which includes a glass core portion and an external cupronickel portion 3908. The actuator arm 3906 interconnects with the paddle 3905 by means of a slot 3919 located in one wall of the nozzle chamber 3902. The slot 3919 is of small dimensions such that surface tension characteristics retain the ink within the nozzle chamber 3902. Preferably, the external portions of the arrangement 3901 are further treated so as to be strongly hydrophobic. Additionally, a pit 3921 is provided around the slot 3919. The pit includes a ledge 3922 with the pit and ledge interacting so as to minimise the opportunities for "wicking" along the actuator arm 3906. Further, to assist of minimising of wicking, the arm 3906 includes a thinned portion 3924 adjacent to the nozzle chamber 3902 in addition to a right angled wall 3925.
  • The surface of the paddle actuator 3905 includes a slot 3911. The slot 3911 aids in allowing for the flow of ink from the back surface of paddle actuator 3905 to a front surface. This is especially the case when initially the arrangement is filled with air and a liquid is injected into the refill channel 3903. The dimensions of the slot are such that, during operation of the paddle for ejecting drops, minimal flow of fluid occurs through the slot 3911.
  • The paddle actuator 3905 is housed within the nozzle chamber and is actuated so as to eject ink from the nozzle 3927 which in turn includes a rim 3928. The rim 3928 assists in minimising wicking across the top of the nozzle chamber 3902.
  • The cupronickel element 3908 is interconnected through a post portion 3909 to a lower CMOS layer 3915 which provides for the electrical control of the actuator element.
  • Each nozzle arrangement 3901, can be constructed as part of an array of nozzles on a silicon wafer device and can be constructed from the utilising semiconductor processing techniques in addition to micro machining and micro fabrication process technology (MEMS) and a full familiarity with these technologies is hereinafter assumed.
  • For a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
  • Turning initially to Fig. 547(a) and 6b, in Fig. 547(b) there is shown an initial processing step which utilizes a mask having a region as specified in Fig. 547(a). The initial starting material is preferably a silicon wafer 3914 having a standard 0.25 micron CMOS layer 3915 which includes drive electronics (not shown), the structure of the drive on electronics being readily apparent to those skilled in the art of CMOS integrated circuit designs.
  • The first step in the construction of a single nozzle is to pattern and etch a pit 3928 to a depth of 13 micron using the mask pattern having regions specified 3929 as illustrated in Fig. 547(a).
  • Next, as illustrated in Fig. 548(b), a 3 micron layer of the sacrificial material 3930 is deposited. The sacrificial material can comprise aluminium. The sacrificial material 3930 is then etched utilising a mask pattern having portions 3931 and 3932 as indicated at Fig. 548(a).
  • Next, as shown in Fig. 549(b) a very thin 0.1µm layer of a corrosion barrier material (for example, silicon nitride) is deposited 3934 and subsequently etched so as to form the heater element 3935. The etch utilises a third mask having mask regions specified 3936 and 3937 in Fig. 549(a).
  • Next, as shown intended in Fig. 550(b), a 1.1 micron layer of heater material which can comprise a 60% copper 40% nickel alloy is deposited 3939 utilising a mask having a resultant mask region as illustrated in Fig. 550(a).
  • Next a 0.1µm corrosion layer is deposited over the surface. The corrosion barrier can again comprise silicon nitride.
  • Next, as illustrated in Fig. 551(b), a 3.4µm layer of glass 3942 is deposited. The glass and nitride can then be etched utilising a mask as specified 3943 in Fig. 551(a). The glass layer 3942 includes, as part of the deposition process, a portion 3944 which is a result of the deposition process following the lower surface profile.
  • Next, a 6µm layer of sacrificial material such as aluminium is deposited 3945 as indicated in Fig. 552(b). This layer is planarized to approximately 4µm minimum thickness utilising a Chemical Mechanical Planarization (CMP) process. Next, the sacrificial material layer is etched utilizing a mask having regions 3948, 3949 as illustrated in Fig. 552(a) so as to form portions of the nozzle wall and post.
  • Next, as illustrated in Fig. 553(b), a 3m layer of glass 3950 is deposited. The 3µm layer is patterned and etched to a depth of 1µm using a mask having a region specified 3951 as illustrated in Fig. 553(b) so as to form a nozzle rim.
  • Next, as illustrated in Fig. 554(b) the glass layer is etched utilising a further mask as illustrated in Fig. 553(a) which leaves glass portions eg. 3953 to form the nozzle chamber wall and post portion 3954.
  • Next, as illustrated in Fig. 555(b) the backside of the wafer is patterned and etched so as to form an ink supply channel 3903. The mask utilised can have regions 3956 as specified in Fig. 555(a). The etch through the backside of the wafer can preferably utilize a high quality deep anisotropic etching system such as that available from Silicon Technology Systems of the United Kingdom. Preferably, the etching process also results in the dicing of the wafer into its separate printheads at the same time.
  • Next, as illustrated in Fig. 556, the sacrificial material can be etched away so as to release the actuator structure. Upon release, the actuator 3906 bends downwards due to its release from thermal stresses built up during deposition. The printhead can then be cleaned and mounted in a moulded ink supply system for the supply of ink to the back surface of the wafer. A TAB film for suppling electric control to an edge of the printhead can then be bonded utilizing normal TAB bonding techniques. The surface area can then be hydrophobically treated and finally the ink supply channel and nozzle chamber filled with ink for testing.
  • Hence, as illustrated in Fig. 557, a pagewidth printhead having a repetitive structure 3960 can be constructed for full colour printing. Fig. 557 shows a portion of the final printhead structure and includes three separate groupings 3961-3963 with one grouping for each colour and each grouping eg. 3963 in turn consisting of two separate rows of inkjet nozzles 3965, 3966 which are spaced apart in an interleaved pattern. The nozzle 3965, 3966 are fired at predetermined times so as to form an output image as would be readily understood by those skilled in the art of construction of inkjet printhead. Each nozzle eg. 3968 includes its own actuator arm 3969 which, in order to form an extremely compact arrangement, is preferably formed so as to be generally bent with respect to the line perpendicular to the row of nozzles. Preferably, a three colour arrangement is provided which has one of the groups 3961-3963 dedicated to cyan, magenta and another yellow colour printing. Obviously, four colour printing arrangements can be constructed if required.
  • Preferably, at one side a series of bond pads eg. 3971 are formed along the side for the insertion of a tape automated bonding (TAB) strip which can be aligned by means of alignment rail eg. 3972 which is constructed along one edge of the printhead specifically for this purpose.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, Complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 559. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 558 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the pit underneath the paddle, as well as the edges of the print heads chip.
    3. 3. Etch silicon to a depth of 8 microns using etched oxide as a mask. The sidewall slope of this etch is not critical (60 to 90 degrees is acceptable), so standard trench etchers can be used. This step is shown in Fig. 560.
    4. 4. Deposit 3 microns of sacrificial material (e.g. aluminum or polyimide)
    5. 5. Etch the sacrificial layer using Mask 3, defining heater vias and nozzle chamber walls. This step is shown in Fig. 561.
    6. 6. Deposit 0.2 microns of heater material, e.g. TiN.
    7. 7. Etch the heater material using Mask 3, defming the heater shape. This step is shown in Fig. 562.
    8. 8. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    9. 9. Deposit 3 microns of PECVD glass.
    10. 10. Etch glass layer using Mask 4. This mask defines the nozzle chamber wall, the paddle, and the actuator arm. This step is shown in Fig. 563.
    11. 11. Deposit 6 microns of sacrificial material.
    12. 12. Etch the sacrificial material using Mask 5. This mask defines the nozzle chamber wall. This step is shown in Fig. 564.
    13. 13. Deposit 3 microns of PECVD glass.
    14. 14. Etch to a depth of (approx.) 1 micron using Mask 6. This mask defines the nozzle rim. This step is shown in Fig. 565.
    15. 15. Etch down to the sacrificial layer using Mask 7. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 566.
    16. 16. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 8. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 567.
    17. 17. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 568.
    18. 18. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    19. 19. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    20. 20. Hydrophobize the front surface of the print heads.
    21. 21. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 569.
    A Description of IJ40 T
  • In an embodiment, there is provided a nozzle chamber having ink within it and a thermal actuator device interconnected to a paddle the thermal actuator device being actuated so as to eject from the nozzle chamber. An embodiment includes a particular thermal actuator structure which includes a series of tapered actuator heater arms for providing conductive heating of a conductive trace. The actuator arm is interconnected to a paddle by a slotted wall in the nozzle chamber. The actuator arm has a mating shape so as to mate substantially with the surfaces of the slot in the nozzle chamber wall.
  • Turning initially to Fig. 570 to Fig. 572, there is provided schematic illustrations of the basic operation of the device. A nozzle chamber 4001 is provided filled with ink 4002 by means of an ink inlet channel 4003 which can be etched through a wafer substrate on which the nozzle chamber 4001 rests. The nozzle chamber 4001 further includes an ink ejection aperture 4004 around which an ink meniscus forms.
  • Inside the nozzle chamber 4001 is a paddle type device 4007 which is interconnected to an actuator arm 4008 through a slot in the wall of the nozzle chamber 4001. The actuator arm 4008 includes a heater means eg. 4009 located adjacent to a post end portion 4010 of the actuator arm. The post 4010 being fixed to a substrate.
  • When it is desired to eject a drop from the nozzle chamber, as illustrated in Fig. 571, the heater means 4009 is heated so as to undergo thermal expansion. Preferably, the heater means itself or the other portions of the actuator arm 4008 are built from materials having a high bend efficiency.
  • A suitable material for the heater elements is a copper nickel alloy which can be formed so as to bend a glass material.
  • The heater means is ideally located adjacent the post end portion 4010 such that the effects of activation are magnified at the paddle end 4007 such that small thermal expansions near post 4010 result in large movements of the paddle end.
  • The heating 4009 and consequential paddle movement causes a general increase in pressure around the ink meniscus 4005 which expands, as illustrated in Fig. 571, in a rapid manner. The heater current is pulsed and ink is ejected out of the nozzle 4004 in addition to flowing in from the ink channel 4003. Subsequently, the paddle 4007 is deactivated to again return to its quiescent position. The deactivation causes a general reflow of the ink into the nozzle chamber. The forward momentum of the ink outside the nozzle rim and the corresponding backflow results in a general necking and breaking off of the drop 4012 which proceeds to the print media. The collapsed meniscus 4005 results in a general sucking of ink into the nozzle chamber 4002 via the in flow channel 4003. In time, the nozzle chamber is refilled such that the position in Fig. 570 is again reached and the nozzle chamber is subsequently ready for the ejection of another drop of ink.
  • Turning now to Fig. 573 there is illustrated a view of a single nozzle arrangements of an embodiment. The arrangement of Fig. 573 has a number in the structures which aid and assist in the low energy operation of the paddle.
  • Firstly, the actuator 4008 includes a series of tapered heater sections eg. 4015 which comprise an upper glass portion (amorphous silicon dioxide) 4016 formed on top of a titanium nitride layers 4017. Alternatively a copper nickel alloy layer (hereinafter called cupronickel) can be utilized which will have a higher bend efficiency.
  • The titanium nitride layer 4017 is in a tapered form and, as such, resistive heating takes place near the post end portion 4010. Adjacent titanium nitride/glass portions are interconnected at block portion 4019 which also provides for a mechanical structural support for the actuator arm.
  • The heater means ideally includes a plurality of tapered portions 4015 which are elongated and spaced apart such that, upon heating, the bending force exhibited along the axis of the actuator arm is maximized. The slots between adjacent tapered portions allow for slight differential operation of each thermal actuator with respect to adjacent actuators.
  • The block portion 4019 is interconnected to an arm portion 4020. The arm 4020 is in turn connected to the paddle 4007 inside the nozzle chamber 4001 by means of a slot eg. 4022 formed in the side of the nozzle chamber 4001. The formation of the slot 4022 is designed generally to mate with the surfaces of the arm 4020 so as to minimise opportunities for the outflow of ink around this arm. The ink is held generally within the nozzle chamber 4001 via surface tension effects around the slot 4022.
  • When it is desired to actuate the arm 4008, a conductive current is passed through the titanium nitride layer 4017 via vias within the block portion 4010 connecting to a lower CMOS layer 4006 which provides for the necessary power and control circuitry for the nozzle arrangement. The conductive current results in heating of the nitride layer 4017 adjacent to the post portion 4010 which results in a general upward bending of the arm 4008 and the consequential ejection of ink out of the nozzle 4004. The ejected drop being printed on page in the usual manner for an inkjet printer as previously described.
  • Obviously, an array of ink ejection devices can be subsequently formed so as to create a single printhead. For example, in Fig. 574 there is illustrated an array views which comprises multiple ink ejection nozzle arrangements 4001 laid out in interleaved lines so as to form a printhead array. Of course, different types of arrays can be formulated including full color arrays etc.
  • An embodiment achieves a particular balance between utilisation of the standard semi-conductor processing material such as titanium nitride and glass in a MEMS process. Obviously the skilled person may make other choices of materials and design features where the economics are justified. For example, a copper nickel alloy of 50% copper and 50% nickel may be more advantageously deployed as the conductive heating compound as it is likely to have higher levels of bend efficiency. Also, other design structures may be employed where it is not necessary to provide for such a simple form of manufacture.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 576. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 575 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the surface anti-wicking notch, and the heater contacts. This step is shown in Fig. 577.
    3. 3. Deposit 1 micron of sacrificial material (e.g. aluminum or photosensitive polyimide)
    4. 4. Etch (if aluminum) or develop (if photosensitive polyimide) the sacrificial layer using Mask 2. This mask defines the nozzle chamber walls and the actuator anchor point. This step is shown in Fig. 578.
    5. 5. Deposit 0.2 micron of heater material, e.g. TiN.
    6. 6. Deposit 3.4 microns of PECVD glass.
    7. 7. Etch both glass and heater layers together, using Mask 3. This mask defines the actuator, paddle, and nozzle chamber walls. This step is shown in Fig. 579.
    8. 8. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    9. 9. Deposit 10 microns of sacrificial material.
    10. 10. Etch or develop sacrificial material using Mask 4. This mask defines the nozzle chamber wall. This step is shown in Fig. 580.
    11. 11. Deposit 3 microns of PECVD glass.
    12. 12. Etch to a depth of (approx.) 1 micron using Mask 5. This mask defines the nozzle rim. This step is shown in Fig. 581.
    13. 13. Etch down to the sacrificial layer using Mask 6. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 582.
    14. 14. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 583.
    15. 15. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 584.
    16. 16. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    17. 17. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    18. 18. Hydrophobize the front surface of the print heads.
    19. 19. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 585.
    A Description of IJ41 T
  • In an embodiment, there is provided a nozzle chamber having ink within it and a thermal actuator device interconnected to a panel the thermal actuator device being actuated so as to eject ink from the nozzle chamber. An embodiment includes a particular thermal actuator structure which includes a tapered heater structure arms for providing positional heating of a conductive heater layer row. The actuator arm is interconnected to a paddle by a slotted wall in the nozzle chamber. The actuator arm has a mating shape so as to mate substantially with the surfaces of the slot in the nozzle chamber wall.
  • Turning initially to Fig. 586 to Fig. 588, there is provided schematic illustrations of the basic operation of the device. A nozzle chamber 4101 is provided filled with ink 4102 by means of an ink inlet channel 4103 which can be etched through a wafer substrate on which the nozzle chamber 4101 rests. The nozzle chamber 4101 includes an ink ejection aperture 4104 around which an ink meniscus forms.
  • Inside the nozzle chamber 4101 is a paddle type device 4107 which is interconnected to an actuator arm 4108 through a slot in the wall of the nozzle chamber 4101. The actuator arm 4108 includes a heater means eg. 4109 located adjacent to a post end portion 4110 of the actuator arm. The post 4110 being fixed to a substrate.
  • When it is desired to eject a drop from the nozzle chamber, as illustrated in Fig. 587, the heater means 4109 is heated so as to undergo thermal expansion. Preferably, the heater means itself or the other portions of the actuator arm 4108 are built from materials having a high bend efficiency.
  • A suitable material for the heater elements is a copper nickel alloy which can be formed so as to bend a glass material.
  • The heater means is ideally located adjacent the post end portion 4110 such that the effects of activation are magnified at the paddle end 4107 such that small thermal expansions near post 4110 result in large movements of the paddle end. The heating 4109 causes a general increase in pressure around the ink meniscus 4105 which expands, as illustrated in Fig. 587, in a rapid manner. The heater current is pulsed and ink is ejected out of the nozzle 4104 in addition to flowing in from the ink channel 4103. Subsequently, the paddle 4107 is deactivated to again return to its quiescent position. The deactivation causes a general reflow of the ink into the nozzle chamber. The forward momentum of the ink outside the nozzle rim and the corresponding backflow results in a general necking and breaking off of the drop 4112 which proceeds to the print media. The collapsed meniscus 4105 results in a general sucking of ink into the nozzle chamber 4102 via the in flow channel 4103. In time, the nozzle chamber is refilled such that the position in Fig. 586 is again reached and the nozzle chamber is subsequently ready for the ejection of another drop of ink.
  • Turning now to Fig. 589, there is illustrated a single nozzle arrangement 4120 of an embodiment. The arrangements includes an actuator arm 4121 which includes a bottom arm 4122 which is constructed from a conductive material such as a copper nickel alloy (hereinafter called cupronickel) or titanium nitride (TiN). The layer 4122, as will become more apparent hereinafter includes a tapered end portion near the end post 4124. The tapering of the layer 4122 near this end means that any conductive resistive heating occurs near the post portion 4124.
  • The layer 4122 is connected to the lower CMOS layers 4126 which are formed in the standard manner on a silicon substrate surface 4127. The actuator arm 4121 is interconnected to an ejection paddle which is located within a nozzle chamber 4128. The nozzle chamber includes an ink ejection nozzle 4129 from which ink is ejected an includes a convoluted slot arrangement 4130 which is constructed such that the actuator arm 4121 is able to move up and down whilst causing minimal pressure fluctuations in the area of the nozzle chamber 4128 around the slotted interconnect 4130.
  • Fig. 590 illustrates a sectional view through a single nozzle and illustrates more clearly the internal structure of the nozzle chamber which includes the paddle 4132 attached to the actuator arm 4121 by means of arm 4133. Importantly, the actuator arm 4121 includes, as noted previously, a bottom conductive strip portion 4122. Additionally, a second top strip portion 4125 is also provided.
  • The utilization of a second layer 4125 of the same material as the first layer 4122 allows for more accurate control of the actuator position as will be described with reference to Fig. 591 and Fig. 592. In Fig. 591, there is illustrated the example where a high Young's Modulus material 4140 is deposited utilizating standard semiconductor deposition techniques and on top of which is further deposited a second layer 4141 having a much lower Young's Modulus. Unfortunately, the deposition is likely to occur at a high temperature. Upon cooling, the two layers are likely to have different coefficients of thermal expansion and different Young's Modulus. Hence, in ambient room temperature, the thermal stresses are likely to cause bending of the two layers of material as shown 4142.
  • By utilizing a second deposition of the material having a high Young's Modulus, the situation in Fig. 592 is likely to result wherein the material 4141 is sandwiched between the two layers 4140. Upon cooling, the two layers 4140 are kept in tension with one another so as to result in a more planar structure 4145 no matter what operation temperature. This principle is utilized in the deposition of the two layers 4122, 4125 of Fig. 589 to Fig. 590.
  • Turning again to Fig. 589 and Fig. 590, one important attribute of an embodiments includes the slotted arrangement 4130. The slotted arrangement results in the actuator arm 4121 moving up and down thereby causing the paddle 4132 to also move up and down resulting in the ejection of ink. The slotted arrangements 4130 results in minimum ink outflow through the actuator arm interconnection and also results in minimal pressure increases in this area. The base 4133 of the actuator arm is extended out so as to form an extended interconnect with the paddle surface thereto providing for better attachment. The face 4133 is connected to a block arm 4136 which is provided to provide a high degree of rigidity. The actuator arm 4136 and the wall of the nozzle chamber 4128 have a general corrugated nature so as to reduce any flow of ink through the interconnection. The exterior surface of the nozzle chamber adjacent the block portion 4136 has a rim eg. 4138 so to minimize wicking of ink outside of the nozzle chamber. A pit 4137 is also provided for this purpose. The pit 4137 being formed in the lower CMOS layers 4126. An ink supply channel 4139 is provided by means of back etching through the wafer to the back surface of the nozzle.
  • Turning now to Fig. 593 to Fig. 600 there will now be described the manufacturing steps utilizing the construction of a single nozzle in accordance with an embodiment.
  • The manufacturing uses standard micro-electro mechanical techniques for a general introduction to a micro-electro mechanical system (MEMS) reference is made to standard proceedings in this field including the proceeding of the SPIE (International Society for Optical Engineering) including volumes 2642 and 2882 which contain the proceedings of recent advances and conferences in this field.
    1. 1. An embodiment starts with a double sided polished wafer complete with, say, a 0.2 micron 1 poly 2 metal CMOS process providing for all the electrical interconnect necessary to drive the inkjet nozzle.
    2. 2. As shown in Fig. 593, the CMOS wafer is etched 4150 down to the silicon layer 4127. The etching includes etching down to an aluminium CMOS layer 4151, 4152.
    3. 3. Next, as illustrated in Fig. 594, a 1 micron layer of sacrificial material 4155 is deposited. The sacrificial material can be aluminium or photosensitive polyimide.
    4. 4. The sacrificial material is etched in the case of aluminium or exposed and developed in the case of polyimide in the area of the nozzle rim 4156 and including a depressed paddle area 4157.
    5. 5. Next, a 1 micron layer of heater material (cupronickel or TiN) is deposited 4160.
    6. 6. A 3.4 micron layer of PECVD glass 4161 is then deposited.
    7. 7. A second layer 4162 equivalent to the first layer 4160 is then deposited.
    8. 8. All three layers 4160 - 4162 are then etched utilizing the same mask. The utilization of a single mask substantially reduces the complexity in the processing steps involved in creation of the actuator paddle structure and the resulting structure is as illustrated in Fig. 595. Importantly, a break 4163 is provided so as to ensure electrical installation of the heater portion from the paddle portion.
    9. 9. Next, as illustrated in Fig. 596,a 10 micron layer of sacrificial material 4170 is deposited.
    10. 10. The deposited layer is etched (or just developed if polyimide) utilizing a fourth mask which includes nozzle rim etchant holes 4171 block portion holes 4172 and post portion 4173.
    11. 11. Next a 10µm of PCVD glass is deposited so as to form the nozzle rim 4171, arm portions 4172 and post portions 4173.
    12. 12. The glass layer is then planarized utilizing chemical mechanical planarization (CMP) with the resulting structure as illustrated in Fig. 596.
    13. 13. Next, as illustrated in Fig. 596, a 3 micron layer of PECVD glass is deposited.
    14. 14. The deposited glass is then etched as shown in Fig. 597, to a depth of approximately 1 µm so as to form nozzle rim portion 4181 and actuator interconnect portion 4182.
    15. 15. Next, as illustrated in Fig. 598, the glass layer is etched utilizing a 6th mask so as to form final nozzle rim portion 4181 and actuator guide portion 4182.
    16. 16. Next, as illustrated in Fig. 599, the ink supply channel is back etched 4185 from the back of the wafer utilizing a 7th mask. The etch can be performed utilizing a high precision deep silicon trench etcher such as the STS Advanced Silicon Etcher (ASE). This step can also be utilized to nearly completely dice the wafer.
    17. 17. Next, as illustrated in Fig. 600 the sacrificial material can be stripped or dissolved to also complete dicing of the wafer in accordance with requirements.
    18. 18. Next, the printheads can be individually mounted on attached moulded plastic ink channels to supply ink to the ink supply channels.
    19. 19. The electrical control circuitry and power supply can then be bonded to an etch of the printhead with a TAB film.
    20. 20. Generally, if necessary, the surface of the printhead is then hydrophobized so as to ensure minimal wicking of the ink along external surfaces. Subsequent testing can determine operational characteristics.
  • Importantly, as shown in the plan view of Fig. 601, the heater element has a tapered portion adjacent the post 4173 so as to ensure maximum heating occurs near the post.
  • Of course, different forms of inkjet printhead structures can be formed. For example, there is illustrated in Fig. 602, a portion of a single color printhead having two spaced apart rows 4190, 4191, with the two rows being interleaved so as to provide for a complete line of ink to be ejected in two stages. Preferably, a guide rail 4192 is provided for proper alignment of a TAB film with bond pads 4193. A second protective barrier 4194 can also preferably be provided. Preferably, as will become more apparent with reference to the description of Fig. 603 adjacent actuator arms are interleaved and reversed.
  • Turning now to Fig. 603, there is illustrated a full color printhead arrangement which includes three series of inkjet nozzles 4194, 4196, one each devoted to a separate color. Again, guide rails 4198, 4199 are provided in addition to bond pads, eg. 4200. In Fig. 604, there is illustrated a general plan of the layout of a portion of a full color printhead which clearly illustrates the interleaved nature of the actuator arms.
  • One alternative form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 605. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 604 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch oxide down to silicon or aluminum using Mask 1. This mask defines the nozzle chamber, the surface anti-wicking notch, and the heater contacts. This step is shown in Fig. 606.
    3. 3. Deposit 1 micron of sacrificial material (e.g. aluminum or photosensitive polyimide)
    4. 4. Etch (if aluminum) or develop (if photosensitive polyimide) the sacrificial layer using Mask 2. This mask defines the nozzle chamber walls and the actuator anchor point. This step is shown in Fig. 607.
    5. 5. Deposit 1 micron of heater material (e.g. cupronickel or TiN). If cupronickel, then deposition can consist of three steps - a thin anti-corrosion layer of, for example, TiN, followed by a seed layer, followed by electroplating of the 1 micron of cupronickel.
    6. 6. Deposit 3.4 microns of PECVD glass.
    7. 7. Deposit a layer identical to step 5.
    8. 8. Etch both layers of heater material, and glass layer, using Mask 3. This mask defines the actuator, paddle, and nozzle chamber walls. This step is shown in Fig. 608.
    9. 9. Wafer probe. All electrical connections are complete at this point, bond pads are accessible, and the chips are not yet separated.
    10. 10. Deposit 10 microns of sacrificial material.
    11. 11. Etch or develop sacrificial material using Mask 4. This mask defines the nozzle chamber wall. This step is shown in Fig. 609.
    12. 12. Deposit 3 microns of PECVD glass.
    13. 13. Etch to a depth of (approx.) 1 micron using Mask 5. This mask defines the nozzle rim. This step is shown in Fig. 610.
    14. 14. Etch down to the sacrificial layer using Mask 6. This mask defines the roof of the nozzle chamber, and the nozzle itself. This step is shown in Fig. 611.
    15. 15. Back-etch completely through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 612.
    16. 16. Etch the sacrificial material. The nozzle chambers are cleared, the actuators freed, and the chips are separated by this etch. This step is shown in Fig. 613.
    17. 17. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    18. 18. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    19. 19. Hydrophobize the front surface of the print heads.
    20. 20. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 614.
    A Description of IJ42 T
  • In an embodiment, ink is ejected out of a nozzle chamber via an ink ejection hole as the result of the utilisation of a series of radially placed thermal actuator devices that are arranged around the ink ejection nozzle and are activated so as to compress the ink within the nozzle chamber thereby causing ink ejection.
  • Turning now to Fig. 615 to Fig. 617, there will first be illustrated the basic operational principles of an embodiment. Fig. 615 illustrates a single nozzle chamber arrangement 4301 when it is in its quiescent state. The arrangement 4301 includes a nozzle chamber 4302 which is normally filled with ink so as to form a meniscus 4303 around an ink ejection nozzle 4304. The nozzle chamber 4302 is formed within a wafer 4305. The nozzle chamber 4302 supplied from an ink supply channel 4306 which can be etched through the wafer 4305 through the utilisation of a highly isotropic plasma etching system. A suitable etcher can be the Advance Silicon Etch (ASE) system available from Surface Technology Systems of the United Kingdom.
  • The top of the nozzle chamber arrangement 4301 includes a series of radially placed thermoactuator devices e.g. 4308, 4309. These devices comprise a series ofpolytetrafluoroethylene (PTFE) actuators having an internal serpentine copper core. Upon heating of the copper core, the surrounding Teflon expands rapidly resulting in a generally downward movement of the actuator 4308, 4309. Hence, when it is desired to eject ink from the ink ejection nozzle 4304, a current is passed through the actuators4308, 4309 which results in generally bending downwards as illustrated in Fig. 616. The downward bending movement of actuators 4308, 4309 results in a substantial increase in pressure within the nozzle chamber 4302. The rapid increase in pressure in nozzle chamber 4302, in turn results in a rapid expansion of the meniscus 4303 as illustrated in Fig. 616.
  • The actuators are turned on for a limited time only and subsequently deactivated. A short time later the situation is as illustrated in Fig. 617 with the actuators 4308, 4309 rapidly returning to their original positions. This results in a general inflow of ink and a necking and breaking of the meniscus 4303 resulting in the ejection of a drop 4312. The necking and breaking of the meniscus is a consequence of the forward momentum of the ink associated with drop 4312 and the backward pressure experienced as a result of the return of the actuators 4308, 4309 to their original positions. The return of the actuator also results in a general inflow of ink 4306 from the supply channel as a result of surface tension effects and, eventually, the state returns to the quiescent position as illustrated in Fig. 615.
  • Fig. 618(a) and Fig. 618(b) illustrate the principle operation of the thermal actuator. The thermal actuator is preferably constructed from a material 4314 having a high coefficient of thermal expansion. Embedded within the material 4314 is a series of heater elements e.g. 4315 which can be a series of conductive elements designed to carry a current. The conductive elements 4315 are heated by means of passing a current through the elements with the heating resulting in a general increase in temperature in the area around the heating elements. The increase in temperature causes a corresponding expansion of the PTFE which has a high coefficient of thermal expansion. Hence, as illustrated in Fig. 618(b), the PTFE is bent generally in a down direction.
  • Turning now to Fig. 619, there is illustrated a side perspective view of one nozzle arrangement constructed in accordance with the principles previously outlined. The nozzle chamber 4302 can be constructed by means of an isotropic surface etch of the wafer surface 4305. The wafer surface 4305 can include a CMOS layer including all the required power and drive circuits. Further, a series of leaf or petal type actuators e.g. 4308, 4309 are provided each having an internal copper core e.g. 4317 which winds in a serpentine nature so as to provide for substantially unhindered expansion of the actuator device. The operation of the actuator is similar to that as illustrated in Fig. 618(a) and Fig. 618(b) such that, upon activation, the petals e.g. 4308 bend down as previously described. The ink supply channel 4306 can be created via a deep silicon back edge of the wafer utilising a plasma etcher or the like. The copper or aluminium coil e.g. 4317 can provide a complete circuit around each petal. A central arm 4318 which can include both metal and PTFE portions provides the main structural support for the petal arrangement in addition to providing a current trace for the conductive heaters.
  • Turning now to Fig. 620 to Fig. 627, there will now be explained one form of manufacturing of a printhead device operational in accordance with the principles of an embodiment. The device is preferably constructed utilising microelectromechanical (MEMS) techniques and can include the following construction techniques:
  • As shown initially in Fig. 620, the initial processing starting material is a standard semi-conductor wafer 4320 have a complete CMOS level 4321 to the first level metal step. The first level metal includes portions eg. 4322 which are utilized for providing power to the thermal actuator.
  • The first step, as illustrated in Fig. 621, is to etch a nozzle region down to the silicon wafer 4320 utilizing an appropriate mast.
  • Next, as illustrated in Fig. 622, a 2m layer of polytetrafluoroethylene (PTFE) is deposited and etched so as to include vias eg. 4324 for interconnecting multiple levels.
  • Next, as illustrated in Fig. 623, the second level metal layer is deposited, masked and etched so as to form heater structure 4325. The heater structure 4325 including via interconnect 4326 with the lower aluminium layer.
  • Next, as illustrated in Fig. 624, a further 2µm layer of PTFE is deposited and etched to the depth of 1µm utilizing a nozzle rim mask so as to form nozzle rim eg. 4328 in addition to ink flow guide rails eg. 4329 which generally restrain any wicking along the surface of the PTFE layer. The guide rails eg. 4329 surround small thin slots and, as such, surface tension effects are a lot higher around these slots which in turn results in minimal outflow of ink during operation.
  • Next, as illustrated in Fig. 625, the PTFE is etched utilizing a nozzle and paddle mask so as to define nozzle portion 4330 and slots eg. 4331 and 4332.
  • Next, as illustrated in Fig. 626, the wafer is crystal calligraphically etched on the < 111 > plane utilizing a standard crystallographic etchant such as KOH. The etching forms chamber 4332, directly below the ink ejection nozzle.
  • Next, turning to Fig. 627, the ink supply channel 4334 can be etched from the back of the wafer utilizing a highly anisotropic etcher such as the STS etcher from Silicon Technology Systems of United Kingdom.
  • Obviously, an array of ink jet nozzles can be formed simultaneously with a portion of an array 4336 being illustrated in Fig. 628 with a portion of the printhead being formed simultaneously and diced by the ST etch etching process. The array 4336 shown provides for four column printing with each separate column attached to a different colour ink supply channel being supplied from the back of the wafer. The bond pads 4337 provide for electrical control of the ejection mechanism.
  • In this manner, large pagewidth printheads can be formulated so as to provide for a drop on demand ink ejection mechanism.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed along the following steps:
    1. 1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 630. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 629 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the edge of the chips. This step is shown in Fig. 630.
    3. 3. Deposit a thin layer (not shown) of a hydrophilic polymer, and treat the surface of this polymer for PTFE adherence.
    4. 4. Deposit 1.5 microns of polytetrafluoroethylene (PTFE).
    5. 5. Etch the PTFE and CMOS oxide layers to second level metal using Mask 2. This mask defines the contact vias for the heater electrodes. This step is shown in Fig. 631.
    6. 6. Deposit and pattern 0.5 microns of gold using a lift-off process using Mask 3. This mask defines the heater pattern. This step is shown in Fig. 632.
    7. 7. Deposit 1.5 microns of PTFE.
    8. 8. Etch 1 micron of PTFE using Mask 4. This mask defines the nozzle rim and the rim at the edge of the nozzle chamber. This step is shown in Fig. 633.
    9. 9. Etch both layers of PTFE and the thin hydrophilic layer down to silicon using Mask 5. This mask defines the gap at the edges of the actuator petals, and the edge of the chips. It also forms the mask for the subsequent crystallographic etch. This step is shown in Fig. 634.
    10. 10. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, forming an inverted square pyramid with sidewall angles of 54.74 degrees. This step is shown in Fig. 635.
    11. 11. Back-etch through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 6. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 636.
    12. 12. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    13. 13. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    14. 14. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 637.
    A Description of IJ43 T
  • In an embodiment, ink is ejected out of a nozzle chamber via an ink ejection hole as the result of the utilisation of a series of radially placed thermal actuator devices that are arranged around the ink ejection nozzle and are activated so as to compress the ink within the nozzle chamber thereby causing ink ejection.
  • Turning now to Fig. 638 to Fig. 640, there will first be illustrated the basic operational principles of an embodiment. Fig. 638 illustrates a single nozzle chamber arrangement 4401 when it is in its quiescent state. The arrangement 4401 includes a nozzle chamber 4402 which is normally filled with ink so as to form a meniscus 4403 around an ink ejection nozzle 4404. The nozzle chamber 4402 is formed within a wafer 4405. The nozzle chamber 4402 supplied from an ink supply channel 4406 which can be etched through the wafer 4405 through the utilisation of a highly isotropic plasma etching system. A suitable etcher can be the Advance Silicon Etch (ASE) system available from Surface Technology Systems of the United Kingdom.
  • The top of the nozzle chamber arrangement 4401 includes a series of radially placed thermoactuator devices e.g. 4408, 4409. These devices comprise polytetrafluoroethylene (PTFE) layer actuators having an internal serpentine copper core. Upon heating of the copper core, the surrounding PTFE expands rapidly resulting in a generally downward movement of the actuator 4408, 4409. Hence, when it is desired to eject ink from the ink ejection nozzle 4404, a current is passed through the actuators 4408, 4409 which results in them generally rapidly bending downwards as illustrated in Fig. 639. The downward bending movement of actuators 4408, 4409 results in a substantial increase in pressure within the nozzle chamber 4402. The rapid increase in pressure in nozzle chamber 4402, in turn results in a rapid expansion of the meniscus 4403 as illustrated in Fig. 639.
  • The actuators are turned on for a limited time only and subsequently deactivated. A short time later the situation is as illustrated in Fig. 640 with the actuators 4408, 4409 rapidly returning to their original positions. This results in a general inflow of ink back into the nozzle chamber and a necking and breaking of the meniscus 4403 resulting in the ejection of a drop 4412. The necking and breaking of the meniscus is a consequence of the forward momentum of the ink associated with drop 4412 and the backward pressure experienced as a result of the return of the actuators 4408, 4409 to their original positions. The return of the actuator also results in a general inflow of ink 4406 from the supply channel as a result of surface tension effects and, eventually, the state returns to the quiescent position as illustrated in Fig. 638.
  • Fig. 641(a) and Fig. 641(b) illustrate the principle of operation of the thermal actuator. The thermal actuator is preferably constructed from a material 4414 having a high coefficient of thermal expansion. Embedded within the material 4414 is a series of heater elements e.g. 4415 which can be a series of conductive elements designed to carry a current. The conductive elements 4415 are heated by means of passing a current through the elements with the heating resulting in a general increase in temperature in the area around the heating elements. The increase in temperature causes a corresponding expansion of the PTFE which has a high coefficient of thermal expansion. Hence, as illustrated in Fig. 641(b), the PTFE is bent generally in a down direction.
  • Turning now to Fig. 642, there is illustrated a side perspective view of one nozzle arrangement constructed in accordance with the principles previously outlined. The nozzle chamber 4402 can be constructed by means of an isotropic surface etch of the wafer surface 4405. The wafer surface 4405 can include a CMOS layer including all the required power and drive circuits. Further, a series of leaf or petal type actuators e.g. 4408, 4409 are provided each having an internal copper core e.g. 4417 which winds in a serpentine nature so as to provide for substantially unhindered expansion of the actuator device. The operation of the actuator is similar to that as illustrated in Fig. 641(a) and Fig. 641(b) such that, upon activation, the petals e.g. 4408 bend down as previously described. The ink supply channel 4406 can be created via a deep silicon back edge of the wafer utilising a plasma etcher or the like. The copper or aluminium coil e.g. 4417 can provide a complete circuit around each petal. A central arm 4418 which can include both metal and PTFE portions provides the main structural support for the petal arrangement in addition to providing a current trace for the conductive heaters.
  • Turning now to Fig. 643 to Fig. 650, there will now be explained one form of manufacturing of a printhead device operational in accordance with the principles of an embodiment. The device is preferably constructed utilising microelectromechanical (MEMS) techniques and can include the following construction techniques:
  • As shown initially in Fig. 643, the initial processing starting material is a standard semi-conductor wafer 4420 have a complete CMOS level 4421 to the first level metal step. The first level metal includes portions eg. 4422 which are utilized for providing power to the thermal actuator.
  • The first step, as illustrated in Fig. 644, is to etch a nozzle region down to the silicon wafer 4420 utilizing an appropriate mast.
  • Next, as illustrated in Fig. 645, a 2 micron layer of polytetrafluoroethylene (PTFE) is deposited and etched so as to include vias eg. 4424 for interconnecting multiple levels.
  • Next, as illustrated in Fig. 646, the second level metal layer is deposited, masked and etched so as to form heater structure 4425. The heater structure 4425 including via interconnect 4426 with the lower aluminium layer.
  • Next, as illustrated in Fig. 647, a further 2µm layer of PTFE is deposited and etched to the depth of 1µm utilizing a nozzle rim mask so as to form nozzle rim eg. 4428 in addition to ink flow guide rails eg. 4429 which generally restrain any wicking along the surface of the PTFE layer. The guide rails eg. 4429 surround small thin slots and, as such, surface tension effects are a lot higher around these slots which in turn results in minimal outflow of ink during operation.
  • Next, as illustrated in Fig. 648, the PTFE is etched utilizing a nozzle and paddle mask so as to define nozzle portion 4430 and slots eg. 4431 and 4432.
  • Next, as illustrated in Fig. 649, the wafer is crystal calligraphically etched on the < 111 > plane utilizing a standard crystallographic etchant such as KOH. The etching forms chamber 4432, directly below the ink ejection nozzle.
  • Next, turning to Fig. 650, the ink supply channel 4434 can be etched from the back of the wafer utilizing a highly anisotropic etcher such as the STS etcher from Silicon Technology Systems of United Kingdom.
  • Obviously, an array of ink jet nozzles can be formed simultaneously with a portion of an array 4436 being illustrated in Fig. 651 with a portion of the printhead being formed simultaneously and diced by the ST etch etching process. The array 4436 shown provides for four column printing with each separate column attached to a different colour ink supply channel being supplied from the back of the wafer. The bond pads 4437 provide for electrical control of the ejection mechanism.
  • In this manner, large pagewidth printheads can be formulated so as to provide for a drop on demand ink ejection mechanism.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete a 0.5 micron, one poly, 2 metal CMOS process. This step is shown in Fig. 653. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 652 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the edge of the chips. This step is shown in Fig. 653.
    3. 3. Deposit a thin layer (not shown) of a hydrophilic polymer, and treat the surface of this polymer for PTFE adherence.
    4. 4. Deposit 1.5 microns of polytetrafluoroethylene (PTFE).
    5. 5. Etch the PTFE and CMOS oxide layers to second level metal using Mask 2. This mask defines the contact vias for the heater electrodes. This step is shown in Fig. 654.
    6. 6. Deposit and pattern 0.5 microns of gold using a lift-off process using Mask 3. This mask defines the heater pattern. This step is shown in Fig. 655.
    7. 7. Deposit 1.5 microns of PTFE.
    8. 8. Etch 1 micron of PTFE using Mask 4. This mask defines the nozzle rim and the rim at the edge of the nozzle chamber. This step is shown in Fig. 656.
    9. 9. Etch both layers of PTFE and the thin hydrophilic layer down to silicon using Mask 5. This mask defines the gap at the edges of the actuator petals, and the edge of the chips. It also forms the mask for the subsequent crystallographic etch. This step is shown in Fig. 657.
    10. 10. Crystallographically etch the exposed silicon using KOH. This etch stops on <111> crystallographic planes, forming an inverted square pyramid with sidewall angles of 54.74 degrees. This step is shown in Fig. 658.
    11. 11. Back-etch through the silicon wafer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 6. This mask defines the ink inlets which are etched through the wafer. The wafer is also diced by this etch. This step is shown in Fig. 659.
    12. 12. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    13. 13. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    14. 14. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 660.
    A Description of IJ44 T
  • An embodiment of the present invention discloses an inkjet printing device made up of a series of nozzle arrangements. Each nozzle arrangement includes a thermal surface actuator device which includes an L-shaped cross sectional profile and an air breathing edge such that actuation of the paddle actuator results in a drop being ejected from a nozzle utilizing a very low energy level.
  • Turning initially to Fig. 661 to Fig. 663, there will now be described the operational principles of an embodiment. In Fig. 661, there is illustrated schematically a sectional view of a single nozzle arrangement 4501 which includes an ink nozzle chamber 4502 containing an ink supply which is resupplied by means of an ink supply channel 4503. A nozzle rim 4504 is provided, across which a meniscus 4505 forms, with a slight bulge when in the quiescent state. A bend actuator device 4507 is formed on the top surface of the nozzle chamber and includes a side arm 4508 which runs generally parallel to the surface 4509 of the nozzle chamber wall so as to form an "air breathing slot" 4510 which assists in the low energy actuation of the bend actuator 4507. Ideally, the front surface of the bend actuator 4507 is hydrophobic such that a meniscus 4512 forms between the bend actuator 4507 and the surface 4509 leaving an air pocket in slot 4510.
  • When it is desired to eject a drop via the nozzle rim 4504, the bend actuator 4507 is actuated so as to rapidly bend down as illustrated in Fig. 662. The rapid downward movement of the actuator 4507 results in a general increase in pressure of the ink within the nozzle chamber 4502. This results in a outflow of ink around the nozzle rim 4504 and a general bulging of the meniscus 4505. The meniscus 4512 undergoes a low amount of movement.
  • The actuator device 4507 is then turned off so as to slowly return to its original position as illustrated in Fig. 663. The return of the actuator 4507 to its original position results in a reduction in the pressure within the nozzle chamber 4502 which results in a general back flow of ink into the nozzle chamber 4502. The forward momentum of the ink outside the nozzle chamber in addition to the back flow of ink 4515 results in a general necking and breaking off of the drop 4514. Surface tension effects then draw further ink into the nozzle chamber via ink supply channel 4503. Ink is drawn in the nozzle chamber 4503 until the quiescent position of Fig. 661 is again achieved.
  • The actuator device 4507 can be a thermal actuator which is heated by means of passing a current through a conductive core. Preferably, the thermal actuator is provided with a conductive core encased in a material such as polytetrafluoroethylene which has a high level coefficient of expansion. As illustrated in Fig. 664, the conductive core 4523 is preferably of a serpentine form and encased within a material 4524 having a high coefficient of thermal expansion. Hence, as illustrated in Fig. 664(b), on heating of the conductive core 4523, the material 4524 expands to a greater extent and is therefore caused to bend down in accordance with requirements.
  • Turning now to Fig. 665, there is illustrated a side perspective view, partly in section, of a single nozzle arrangement when in the state as described with reference to Fig. 662. The nozzle arrangement 4501 can be formed in practice on a semiconductor wafer 4520 utilizing standard MEMS techniques.
  • The silicon wafer 4520 preferably is processed so as to include a CMOS layer 4521 which can include the relevant electrical circuitry required for the full control of a series of nozzle arrangements 4501 formed so as to form a print head unit. On top of the CMOS layer 4521 is formed a glass layer 4522 and an actuator 4507 which is driven by means of passing a current through a serpentine copper coil 4523 which is encased in the upper portions of a polytetrafluoroethylene (PTFE) layer 4524. Upon passing a current through the coil 4523, the coil 4523 is heated as is the PTFE layer 4524. PTFE has a very high coefficient of thermal expansion and hence expands rapidly. The coil 4523 constructed in a serpentine nature is able to expand substantially with the expansion of the PTFE layer 4524. The PTFE layer 4524 includes a lip portion 4508 which upon expansion, bends in a scooping motion as previously described. As a result of the scooping motion, the meniscus 4505 generally bulges and results in a consequential ejection of a drop of ink. The nozzle chamber 4504 is later replenished by means of surface tension effects in drawing ink through an ink supply channel 4503 which is etched through the wafer through the utilization of a highly an isotropic silicon trench etcher. Hence, ink can be supplied to the back surface of the wafer and ejected by means of actuation of the actuator 4507. The gap between the side arm 4508 and chamber wall 4509 allows for a substantial breathing effect which results in a low level of energy being required for drop ejection.
  • Obviously, a large number of arrangements 4501 of Fig. 665 can be formed together on a wafer with the arrangements being collected into print heads which can be of various sizes in accordance with requirements. Turning now to Fig. 666, there is illustrated one form of an array 4530 which is designed so as to provide three colour printing with each colour providing two spaced apart rows of nozzle arrangements 4534. The three groupings can comprise groupings 4531, 4532 and 4533 with each grouping supplied with a separate ink colour so as to provide for full colour printing capability. Additionally, a series of bond pads e.g. 4536 are provided for TAB bonding control signals to the print head 4530. Obviously, the arrangement 4530 of Fig. 666 illustrates only a portion of a print head which can be of a length as determined by requirements.
  • One form of detailed manufacturing process which can be used to fabricate monolithic ink jet print heads operating in accordance with the principles taught by the present embodiment can proceed utilizing the following steps:
    1. 1. Using a double sided polished wafer, complete drive transistors, data distribution, and timing circuits using a 0.5 micron, one poly, 2 metal CMOS process. Relevant features of the wafer at this step are shown in Fig. 668. For clarity, these diagrams may not be to scale, and may not represent a cross section though any single plane of the nozzle. Fig. 667 is a key to representations of various materials in these manufacturing diagrams, and those of other cross referenced ink jet configurations.
    2. 2. Etch the CMOS oxide layers down to silicon or second level metal using Mask 1. This mask defines the nozzle cavity and the edge of the chips. Relevant features of the wafer at this step are shown in Fig. 668.
    3. 3. Plasma etch the silicon to a depth of 20 microns using the oxide as a mask. This step is shown in Fig. 669.
    4. 4. Deposit 23 microns of sacrificial material and planarize down to oxide using CMP. This step is shown in Fig. 670.
    5. 5. Etch the sacrificial material to a depth of 15 microns using Mask 2. This mask defines the vertical paddle at the end of the actuator. This step is shown in Fig. 671.
    6. 6. Deposit a thin layer (not shown) of a hydrophilic polymer, and treat the surface of this polymer for PTFE adherence.
    7. 7. Deposit 1.5 microns of polytetrafluoroethylene (PTFE).
    8. 8. Etch the PTFE and CMOS oxide layers to second level metal using Mask 3. This mask defines the contact vias for the heater electrodes. This step is shown in Fig. 672.
    9. 9. Deposit and pattern 0.5 microns of gold using a lift-off process using Mask 4. This mask defines the heater pattern. This step is shown in Fig. 673.
    10. 10. Deposit 1.5 microns of PTFE.
    11. 11. Etch 1 micron of PTFE using Mask 5. This mask defines the nozzle rim and the rim at the edge of the nozzle chamber. This step is shown in Fig. 674.
    12. 12. Etch both layers of PTFE and the thin hydrophilic layer down to the sacrificial layer using Mask 6. This mask defines the gap at the edges of the actuator and paddle. This step is shown in Fig. 675.
    13. 13. Back-etch through the silicon wafer to the sacrificial layer (with, for example, an ASE Advanced Silicon Etcher from Surface Technology Systems) using Mask 7. This mask defines the ink inlets which are etched through the wafer. This step is shown in Fig. 676.
    14. 14. Etch the sacrificial layers. The wafer is also diced by this etch.
    15. 15. Mount the print heads in their packaging, which may be a molded plastic former incorporating ink channels which supply the appropriate color ink to the ink inlets at the back of the wafer.
    16. 16. Connect the print heads to their interconnect systems. For a low profile connection with minimum disruption of airflow, TAB may be used. Wire bonding may also be used if the printer is to be operated with sufficient clearance to the paper.
    17. 17. Fill the completed print heads with ink and test them. A filled nozzle is shown in Fig. 677.
  • Of course other forms of thermal actuator construction could be used and there will now be described one form of more complex thermal actuator construction of general use in MEMS devices such as ink jet printers.
  • Turning to Fig. 678, there are illustrated 4 MEMS actuators 4520, 4521, 4522, 4523 as constructed in accordance with a further embodiment. In Fig. 679, there is illustrated a close-up perspective view, partly in section, of a single thermal actuator constructed in accordance with the further embodiment. Each actuator, e.g. 4520, is based around three corrugated heat elements 4511, 4512 and 4513 which are interconnected 4514 to a cooler common current carrying line 4516. The two heater elements 4511, 4512 are formed on a bottom layer of the actuator 4520 with the heater element 4513 and common line 4516 being formed on a top layer of the actuator 4520. Each of the elements 4511, 4512, 4513, 4514 and 4516 can be formed from copper via means of deposition utilising semi-conductor fabrication techniques. The lines 4511, 4512, 4513, 4514 and 4516 are "encased" inside a polytetrafluoroethylene (PTFE) layer, e.g. 4518 which has a high coefficient of thermal expansion. The PTFE layer has a coefficient of thermal expansion which is much greater than that of the corresponding copper layers 4512, 4513, 4514 and 4516. The heater elements 4511-4513 are therefore constructed in a serpentine manner so as to allow the concertinaing of the heater elements upon heating and cooling so as to allow for their expansion substantially with the expansion of the PTFE layer 4518. The common line 4516, also constructed from copper is provided with a series of slots, e.g. 4519 which provide minimal concertinaing but allow the common layer 16 bend upwards and sideways when required.
  • Returning now to Fig. 678, the actuator, e.g. 4520, can be operated in a number of different modes. In a first mode, the bottom two heater elements 4511 and 4512 (Fig. 679) are activated. This causes the bottom portion of the polytetrafluoroethylene layer 4518 (Fig. 679) to expand rapidly while the top portion of the polytetrafluoroethylene layer 4518 (Fig. 679) remains cool. The resultant forces are resolved by an upwards bending of the actuator 4520 as illustrated in Fig. 678.
  • In a second operating mode, as illustrated in Fig. 678, the two heaters 4512, 4513 (Fig. 679) are activated causing an expansion of the PTFE layer 4518 (Fig. 679) on one side while the other side remains cool. The resulting expansion provides for a movement of the actuator 4520 to one side as illustrated in Fig. 678.
  • Finally, in Fig. 680, there is provided a further form of movement this time being up and to a side. This form of movement is activated by heating each of the resistive elements 4511-4513 (Fig. 679) which is resolved a movement of the actuator 4520 up and to the side.
  • Hence, through the controlled use of the heater elements 4511-4513 (Fig. 679), the position of the end point 4530 of the actuator 4520 (Fig. 678) can be fully controlled. To this end the PTFE portion 4518 is extended beyond the copper interconnect 4514 so as to provide a generally useful end portion 4530 for movement of objects to the like.
  • Turning to Fig. 681, there is illustrated an explosive perspective view of the construction of a single actuator. The actuator can be constructed utilising semi-conductor fabrication techniques and can be constructed on a wafer 4542 or other form of substrate. On top of the wafer 4542 is initially fabricated a sacrificial etch layer to form an underside portion utilising a mask shape of a actuator device. Next, a first layer of PTFE layer 4564 is deposited followed by the bottom level copper heater level 4545 forming the bottom two heaters. On top of this layer is formed a PTFE layer having vias for the interconnect 4514. Next, a second copper layer 4548 is provided for the top heater and common line with interconnection 4514 to the bottom copper layer. On top of the copper layer 4528 is provided a further polytetrafluoroethylene layer of layer 4544 with the depositing of polytetrafluoroethylene layer 4544 including the filling of the gaps, e.g. 4549 in the return common line of the copper layer. The filling of the gaps allows for a significant reduction in the possibilities of laminar separation of the polytetrafluoroethylene layers from the copper layer.
  • The two copper layers also allow the routing of current drive lines to each actuator.
  • Hence, an array of actuators could be formed on a single wafer and activated together so as to move an object placed near the array. Each actuator in the array can then be utilised to provide a circular motion of its end tip. Initially, the actuator can be in a rest position and then moved to a side position as illustrated for actuator 4520 in Fig. 678 then moved to an elevated side position as illustrated in Fig. 680 thereby engaging the object to be moved. The actuator can then be moved to nearly an elevated position as shown for actuator 4520 in Fig. 678. This resulting in a corresponding force being applied to the object to be moved. Subsequently, the actuator is returned to its rest position and the cycle begins again. Utilising continuous cycles, an object can be made to move in accordance with requirements. Additionally, the reverse cycle can be utilised to move an object in the opposite direction.
  • Preferably, an array of actuators are utilised thereby forming the equivalent of a cilia array of actuators. Multiple cilia arrays can then be formed on a single semi-conductor wafer which is later diced into separate cilia arrays. Preferably, the actuators on each cilia array are divided into groups with adjacent actuators being in different groups. The cilia array can then be driven in four phases with one in four actuators pushing the object to be moved in each portion of the phase cycle.
  • Ideally, the cilia arrays can then be utilised to move an object, for example to move a card past an information sensing device in a controlled manner for reading information stored on the card. In another example, the cilia arrays can be utilised to move printing media past a printing head in an ink jet printing device. Further, the cilia arrays can be utilised for manipulating means in the field of nano technology, for example in atomic force microscopy (AFM).
  • Preferably, so as to increase the normally low coefficient of friction of PTFE, the PTFE end 4520 is preferably treated by means of an ammonia plasma etch so as to increase the coefficient of friction of the end portion.
  • It would be evident to those skilled in the art that other arrangements maybe possible whilst still following in the scope of the present invention. For example, other materials and arrangements could be utilised. For example, a helical arrangement could be provided in place of the serpentine arrangement where a helical system is more suitable.
  • The presently disclosed ink jet printing technology is potentially suited to a wide range of printing system including: colour and monochrome office printers, short run digital printers, high speed digital printers, offset press supplemental printers, low cost scanning printers high speed pagewidth printers, notebook computers with inbuilt pagewidth printers, portable colour and monochrome printers, colour and monochrome copiers, colour and monochrome facsimile machines, combined printer, facsimile and copying machines, label printers, large format plotters, photograph copiers, printers for digital photographic "minilabs", video printers, PhotoCD printers, portable printers for PDAs, wallpaper printers, indoor sign printers, billboard printers, fabric printers, camera printers and fault tolerant commercial printer arrays.
  • Ink Jet Technologies
  • The embodiments of the invention use an ink jet printer type device. Of course many different devices could be used. However presently popular ink jet printing technologies are unlikely to be suitable.
  • The most significant problem with thermal inkjet is power consumption. This is approximately 100 times that required for high speed, and stems from the energy-inefficient means of drop ejection. This involves the rapid boiling of water to produce a vapor bubble which expels the ink. Water has a very high heat capacity, and must be superheated in thermal inkjet applications. This leads to an efficiency of around 0.02%, from electricity input to drop momentum (and increased surface area) out.
  • The most significant problem with piezoelectric inkjet is size and cost. Piezoelectric crystals have a very small deflection at reasonable drive voltages, and therefore require a large area for each nozzle. Also, each piezoelectric actuator must be connected to its drive circuit on a separate substrate. This is not a significant problem at the current limit of around 300 nozzles per print head, but is a major impediment to the fabrication of pagewide print heads with 19,200 nozzles.
  • Ideally, the inkjet technologies used meet the stringent requirements of in-camera digital color printing and other high quality, high speed, low cost printing applications. To meet the requirements of digital photography, new inkjet technologies have been created. The target features include:
    • low power (less than 10 Watts)
    • high resolution capability (1,600 dpi or more)
    • photographic quality output
    • low manufacturing cost
    • small size (pagewidth times minimum cross section)
    • high speed (< 2 seconds per page).
  • All of these features can be met or exceeded by the inkjet systems described below with differing levels of difficulty. 45 different inkjet technologies have been developed by the Assignee to give a wide range of choices for high volume manufacture. These technologies form part of separate applications assigned to the present Assignee as set out in the table below.
  • The inkjet designs shown here are suitable for a wide range of digital printing systems, from battery powered one-time use digital cameras, through to desktop and network printers, and through to commercial printing systems.
  • For ease of manufacture using standard process equipment, the print head is designed to be a monolithic 0.5 micron CMOS chip with MEMS post processing. For color photographic applications, the print head is 100 mm long, with a width which depends upon the inkjet type. The smallest print head designed is IJ38, which is 0.35 mm wide, giving a chip area of 35 square mm. The print heads each contain 19,200 nozzles plus data and control circuitry.
  • Ink is supplied to the back of the print head by injection molded plastic ink channels. The molding requires 50 micron features, which can be created using a lithographically micromachined insert in a standard injection molding tool. Ink flows through holes etched through the wafer to the nozzle chambers fabricated on the front surface of the wafer. The print head is connected to the camera circuitry by tape automated bonding.
  • The following paragraphs additionally describe various aspects relating to the disclosure of the present application.
    • 1. An ink jet printer having a series of ink ejection nozzles, said printer further comprising:
      • an internal thermal bend actuator utilized in the ejection of ink from at least one of said nozzles.
    • 2. An ink jet nozzle arrangement comprising:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • an ink supply source interconnected to said nozzle chamber; and
      • a thermal actuator activated to eject ink from said nozzle chamber via said ink ejection port, said thermal actuator comprising a lower planar surface constructed from a highly conductive material interconnected to an upper planar material constructed from an electrically resistive material such that upon passing a current between said planar surfaces, said thermal actuator is caused to bend towards said ink ejection port so as to thereby cause the ejection of ink from said ink ejection port.
    • 3. An ink jet nozzle arrangement as described in paragraph 2 wherein said actuator is attached to a substrate and further includes a stiff paddle portion which increases the degree of bending of said actuator near the point where it is attached to the substrate.
    • 4. An ink jet nozzle arrangement as described in paragraph 3 wherein said stiff paddle is formed of silicon nitride.
    • 5. An ink jet nozzle arrangement as described in any of paragraphs 2 to 4 wherein said actuator further includes an expansion coating having a high coefficient of thermal expansion on top of said upper planar surface so as to increase the amount of bending of said actuator.
    • 6. An ink jet nozzle arrangement as described in paragraph 5 wherein said expansion coating comprises substantially polytetrafluoroethylene.
    • 7. An ink jet nozzle arrangement as described in any of paragraphs 2 to 6 wherein between said upper planar surface and said lower planar surface there is provided a gap, constructed through the utilization of a sacrificial material which is deposited and subsequently etched away so as to leave said gap.
    • 8. An ink jet nozzle arrangement as described in paragraph 7 wherein said upper planar surface includes a plurality of etchant holes provided so as to allow a more rapid etching of said sacrificial layer during construction.
    • 9. An ink jet nozzle arrangement as described in any of paragraphs 2 to 8 wherein said upper planar surface comprises substantially Indium Tin Oxide (ITO).
    • 10. An ink jet nozzle arrangement as described in any of paragraphs 2 to 9 wherein said lower planar surface comprises substantially a metal layer.
    • 11. An ink jet nozzle arrangement as described in any of paragraphs 2 to 10 wherein said surfaces are further coated with a passivation material as required.
    • 12. An ink jet nozzle arrangement as described in any of paragraphs 2 to 11 wherein said ink jet nozzle is formed on a silicon wafer utilizing micro-electro mechanical systems construction techniques.
    • 13. An ink jet nozzle comprising:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • an ink supply source interconnected to said nozzle chamber;
      • a first actuator for ejecting ink from said ink ejection port; and
      • a second actuator for pumping ink into said nozzle from said ink supply source after said actuator has caused the ejection of ink from said nozzle chamber.
    • 14. An ink jet nozzle as described in paragraph 13 wherein said actuators comprise thermal bend actuators.
    • 15. An ink jet nozzle as described in paragraph 13 wherein said actuators comprise a conductive heater element encased within a material having a high co-efficient of thermal expansion whereby said actuators operate by means of electrical heating by said heater element.
    • 16. An ink jet nozzle as described in paragraph 15 wherein said heater element is of a serpentine form and is concertinaed upon heating so as to allow substantially unhindered expansion of said actuation material during heating.
    • 17. An Ink jet nozzle as described in paragraph 13 wherein said first actuator is arranged substantially opposite said ink ejection port and first and second actuators form segments of a nozzle chamber wall opposite said ink ejection port and between said nozzle chamber and ink supply source.
    • 18. In an ink jet nozzle as described in paragraph 13, a method for driving said actuators for the ejection of ink from said ink ejection port, the method comprising the steps of:
      • a) utilising said first actuator to eject ink from said ink ejection port; and
      • b) utilising said second actuator to pump ink towards said ink ejection port so as to rapidly refill the nozzle chamber around the area of said ink ejection port.
    • 19. In an ink jet nozzle as described in paragraph 13, a method for driving said actuators for the ejection of ink from said ink ejection port, the method comprising the steps of:
      • a) activating said first actuator to eject ink from said ink ejection port;
      • b) deactivating said first actuator so as to cause a portion of said ejected ink to break off from a main body of ink within said nozzle chamber;
      • c) activation of said second actuator to pump ink towards said ink ejectionpon so as to rapidly refill the nozzle chamber around the area of said ink ejection port; and
      • d) activating said first actuator to eject ink from the ink ejection port whilst simultaneously deactivating said second actuator so as to return to its quiescent position; otherwise
      • e) deactivating said second actuator to return to its quiescent position.
    • 20. An ink jet nozzle as described in paragraph 15 wherein said actuator material having a high coefficient of thermal expansion comprises substantially polytetrafluoroethylene.
    • 21. A thermal actuator as described in paragraph 15 wherein said heater material comprises substantially copper.
    • 22. A thermal actuator as described in paragraph 13 wherein the surfaces of said actuators are treated to make them hydrophilic.
    • 23. An ink jet nozzle as described in paragraph 13 wherein said actuators are formed by utilisation of a sacrificial material layer which is etched away to release said actuators.
    • 24. An ink jet nozzle as described in paragraph 13 wherein portions of said nozzle include a silicon nitride covering as required in any previous paragraph wherein said nozzle covering is required so as to insulate and passivate them from adjacent portions.
    • 25. An ink jet nozzle as described in paragraph 13 wherein said nozzle chamber is formed from crystallographic etching of a silicon substrate.
    • 26. An ink jet nozzle as described in paragraph 14 wherein the thermal actuators are attached to a substrate and the heating of said actuators is primarily near the attached end of said device.
    • 27. An ink jet nozzle as described in paragraph 13 wherein said nozzle is constructed via fabrication from a silicon wafer utilising semiconductor fabrication techniques.
    • 28. An ink jet nozzle arrangement comprising:
      • a nozzle chamber having an ink ejection port for the ejection of ink from the nozzle chamber;
      • an ink supply reservoir for supplying ink to said nozzle chamber;
      • a magnetic actuator located between said nozzle chamber and said ink supply reservoir which is actuated to eject ink by means of externally supplied magnetic pulse cycles;
    • 29. An ink jet nozzle arrangement as described in paragraph 28 wherein said nozzle arrangement is formed as part of an array of nozzles and each of said nozzles further comprises a blocking means for blocking movement of said magnetic actuator for those nozzles of which it is desired not to eject ink from said nozzle chambers in a current magnetic pulse cycle.
    • 30. An ink jet nozzle arrangement as described in paragraph 29 wherein said blocking means comprises a thermal actuator having a moveable end protuberance which is moveable to a position blocking the path of movement of said magnetic actuator.
    • 31. An ink jet nozzle arrangement as described in any of paragraphs 28 to 30 2 or 3 wherein said magnetic actuator includes an end protuberance designed to engage said blocking means upon movement of said actuator.
    • 32. An ink jet nozzle arrangement as described in any of paragraphs 28 to 31 wherein said magnetic actuator is affixed to an adjacent wall of said nozzle chamber by means of two bendable strip portions which allow bending movement of said magnetic actuator upon activation by said externally supplied magnetic pulse cycles.
    • 33. An ink jet nozzle arrangement as described in paragraph 30 wherein said thermal actuator comprises substantially two arms affixed to a substrate, a first arm having a thin serpentine structure encased in a material having a high coefficient of thermal expansion and a second arm comprising a thicker arm having a tapered thin portion near the end connecting to said substrate so as to concentrate any bending of said actuator at a point close to said substrate.
    • 34. An ink jet nozzle arrangement as described in any of paragraphs 28 to 33 2 to 6 wherein said blocking means is located in a cavity having a low degree of fluid flow through the cavity.
    • 35. An ink jet nozzle arrangement as described in paragraph 33 wherein said blocking means is located in a cavity having a low degree of fluid flow through the cavity and the serpentine arm is located alongside an inner wall of said cavity.
    • 36. An ink jet nozzle arrangement as described in any of paragraphs 28 to 35 wherein the nozzle is constructed via fabrication of a silicon wafer utilizing semiconductor fabrication techniques.
    • 37. An ink jet nozzle arrangement as described in any of paragraphs 28 to 36 wherein portions of said actuators include a silicon nitride covering as required so as to insulate and passivate them from adjacent portions.
    • 38. An ink jet nozzle arrangement as described in any of paragraphs 28 to 37 wherein said nozzle chamber is formed from high density low pressure plasma etching of a silicon substrate.
    • 39. An ink jet nozzle comprising:
      • an ink ejection port for the ejection of ink;
      • an ink supply with an oscillating ink pressure interconnected to said ink ejection port;
      • a shutter mechanism interconnected between said ink supply and ink ejection port and blocking said ink ejection port;
      • an actuator mechanism for moving said shutter mechanism on demand away from said ink ejection port so as to allow for the ejection of ink on demand from said ink ejection port.
    • 40. An ink jet nozzle as described in paragraph 39 wherein said actuator comprises a thermal actuator.
    • 41. An ink jet nozzle as described in paragraph 39 wherein said thermal actuator is activated by the heating of one side of said actuator.
    • 42. An inkjet nozzle as described in any of paragraphs 39 to 41 wherein said actuator is of a coiled form.
    • 43. An ink jet nozzle as described in paragraph 42 wherein said coil is uncoiled upon heating.
    • 44. An ink jet nozzle as described in 2 wherein said actuator includes a serpentine heater element encased in a material having a high coefficient of thermal expansion.
    • 45. An ink jet nozzle as described in paragraph 44 wherein said serpentine heater concertinas upon heating.
    • 46. An ink jet nozzle as described in paragraph 44 wherein said actuator includes a thick return trace for said serpentine heater element.
    • 47. An ink jet nozzle as described in paragraph 44 wherein said material comprises polytetrafluoroethylene.
    • 48. An ink jet nozzle as described in any of paragraphs 39 to 47 wherein said actuator is formed within a nozzle chamber.
    • 49. An ink jet nozzle as described in any of paragraphs 39 to 48 wherein said nozzle is formed on a silicon wafer and said ink is supplied to said ink ejection port through channels etched through a silicon wafer.
    • 50. An ink jet nozzle comprising:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • an ink supply source interconnected to said nozzle chamber, said ink supply source including an ink supply under a varying pressure;
      • a shutter means located between said nozzle chamber and said ink supply source, said shutter being activated on demand to allow ink to pass through said shutter means and to thereby cause ink to be ejected from said nozzle chamber;
      • wherein said shutter means is actuated by means of a buckle actuation mechanism attached to a shutter plate.
    • 51. An ink jet nozzle as described in paragraph 50 wherein said actuation means comprises:
      • a serpentine conductive material encased within an expansive material having a high coefficient of thermal expansion such that, upon heating of said serpentine conductive material, said conductive material concertinas so as to expand at a similar rate to said expansive material.
    • 52. An ink jet nozzle as described in any of paragraphs 50 to 51 wherein said expansive material comprises substantially polytetrafluoroethylene.
    • 53. An ink jet nozzle as described in any of paragraphs 50 to 52 wherein said serpentine conductive material comprises substantially copper.
    • 54. An ink jet nozzle as described in any of paragraphs 50 to 53 wherein said buckling is between stable end connector portions constructed from said conductive material.
    • 55. An ink jet nozzle as described in any of paragraphs 50 to 54 wherein said shutter means is closed when in a quiescent state.
    • 56. An ink jet nozzle as described in any of paragraphs 50 to 55 wherein said ink supply source includes an ink supply channel interconnecting said shutter means by means of a through hole etching of a silicon wafer.
    • 57. An ink jet nozzle as described in paragraph 56 wherein said through hole etching is produced by high density low pressure plasma etching of said silicon wafer.
    • 58. An ink jet nozzle as described in any of paragraphs 50 to 57 wherein the ink within said ink supply source is driven with a substantially sinusoidal ink pressure.
    • 59. A method of ejecting ink from a nozzle chamber in an ink jet nozzle which comprises:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • an ink supply source interconnected to said nozzle chamber, said ink supply source including an ink supply under a varying pressure;
      • shutter means located between said nozzle chamber and said ink supply source, said shutter being activated on demand to allow ink to pass through said shutter means and to thereby cause ink to be ejected from said nozzle chamber, said shutter means being actuated by means of a buckle actuation mechanism attached to a shutter plate;
      • said method comprising the steps of:
        • (a) activating said shutter to an open position during a high pressure time of said varying pressure so as to cause the ejection of ink from said port;
        • (b) driving said pressure to a low pressure state so as to cause drop separation of ejected ink;
        • (c) keeping said shutter open during a subsequent high pressure time of said varying pressure sufficient to cause said nozzle chamber to be rapidly refilled;
        • (d) closing said shutter upon refill of said nozzle chamber such that said chamber is ready for the subsequent ejection of ink upon a subsequent opening of said shutter.
    • 60. An ink jet printing device comprising:
      • (a) an ink chamber having an oscillating ink pressure;
      • (b) a plurality of nozzle apparatuses in fluid communication with said ink chamber, said nozzle apparatuses including a grilled shutter having a first open state permitting the expulsion of ink from said nozzle apparatus and a second closed state substantially restricting the expulsion of ink from said nozzle apparatus; and
      • (c) a shutter activation means adapted to drive, on demand, said grilled shutter from a first of said states to a second of said states.
    • 61. An ink jet printing device is described in paragraph 60 wherein the said nozzle apparatus further includes a locking means adapted to lock said grilled shutter in an open or closed state as required.
    • 62. A method of operating an ink jet printing device of the type as described in paragraph 60 so as to allow the expulsion of ink from a nozzle apparatus, said method comprising the steps of:
      • opening said grilled shutter during a first high pressure period with the said ink chamber;
      • utilizing said high pressure period and a following low pressure period for the expulsion of ink from said nozzle apparatus;
      • utilizing a subsequent high pressure period for the re-filling of said nozzle apparatus; and closing said grilled shutter until such time as further ink is required to be expelled from said nozzle apparatus.
    • 63. An ink jet printing device as described in paragraph 60 wherein said shutter activation means comprises a thermocouple device.
    • 64. An ink jet printing device as described in paragraph 60 wherein said shutter activation means comprises a thermocouple having two arms, one arm having a thermal jacket of low thermal conductivity.
    • 65. An ink jet printing device as described in paragraph 64 wherein one of said arms include a thinned portion adapted to increase the travel of said thermocouple upon activation.
    • 66. An ink jet printing device as described in paragraph 60, wherein the magnitude and frequency of said oscillating ink pressure can be altered in accordance with such pre-calculated factors such as the number of said nozzle apparatuses ejecting ink and the tuned pressure requirements for said nozzle apparatuses refill with different inks.
    • 67. An ink jet nozzle arrangement comprising:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • a thermal actuator unit activated to eject ink from said nozzle chamber via said ink ejection port, said thermal actuator unit comprising a plurality of thermal actuator petal devices arranged around a central stem such that upon activation of said thermal actuator petal devices, said devices bend in unison, thereby initiating the ejection of ink from said nozzle chamber.
    • 68. An ink jet nozzle arrangement as described in paragraph 67 wherein said thermal actuator unit is located opposite said ink ejection port and said petal devices bend generally in the direction of said ink ejection port.
    • 69. An ink jet nozzle arrangement as described in any of paragraphs 67 to 68 wherein said thermal actuator petal devices comprise a first material having a high coefficient of thermal expansion surrounding a second material which conducts resistively so as to provide for heating of said first material.
    • 70. An ink jet nozzle arrangement as described in paragraph 69 wherein said second material is constructed so as to concertina upon expansion of said first material.
    • 71. An ink jet nozzle arrangement as described in any of paragraphs 67 to 70 wherein a surface of said thermal actuator petal devices which is to bend in a convex form is hydrophobic.
    • 72. An ink jet nozzle arrangement as described in paragraph 71 wherein, during operation, an air bubble forms under said thermal actuator.
    • 73. An ink jet nozzle arrangement as described in paragraphs 69 or 70 wherein said first material comprises substantially polytetrafluoroethylene.
    • 74. An ink jet nozzle arrangement as described in any of paragraphs 67 to 73 wherein the space between adjacent petal devices is reduced upon activation of said thermal actuator unit.
    • 75. An ink jet nozzle arrangement as described in paragraphs 69 or 70 wherein said second material comprises substantially copper.
    • 76. An ink jet nozzle arrangement as described in any of paragraphs 67 to 75 2 wherein the thermal actuator petal devices are attached to a substrate and the heating of said petal device is primarily near the attached end of said device.
    • 77. An ink jet nozzle arrangement as described in paragraph 67 wherein an outer surface of said ink chamber includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layer during construction.
    • 78. An ink jet printing device comprising:
      • (a) an ink chamber containing ink subject to a periodic pressure variation;
      • (b) at least one ink jet nozzle apparatus, said ink jet nozzle apparatus comprising:
        • (i) a nozzle chamber having an aperture for the ejection of ink;
        • (ii) a moveable shutter having a closed position covering said nozzle chamber and an open position allowing said nozzle chamber to be in fluid communication with said ink chamber; and
        • (iii) an actuation means responsive to a control signal and adapted to move said moveable shutter from a first of said positions to a second of said positions upon activation of said control signal.
    • 79. An ink jet printing device as described in paragraph 78 wherein said first position is said closed position and said second position is said open position.
    • 80. An ink jet printing device as described in paragraph 78 wherein said actuator means comprises a coiled thermal actuator.
    • 81. An ink jet printing device as described in paragraph 80 wherein said thermal actuation is via one of differing resistivities, differing cross-sectional areas, differing thermal expansion, differing thermal conductivities in said thermal actuator.
    • 82. An ink jet printing device as described in paragraph 78 wherein said periodic pressure variation is derived from an ultrasonic transducer in fluid communication with the ink in said ink chamber.
    • 83. A method of ejecting ink from a nozzle chamber in fluid communication with an ink reservoir said chamber having a shutter controlling the flow of ink from said ink reservoir to said nozzle chamber, said method comprising the steps of:
      • (a) applying a periodic pressure wave to said ink reservoir, opening said shutter at a first predetermined time to allow the ejection of ink from said nozzle chamber;
      • (b) maintaining said shutter in an open position to allow said ink chamber to refill said nozzle chamber; and closing said shutter upon refilling of said nozzle chamber.
    • 84. A method of ejecting ink from a nozzle as described in paragraph 83 wherein said periodic pressure wave includes periods of negative pressure within said ink chamber and wherein said shutter remains open during periods of said negative pressure so as to cause separation of ejected ink from said nozzle chamber.
    • 85. A method of ejecting ink as described in paragraph 83 wherein said period of negative pressure is followed by a period of positive pressure in which said nozzle chamber is refilled with ink.
    • 86. A method as described in paragraph 85 wherein said shutter is alternatively maintained in a partially open position to allow for modulation of the amount of ejected ink.
    • 87. An ink jet nozzle arrangement comprising:
      • at least one nozzle chamber having an ink ejection port at one wall thereof;
      • a plurality of vane units being adapted to be actuated by actuators and arranged around said ink ejection port, said vane units being adapted to be actuated by said vane actuators so as to pressurise the volume around said ink ejection port so as to cause the ejection of ink from said ink ejection port.
    • 88. An ink jet nozzle arrangement as described in paragraph 87 wherein said vane actuators comprise thermal actuators.
    • 89. An ink jet nozzle arrangement as described in paragraph 88 wherein said thermal actuators each comprise an expanding, flexible arm, and a rigid arm.
    • 90. An ink jet nozzle arrangement as described in paragraph 89 wherein said flexible arms comprise a conductive heater material encased within an expansion material having a high coefficient of thermal expansion.
    • 91. An ink jet nozzle arrangement as described in paragraph 90 wherein said conductive heater material is constructed so as to concertina upon expansion of said expansion material.
    • 92. An ink jet nozzle arrangement as described in paragraph 90 wherein said heater material is of a serpentine form and is concertinaed upon heating so as to allow substantially unhindered expansion of said expansion material during heating.
    • 93. An ink jet nozzle arrangement as described in any of paragraphs 87 to 92 wherein said vane units are arranged in a circumference around said ink ejection port.
    • 94. An ink jet nozzle arrangement as described in paragraph 92 wherein said vane units operate as an iris around said ink ejection port.
    • 95. An ink jet nozzle arrangement as described in any of paragraphs 87 to 94 wherein the vane units are of a semi-circular form.
    • 96. An ink jet nozzle arrangement as described in any of paragraphs 87 to 95 wherein the number of vane units if four.
    • 97. An ink jet nozzle arrangement as described in paragraph 89 wherein said expansion material comprises substantially polytetrafluoroethylene.
    • 98. An ink jet nozzle arrangement as described in paragraph 89 wherein said conductive heater material comprises substantially copper.
    • 99. An ink jet nozzle arrangement as described in paragraph 87 wherein an outer surface of said nozzle chamber includes a plurality of etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
    • 100. A thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby said actuator operates by means of electrical heating by said heater element of said thermal actuator and wherein said heater element has a corrugated structure so as to improve the thermal distribution of heat from said heater element to said actuation material so as to thereby increase the speed of actuation of said thermal actuator.
    • 101. A thermal actuator as described in paragraph 100 wherein said heater element is also of a serpentine or concertinaed form so as to allow substantially unhindered expansion of said actuation material during heating.
    • 102. A thermal actuator as described in paragraph 100 wherein said actuator is utilized in an ink jet nozzle arrangement for the ejection of ink from a nozzle chamber.
    • 103. A thermal actuator as described in paragraph 100 wherein a first surface of said actuator are hydrophilic.
    • 104. A thermal actuator as described in paragraph 100 wherein said heater material comprises substantially copper.
    • 105. A thermal actuator as described in paragraph 103 wherein said hydrophilic surface is formed by means of processing of a hydrophobic surface.
    • 106. A thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein said thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of said conductor material has a series of slots or holes so as to allow said actuation material to be integrally joined together so as to reduce the likelihood of delamination of said layers.
    • 107. A thermal actuator as described in paragraph 106 wherein said portion having a series of slots or holes comprises a stiff structural paddle at an end of said actuator.
    • 108. A thermal actuator as described in paragraph 107 wherein said stiff structural paddle includes a regularly spaced array of holes defined therein.
    • 109. A thermal bend actuator fonning one wall of an ink jet nozzle chamber wherein the thermal bend actuator includes an actuation material having a high coefficient of thermal expansion.
    • 110. A thermal bend actuator as described in paragraph 109 wherein said actuator is utilized for the ejection of ink from said chamber via an ink nozzle.
    • 111. A thermal bend actuator as described in paragraph 109 wherein said chamber is formed from an isotropic etch of portion of a silicon wafer.
    • 112. An ink jet nozzle comprising:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • an ink supply source interconnected to said nozzle chamber;
      • a thermal actuator activated to eject ink from said nozzle chamber via said ink ejection port, said thermal actuator comprising two layers of actuator material having a high coefficient of thermal expansion, a top layer being substantially non conductive and a bottom layer being conductive, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer, which is cooled by the water based ink.
    • 113. An ink jet nozzle as described in paragraph 112 wherein said bottom layer comprises portions being conductive and portions being non-conductive such that a circuit is formed for the heating of the bottom layer through the interaction of said conductive and non-conductive portions.
    • 114. An ink jet nozzle as described in paragraph 113 wherein said resistive circuit is created having predetermined area of low circuit cross-sectional area so as to produce high levels of heating of said actuators in those areas.
    • 115. An ink jet nozzle as described in paragraphs 113 or 114 wherein said non-conductive portions are formed from the same material as said top layer.
    • 116. An ink jet nozzle comprising:
      • a nozzle chamber having an ink ejection port in one wall of said chamber;
      • an ink supply source interconnected to said nozzle chamber;
      • a thermal actuator activated to eject ink from said nozzle chamber via said ink ejection port, the thermal actuator being activated by means of passing a current through the bottom layer so as to cause it to expand relative to the top layer.
    • 117. An ink jet nozzle as described in any of paragraphs 112 to 116 wherein the bottom of said actuator has a hydrophobic surface and wherein during operation said hydrophobic surface causes an air bubble to form under said thermal actuator.
    • 118. An ink jet nozzle as described in any of paragraphs 112 to 117 wherein the bottom surface of said actuator is air vented so as to reduce the actuation energy required to eject ink from said nozzle chamber.
    • 119. An ink jet nozzle as described in paragraph 118 wherein said air venting comprises a series of small holes underneath the actuator said holes being interconnected to an air supply channel for the supply of air to the back of said actuator.
    • 120. An ink jet nozzle as described in any of paragraphs 112 to119 7 to 8 wherein th paddle wheel, ink within said paddle chambers is pressurised, said pressurisation causing ink to be ejected from said ink ejection port.
    • 133. An ink ejection arrangement as described in paragraph 132 wherein said paddle chambers include a side wall having a radial component relative to said paddle wheel.
    • 134. An ink jet nozzle arrangement as described in paragraph 132 wherein said ink ejection port is located above a pivot point of said paddle wheel.
    • 135. An ink jet nozzle arrangement as described in paragraph 132 wherein said paddle chamber includes a wall which is located substantially on the circumference of said paddle wheel.
    • 136. An ink jet nozzle arrangement as described in paragraph 132 wherein said rotation of said paddle wheel is controlled by a thermal actuator.
    • 137. An ink ejection nozzle arrangement as described in paragraph 136 wherein said thermal actuator comprises an internal electrically resistive element and an external jacket around said resistive element, said jacket having a high coefficient of thermal expansion relative to said resistive element.
    • 138. An ink jet nozzle arrangement as described in paragraph 137 wherein said resistive element is of a substantially serpentine form.
    • 139. An ink jet nozzle arrangement as described in paragraph 136 wherein the outer jacket comprises substantially polytetrafluoroethylene.
    • 140. An ink jet arrangement as described in paragraph 136 wherein the said thermal actuator means undergoes circumferential expansion relative to said paddle wheel.
    • 141. A method of ejecting ink from an ink jet nozzle interconnected to ink chamber comprising:
      • constructing a series of paddle chambers within said ink chamber each of said paddle chambers having at least one moveable wall connected to a central pivoting portion activated by an actuator means;
      • substantially filling said ink chamber with ink;
      • utilizing said actuator to activate said moveable wall so as to reduce the volume in said paddle chambers and to thereby increase the ink pressure within said paddle chambers resulting in a consequential ejection of ink from said ink jet nozzle.
    • 142. A method as described in paragraph 141 wherein said actuation means comprises a thermal actuator as set out in paragraph 136 herein.
    • 143. An actuated paddle for the movement of liquid within a chamber comprising:
      • a first surface having a hydrophobic surface; and
      • the paddle defining a cavity between said hydrophobic surface and a wall of the chamber so as to be amendable to the collection of gases within said cavity and wherein the paddle is actuated to move the hydrophobic surface away from said wall of said chamber.
    • 144. An actuated paddle as described in paragraph 143 wherein the degree of movement of said actuated paddle is insufficient to substantially disperse gases within said cavity.
    • 145. An actuated paddle as described in paragraph 143 wherein said paddle is thermally actuated by means of a first structure having a low coefficient of thermal expansion and a second structure having a substantially larger coefficient of thermal expansion.
    • 146. An actuated paddle as described in paragraph 145 wherein said structure having a high coefficient of thermal expansion is located closer to said cavity then said structure having a low coefficient of thermal expansion.
    • 147. An actuated paddle as described in paragraph 145 wherein the paddle includes a further surface adjacent to the said liquid and said structure having a low coefficient of thermal expansion is located closest to said further surface.
    • 148. An actuated paddle as described in paragraph 145 wherein the structure having the low coefficient of thermal expansion is substantially liquid cooled by said liquid whereas the structure having the high coefficient of thermal expansion is located substantially in the said cavity.
    • 149. An actuated paddle as described in paragraph 143 wherein said structure having a high coefficient of thermal expansion is comprised substantially from polytetrafluoro-ethylene.
    • 150. An actuated paddle as described in paragraph 143 wherein said first surface comprises substantially polytetrafluoro-ethylene.
    • 151. An actuated paddle as described in paragraph 143 wherein the paddle is attached to said chamber wall.
    • 152. An ink jet nozzle comprising:
      • said actuated paddle located within a nozzle chamber;
      • an ink supply interconnected to said nozzle chamber; and
      • an ink ejection portal in one wall opposite said actuate paddle for the ejection of ink through said portal.
    • 153. A method of ejecting ink from said ink jet nozzle comprising:
      • utilizing the activation of an actuated paddle to eject ink from said nozzle chamber, wherein said activation causes said actuated paddle to move towards said wall comprising said ink ejection portal.
    • 154. A thermal actuator comprising a heater element encased within a material having a high coefficient of thermal expansion whereby said actuator operates by means of electrical heating by said heater element of said thermal actuator wherein said heater element has a corrugated structure so as to improve the thermal distribution of heat from said heater element to said actuation material so as to thereby increase the speed of actuation of said thermal actuator.
    • 155. A thermal actuator as described in paragraph 154 wherein said heater element is also of a serpentine or concertinaed form so as to allow substantially unhindered expansion of said actuation material during heating.
    • 156. A thermal actuator as described in paragraph 154 wherein said actuator is utilized in an ink jet nozzle for the ejection of ink from a nozzle chamber.
    • 157. A thermal actuator as described in paragraph 154 wherein one surface of said actuator is hydrophobic and the other surface is hydrophilic.
    • 158. A thermal actuator as described in paragraph 154 wherein said heater material comprises substantially copper.
    • 159. A thermal actuator as described in paragraph 157 wherein said hydrophilic material is formed by means of processing said hydrophobic material.
    • 160. A thermal actuator comprising a heater element having a low coefficient of thermal expansion surrounded by an actuation material having a high coefficient of thermal expansion wherein said thermal actuator includes a first and second layers of actuation material and a third layer of conductive material, at least a portion of which is utilized as a heating element, wherein a portion of said conductor material has a series of slots or holes so as to allow said actuation material to be integrally joined together so as to reduce the likelihood of delamination of said layers.
    • 161. A thermal actuator as described in paragraph 160 wherein said portion having a series of slots or holes comprises a stiff structural paddle at an end of said actuator.
    • 162. A thermal actuator as described in paragraph 161 wherein said stiff structural paddle includes a regularly spaced array of holes defined therein.
    • 163. A thermal bend actuator attached at one end of a substrate said actuator including an actuation material having a high coefficient of thermal expansion, said actuator further comprising a stable clamp on top of said actuator at the end attached to said substrate, said clamp acting to decrease the likelihood of separation of said actuation material from said substrate.
    • 164. A thermal bend actuator as described in paragraph 163 wherein said actuator is utilized for the ejection of ink from a chamber via an ink nozzle.
    • 165. A thermal bend actuator as described in paragraph 164 wherein said clamp forms part of a grill structure for the filtering of ink flow into said chamber for subsequent ejection.
    • 166. A thermal bend actuator as described in paragraph 163 wherein said substrate is fabricated from a silicon wafer and said clamp comprises substantially silicon nitride.
    • 167. A thermal bend actuator as described in paragraph 166 wherein said clamp is formed by means of a sacrificial etching process.
    • 168. An ink jet print nozzle including:
      • a nozzle chamber having an ink ejection port for the ejection of ink defined in one wall of said nozzle chamber;
      • an ink channel supply means for the supply of ink to said nozzle chamber; and
      • an actuator mechanism located in said nozzle chamber and adapted to be activated so as to cause the ejection of ink from said nozzle chamber, said actuator mechanism including a portion located between said nozzle chamber and said ink channel supply means.
    • 169. An ink jet nozzle as described in paragraph 168 wherein said actuator mechanism comprises a substantially planar thermal actuator.
    • 170. An ink jet nozzle as described in paragraph 168 wherein said thermal actuator includes a serpentine conductive heater element layer encased within an expansive layer such that, upon activation, said thermal actuator is caused to bend towards said ink ejection port so as to cause the expulsion of ink from said nozzle chamber.
    • 171. An ink jet nozzle as described in any of paragraphs 169 to 170 wherein one surface of said planar thermal actuator includes a portion having a hydrophobic properties such that, during operation, an air bubble is formed between said surface and a wall of said nozzle chamber.
    • 172. An ink jet nozzle as described in paragraph 171 wherein said surface is substantially adjacent the heated portions of said planar thermal actuator so as to increase the efficiency of operation of said thermal actuator.
    • 173. An ink jet nozzle as described in any of paragraphs 168 to 172 wherein said portion located between said nozzle chamber and said ink supply means has hydrophilic surfaces.
    • 174. An ink jet nozzle as described in any of paragraphs 168 to 173 wherein said nozzle chamber is formedon a silicon wafer and said ink channel supply means is formed through the back etching of a silicon wafer.
    • 175. An ink jet nozzle as described in paragraph 174 wherein said actuator includes a surface which is normally hydrophobic and which is plasma treated through said ink channel supply means to make it hydrophilic.
    • 176. An ink jet nozzle as described in any of paragraphs 168 to 175 wherein said nozzle is formed on a CMOS substrate.
    • 177. An ink jet nozzle as described in paragraph 177 wherein said CMOS substrate includes aluminium portions constructed so as to protect said substrate for sacrificial etching of said CMOS substrate.
    • 178. An ink jet nozzle as described in paragraph 170 wherein said conductive heater comprises substantially gold.
    • 179. An ink jet nozzle as described in paragraph 174 wherein said back etching comprises deep anisotropic trench etching of said wafer.
    • 180. An ink jet nozzle as described in paragraph 170 wherein said conductive heater material is constructed so as to concertina upon expansion of said expansive layer so as to allow substantially unhindered expansion of said expansive layer during heating.
    • 181. An ink jet nozzle as described in paragraph 168 wherein an outer surface of said ink chamber includes a plurality of small etchant holes provided so as to allow a more rapid etching of sacrificial layers during construction.
    • 182. An ink jet nozzle as described in paragraph 170 wherein said expansive layer comprises substantially polytetrafluroethylene.
    • 183. An ink jet nozzle arrangement for the ejection of ink from an nozzle chamber comprising:
      • a nozzle chamber interconnected to an ink supply and having an ink ejection port in one wall thereof;
      • an ejection paddle for the ejection of ink from said ink ejection port; and
      • a thermal actuator mechanism attached to an ejection paddle for the actuation of said ejection paddle causing the ejection of ink;
      • wherein said thermal actuator comprises materials having a high Young's modulus which produce a bending motion upon heating thereby causing said ejection paddle to eject ink from said ink ejection port.
    • 184. An ink jet nozzle arrangement as described in paragraph 183 wherein said thermal actuator is pivoted so as to increase the degree of travel of said ejection paddle upon actuation of said thermal actuator.
    • 185. An ink jet nozzle arrangement as described in any of paragraphs 183 to 184 wherein said actuator mechanism is of a horseshoe shaped form and pivoted substantially around a midpoint.
    • 186. An ink jet nozzle arrangement as described in any of paragraphs 183 to 185 2 or 3 wherein said pivot point is constructed on a wall of said chamber.
    • 187. An ink jet nozzle arrangement as described in paragraph 186 wherein said wall comprises a thinned membrane.
    • 188. An ink jet nozzle arrangement as described in any of paragraphs 183 to 187 wherein said thermal actuator operates in the ambient atmosphere.
    • 189. An ink jet nozzle arrangement as described in any of paragraphs 183 to 188 wherein nozzle chamber is constructed on a silicon wafer and said ink is supplied through said silicon wafer.
    • 190. An ink jet nozzle arrangement as described in any of paragraphs 183 to 189 wherein said thermal actuator is constructed from a thin conductive section and a substantially thicker non conductive portion.
    • 191. An ink jet nozzle arrangement as described in paragraph 190 wherein said thin conductive portion comprises substantially titanium diboride.
    • 192. An ink jet nozzle arrangement as described in paragraph 190 wherein said thicker portion comprises substantially glass.
    • 193. An ink jet nozzle arrangement as described in any of paragraphs 183 to 192 wherein said nozzle chamber walls include a number of small sacrificial etchant holes for utilization in construction of said arrangement, said holes being of sufficiently small diameter so as to prevent the ejection of ink therefrom.
    • 194. An ink jet nozzle arrangement as described in any of paragraphs 183 to 193 wherein said arrangement is constructed using micro electro mechanical systems techniques including a sacrificial etch and said ejection paddle is released in said sacrificial etch to be in a prefiring position.
    • 195. An ink jet nozzle arrangement for the ejection of ink from a nozzle chamber comprising:
      • a nozzle chamber having a slotted sidewall in a first surface and an ink ejection port along a second surface thereof;
      • an ink supply channel interconnected to said nozzle chamber for the supply of ink to said nozzle chamber;
      • a moveable vane located within said nozzle chamber and being moveable so as to cause the ejection of ink from said nozzle chamber; and
      • an actuator located outside said nozzle chamber and interconnected to said moveable vane through said slotted sidewall.
    • 196. An ink jet nozzle as described in paragraph 195 wherein said moveable vane, in its quiescent position, is located substantially adjacent a first end of said slot and said actuator is actuated to move said moveable vane from said first end of said slot to a second end of said slot.
    • 197. An ink jet nozzle arrangement as described in paragraph 195 wherein said actuator comprises a thermal actuator which is actuated by means of an electric current passed through the thermal actuator resulting in resistive heating of said actuator.
    • 198. An ink jet nozzle arrangement as described in paragraph 197 wherein said thermal actuator is constructed of a conductive material having a high Young's modulus.
    • 199. An ink jet nozzle arrangement as described in paragraph 197 wherein said thermal actuator includes a first and second arm, the first arm having a thinned cross-section relative to the second arm, said first arm undergoing resistive heating to thereby cause said first arm to bend resulting in actuation by said thermal actuator.
    • 200. An ink jet nozzle arrangement as described in paragraph 199 wherein said arms are attached to a substrate at one end and said second arm includes a thinned portion at one end thereof adjacent said attachment to said substrate.
    • 201. An ink jet nozzle arrangement as described in any of paragraphs 195 to 200 wherein said actuator device operates in an ambient atmosphere.
    • 202. An ink jet nozzle arrangement as described in any of paragraphs 195 to 201 wherein the portions of said actuator located adjacent the exterior of said slotted side wall are coated with a hydrophobic material.
    • 203. An ink jet nozzle arrangement as described in any of paragraphs 195 to 202 wherein said arrangement is formed on a silicon wafer and said ink supply channel is formed through the etching of a channel through said silicon wafer.
    • 204. A thermal actuator activated by means of conductive heating of an electrically conductive material, said actuator comprising:
      • a first non-conductive strip of material attached to a substrate at a first end of said strip and adapted to apply a force to a load at a second end of said strip;
      • a second conductive material formed along one side of said strip said conductive strip including a first wire portion running from substantially said first end to said second end and a second wire strip running from substantially said second end to said first end, said wire strips being joined together at said second end so as to form a circuit, said wire strips being further connected to a controlled power supply at said first end such that activation of said controlled power supply causes heating of said conductive material so as to actuate said thermal actuator thereby applying a force to said load.
    • 205. A thermal actuator as described in paragraph 204 wherein said strip is in the form of a coil and said second conductive material is formed along a radially inner surface of said strip.
    • 206. A thermal actuator as described in paragraph 204 wherein said first wire and said second wire are electrically insulated from one another by a layer of said first non-conductive material.
    • 207. A thermal actuator as described in paragraph 204 wherein said second conductive material comprises a material having a high Young's modulus.
    • 208. A thermal actuator as described in paragraph 207 wherein said first conductive strip is substantially thicker then said second conductive material.
    • 209. A thermal actuator as described in paragraph 204 wherein said substrate comprises an electronic circuitry layer of a silicon wafer.
    • 210. A thermal actuator as described in paragraph 204 wherein said second conductive material comprises substantially titanium di-boride.
    • 211. A thermal actuator as described in paragraph 204 wherein said first non-conductive material comprises substantially glass.
    • 212. A thermal actuator as described in paragraph 204 wherein said actuator is interconnected through a slot in a nozzle chamber to a paddle type device, said nozzle chamber being filled with ink and said actuator being activated to eject ink from a port defined in one wall of said nozzle chamber.
    • 213. An ink jet printer having a series of ink ejection nozzle chambers each containing:
      • an ink ejection port defined in one wall of the chamber for the ejection of ink therefrom;
      • a paddle within said chamber, actuated by an actuator for the ejection of ink from said nozzle chamber via said ink ejection port;
      • a slot defined in a second wall thereof for the communication with said paddle of an actuator device;
      • a thermal actuator activated by means of conductive heating of an electrically conductive material, said actuator comprising:
      • a first non-conductive strip of material attached to a substrate at a first end of said strip and adapted to apply a force to a load at a second end of said strip;
      • a second conductive material formed along one side of said strip said conductive strip including a first wire portion running from substantially said first end to said second end and a second wire strip running from substantially said second end to said first end, said wire strips being joined together at said second end so as to form a circuit, said wire strips being further connected to a controlled power supply at said first end such that activation of said controlled power supply causes heating of said conductive material so as to actuate said thermal actuator thereby applying a force to said load.
    • 214. An ink jet printer as described in paragraph 213 wherein said nozzle chambers are formed on a silicon wafer and include a series of ink supply channels etched through said wafer for the supply of ink to said nozzle chamber.
    • 215. A fluid ejection apparatus including:
      • a trough having side walls and an exposed roof said trough being substantially filled with fluid;
      • a paddle vane located within the trough and offset from one wall when said paddle vane is in a quiescent position;
      • an actuation mechanism attached to said paddle vane such that, upon activation of said actuation mechanism, said paddle vane is caused to move towards said one wall, resulting in an increase in pressure in the fluid between said one wall and said paddle vane, resulting in a consequential ejection of fluid via said exposed roof.
    • 216. A fluid ejection apparatus as described in paragraph 215 wherein said fluid comprises ink and said fluid ejection apparatus is utilized as an ink jet printing system.
    • 217. A fluid ejection apparatus as described in paragraph 215 wherein said actuation mechanism is interconnected to said paddle vane via an arm extending over one edge of said exposed roof.
    • 218. A fluid ejection apparatus as described in 3 paragraph wherein said actuation mechanism comprises a thermal actuator.
    • 219. A fluid ejection apparatus as described in paragraph 218 wherein said thermal actuator comprises a coiled thermal actuator having a first conductive arm and a second substantially non-conductive arm, said conductive arm expanding upon electrical resistive heating to thereby cause the actuation of said thermal actuator.
    • 220. A fluid ejection apparatus as described in paragraph 219 wherein said first conductive arm comprises substantially titanium diboride.
    • 221. A fluid ejection apparatus as described in paragraph 219 wherein said second non-conductive arm comprises substantially silicon nitride.
    • 222. A fluid ejection apparatus as described in any of paragraphs 215 to 221 wherein said trough is formed within a silicon wafer and said apparatus further comprises an ink supply channel etched through a back surface of said wafer and interconnecting a bottom surface of said trough for the supply of ink to said trough.
    • 223. A fluid ejection apparatus as described in paragraph 222 wherein said interconnection is between said paddle vane and a second wall of said trough, said second wall being substantially opposite said one wall.
    • 224. A fluid ejection apparatus as described in any of paragraphs 215 to 223 wherein further comprising an ink supply channel interconnected with a slot in the bottom of said trough for the supply of ink to said trough.
    • 225. A fluid ejection apparatus as described in paragraph 224 wherein said trough is formed on a silicon wafer and said channel is etched through said wafer.
    • 226. A fluid ejection apparatus as described in any of paragraphs 215 to 225 wherein said actuation mechanism operates in the ambient atmosphere.
    • 227. An apparatus for ejecting fluids from a nozzle chamber comprising:
      • a nozzle chamber having at least two fluid ejection apertures defined in the walls of said chamber;
      • a moveable paddle vane located between said fluid ejection apertures;
      • an actuator mechanism attached to said moveable paddle vane and adapted to move said paddle vane in a first direction so as to cause the ejection of fluid drops out of a first fluid ejection aperture and to further move said paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
    • 228. An apparatus as described in paragraph 227 wherein said actuator comprises a thermal actuator having at least two heater elements with a first of said elements being actuated to cause said paddle vane to move in a first direction and a second heater element being actuated to cause said paddle vane to move in a second direction.
    • 229. An apparatus as described in paragraph 228 wherein said heater elements have a high bend efficiency wherein said bend efficiency is defined as: bend efficiency = Young's Modulus x (Coefficient of Thermal Expansion)
      Density x Specific Heat Capacity
    • 230. An apparatus as described in paragraph 228 wherein said heater elements are arranged on opposite sides of a central arm, said central arm having a low thermal conductivity.
    • 231. An apparatus as described in paragraph 228 wherein said paddle vane and said actuator are joined at a fulcrum pivot point, said fulcrum pivot point comprising a thinned portion of said nozzle chamber wall.
    • *232. An apparatus as described in paragraph 227 wherein said actuator includes one end fixed to a substrate and a second end containing a bifurcated tongue having two leaf portions on each end of said bifurcated tongue, said leaf portions interconnecting to a corresponding side of said paddle with said tongue such that, upon actuation of said actuator, one of said leaf portions pulls on said paddle end.
    • 233. An apparatus as described in paragraph 227 further comprising:
      • a fluid supply channel connecting said nozzle chamber with a fluid supply for supplying fluid to said nozzle chamber said connection being in a wall of said chamber substantially adjacent the quiescent position of said paddle vane.
    • 234. An apparatus as described in paragraph 233 wherein said connection comprises a slot defined in the wall of said chamber, said slot having similar dimensions to a cross-sectional profile of said paddle vane.
    • 235. An apparatus as described in paragraph 230 wherein said central arm comprises substantially glass.
    • 236. A multiplicity of apparatuses as described in paragraph 227 wherein said fluid ejection apertures are grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of said rows in phases.
    • 237. A multiplicity of apparatuses as described in paragraph 236 wherein said apparatuses are utilized for ink jet printing.
    • 238. A multiplicity of apparatuses as described in paragraph 237, said nozzle chambers are further grouped into multiple ink colors and with each of said nozzles being supplied with a corresponding ink color.
    • 239. An apparatus as described in paragraph 227 wherein said fluid ejection apertures include a rim defined around an outer surface thereof.
    • 240. A method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of said nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism, said method comprising the steps of:
      • actuating said actuator to cause said moveable paddle to move in a first direction so as to eject drops from a first of said nozzle apertures; and
      • actuating said actuator to cause said moveable paddle to move in a second direction so as to eject drops from a second of said nozzle apertures.
    • 241. A method as described in paragraph 240 wherein an array of nozzle chambers are arranged in a pagewidth print head and the moveable paddles of each nozzle chamber are driven in phase.
    • 242. An apparatus for ejecting fluids from a nozzle chamber comprising:
      • a nozzle chamber having at least two fluid ejection apertures defined in the walls of said chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of said fluid ejection apertures; an actuator mechanism attached to said moveable paddle vane and adapted to move said paddle vane in a first direction so as to cause the ejection of fluid drops out of said first fluid ejection aperture and to further move said paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
    • 243. An apparatus as described in paragraph 242 further comprising:
      • a baffle located between said first and second fluid ejection apertures and wherein said paddle vane moving in said first direction causes an increase in pressure of said fluid in the volume adjacent said first aperture and a simultaneous decrease in pressure of said fluid in the volume adjacent said second aperture.
    • 244. An apparatus as described in paragraph 244 wherein said paddle vane moving in said second direction causes an increase in pressure of said fluid in the volume adjacent said second aperture and a simultaneous decrease in pressure of said fluid in the volume adjacent said first aperture.
    • 245. An apparatus as described in paragraph 242 wherein said paddle vane and said actuator are interconnected so as to pivot around a wall of said chamber and said apparatus further comprises:
      • a fluid supply channel connecting said nozzle chamber with a fluid supply for supplying fluid to said nozzle chamber said connection being in a wall of said chamber substantially adjacent the pivot point of said paddle vane.
    • 246. An apparatus as described in any of paragraphs 242 to 245 wherein at least one wall of said nozzle includes at least one smaller aperture interconnecting said nozzle chamber with an ambient atmosphere, the size of said smaller aperture being of such dimensions that, during normal operation of said apparatus, the net flow of fluid through said smaller
      Density x Specific Heat Capacity
    • 249. An apparatus as described in paragraph 244 wherein said heater elements are arranged on opposite sides of a central arm, said central arm having a low thermal conductivity.
    • 250. An apparatus as described in paragraph 244 wherein said paddle vane and said actuator are joined at a fulcrum pivot point, said fulcrum pivot point comprising a thinned portion of said nozzle chamber wall.
    • 251. An apparatus as described in paragraph 247 wherein said thermal actuator operates in an ambient atmosphere.
    • 252. An apparatus as described in paragraph 251 wherein said thinned portion of said nozzle chamber wall includes a series of slots at opposing sides so as to allow for the flexing of said wall during actuation of said actuator.
    • 253. An apparatus as described in paragraph 252 wherein said slots connect the internal portions of the nozzle chamber with an external ambient atmosphere and the external surface adjacent said slots comprises a planar or concave surface so as to reduce wicking.
    • 254. An apparatus as described in any of paragraphs 242 to 253 wherein said thermal actuator includes one end attached to a substrate and a second end having a thinned portion said thinned portion providing for the flexible attachment of said actuator to said moveable paddle vane.
    • 255. An apparatus as described in paragraph 249 wherein said central arm comprises substantially glass.
    • 256. A multiplicity of apparatuses as described in paragraph 242 wherein said fluid ejection apertures are grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of said rows in phases.
    • 257. A multiplicity of apparatuses as described in paragraph 256 wherein said apparatuses are utilized for ink jet printing.
    • 258. A multiplicity of apparatuses as described in paragraph 256 said nozzle chambers are further grouped into multiple ink colors and with each of said nozzles being supplied with a corresponding ink color.
    • 259. An apparatus as described in paragraph 242 wherein said fluid ejection apertures include a rim defined around an outer surface thereof.
    • 260. A method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of said nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism, said method comprising the steps of:
      • actuating said actuator to cause said moveable paddle to move in a first direction so as to eject drops from a first of said nozzle apertures; and
      • actuating said actuator to cause said moveable paddle to move in a second direction so as to eject drops from a second of said nozzle apertures.
    • 261. A method as described in paragraph 256 wherein an array of nozzle chambers are arranged in a pagewidth print head and the moveable paddles of each nozzle chamber are driven in phase.
    • 262. An apparatus for ejecting fluids from a nozzle chamber comprising: a nozzle chamber having at least two fluid ejection apertures defined in the walls of said chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of said fluid ejection apertures; and an actuator mechanism attached to said moveable paddle vane and adapted to move said paddle vane in a first direction so as to cause the ejection of fluid drops out of said first fluid ejection aperture and to further move said paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture.
    • 263. An apparatus as described in paragraph 262 further comprising:
      • a baffle located between said first and second fluid ejection apertures and wherein said paddle vane moving in said first direction causes an increase in pressure of said fluid in the volume adjacent said first aperture and a simultaneous decrease in pressure of said fluid in the volume adjacent said second aperture.
    • 264. An apparatus as described in paragraph 263 further comprising:
      • a deepened etched pit below said second fluid ejection aperture, said baffle and end portion of said moveable paddle vane.
    • 265. An apparatus as described in paragraph 262 further comprising:
      • a fluid supply channel connecting said nozzle chamber with a fluid supply for supplying fluid to said nozzle chamber and one surface of said paddle vane includes at least one protrusion, such that, during said movement of said paddle in at least one of said directions, said at least one protrusion mates with a rim of said fluid supply channel so as to restrict the flow of fluid into said fluid supply channel.
    • 266. An apparatus as described in paragraph 265 wherein said direction comprises said second alternative direction.
    • 267. An apparatus as described in paragraph 263 wherein said moveable paddle vane includes a lip on an end portion adjacent said baffle, said lip substantially abutting the surface of said baffle during operation of said moveable paddle vane.
    • 268. An apparatus as described in paragraph 262 wherein the walls of said chamber include at least one smaller aperture interconnecting the nozzle chamber with the ambient atmosphere and of such a dimension that, during normal operation of said paddle vane, the surface tension effects across said smaller aperture results in the meniscus across said smaller aperture remaining substantially close to said smaller aperture or within said nozzle chamber.
    • 269. An apparatus as described in paragraph 263 wherein said at least one smaller aperture(s) is substantially adjacent said first one of said fluid ejection apertures.
    • 270. An apparatus as described in paragraph 268 wherein whilst ink is ejected from said second fluid ejection aperture, the meniscus of said first fluid ejection aperture and said at least one smaller aperture are interconnected within said nozzle chamber.
    • 271. An apparatus as described in paragraph 263 wherein said baffle includes a wall surface having portions spaced at a substantially constant radius from the axis of said second fluid ejection aperture.
    • 272. An apparatus as described in paragraph 268 wherein said smaller aperture includes a ribbed rim around the outer surface thereof.
    • 273. An apparatus as described in paragraph 272 wherein each of said apertures includes a ribbed rim around the outer edge thereof.
    • 274. An apparatus as described in paragraph 262 wherein said actuator mechanism is interconnected with said moveable paddle vane through a slot in the wall of said nozzle chamber.
    • 275. An apparatus as described in paragraph 274 wherein said actuator mechanism includes at least one protruding portion for minimizing any wicking of said fluid along said actuator mechanism.
    • 276. An apparatus as described in paragraph 275 wherein said protrusion comprises a cusped rim on said actuator mechanism.
    • 277. An apparatus as described in paragraph 262 wherein said paddle vane moving in said second direction causes an increase in pressure of said fluid in the volume adjacent said second aperture and a simultaneous decrease in pressure of said fluid in the volume adjacent said first aperture.
    • 278. An apparatus as described in paragraph 262 wherein said actuator comprises a thermal actuator having at least two heater elements with a first of said elements being actuated to cause said paddle vane to move in a first direction and a second heater element being actuated to cause said paddle vane to move in a second direction.
    • 279. An apparatus as described in paragraph 278 wherein said heater elements have a high bend efficiency wherein said bend efficiency is defined as: bend efficiency = Young's Modulus x (Coefficient of Thermal Expansion)
      Density x Specific Heat Capacity
    • 280. An apparatus as described in paragraph 278 wherein said heater elements are arranged on opposite sides of a central arm, said central arm having a low thermal conductivity.
    • 281. An apparatus as described in paragraph 280 wherein said thermal actuator operates in an ambient atmosphere.
    • 282. An apparatus as described in paragraph 274 wherein said slot connects the internalponions of the nozzle chamber with an external ambient atmosphere and the external surface adjacent said slots comprises a planar or concave surface so as to reduce wicking.
    • 283. An apparatus as described in paragraph 280 wherein said central arm comprises substantially glass.
    • 284. A multiplicity of apparatuses as described in paragraph 262 wherein said fluid ejection apertures are grouped together spatially into spaced apart rows and fluid is ejected from the fluid ejection apertures of each of said rows in phases.
    • 285. A multiplicity of apparatuses as described in paragraph 262 wherein said apparatuses are utilized for ink jet printing.
    • 286. A multiplicity of apparatuses as described in paragraph 285 wherein said nozzle chambers are further grouped into multiple ink colors and with each of said nozzles being supplied with a corresponding ink color.
    • 287. An apparatus as described in any of paragraphs 262 to 286 1 to 22 wherein said actuator mechanism and said moveable paddle vane form an acute angle to one another.
    • 288. An apparatus as described in paragraph 268 wherein said heater element is constructed substantially from a copper nickel alloy.
    • 289. A method of ejecting drops of fluid from a nozzle chamber having at least two nozzle apertures defined in the wall of said nozzle chambers utilizing a moveable paddle vane attached to an actuator mechanism, said method comprising the steps of:
      • actuating said actuator to cause said moveable paddle to move in a first direction so as to eject drops from a first of said nozzle apertures; and
      • actuating said actuator to cause said moveable paddle to move in a second direction so as to eject drops from a second of said nozzle apertures.
    • 290. A method as described in paragraph 281 wherein an array of nozzle chambers are arranged in a pagewidth print head and the moveable paddles of each nozzle chamber are driven in phase.
    • 291. An ink jet printing nozzle arrangement including:
      • an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from said ink chamber;
      • a moveable paddle vane located within said ink chamber;
      • an actuator means adapted to move said paddle vane so as to cause ink within said ink chamber to be ejected from said ink ejection nozzle; and
      • wherein said paddle vane includes a concave surface in the area adjacent said ink ejection nozzle.
    • 292. An ink jet nozzle arrangement as described in paragraph 291 wherein said paddle vane includes a cup shaped surface in the area adjacent said ink ejection nozzle.
    • 293. An ink jet nozzle arrangement as described in paragraph 291 wherein said nozzle arrangement is formed utilizing normal micro-electro mechanical construction techniques and said concave surface is form as the result of the deposition of a film over a pit.
    • 294. An ink jet nozzle arrangement as described in any of paragraphs 291 to 293 wherein said actuator means includes an actuating portion located externally to said nozzle chamber and operational in an external ambient atmosphere of said arrangement.
    • 295. An ink jet nozzle arrangement as described in paragraph 294 wherein said ink chamber further includes a slot defined in a wall thereof and said actuator means communicates with said moveable paddle vane through said slot.
    • 296. An ink jet nozzle arrangement as described in any of paragraphs 291 to 295 wherein said actuator means comprises a thermal actuator.
    • 297. An ink jet nozzle arrangement as described in paragraph 296 wherein said actuator means includes a conductive heater element having a high bend efficiency.
    • 298. An ink jet nozzle arrangement as described in paragraph 297 wherein when an electric current is passed through said conductive heater element, said heater element undergoes thermal expansion causing said actuator means to move said paddle towards said ink ejection nozzle.
    • 299. An ink jet nozzle arrangement as described in paragraph 294 wherein the external surfaces of said slot are profiled so as to minimize any wicking of said ink out of said slot.
    • 300. An ink jet nozzle arrangement as described in paragraph 299 wherein said profile includes a surface having a protruding rim around said slot.
    • 301. An ink jet nozzle arrangement as described in paragraph 295 wherein said actuator means is shaped so as to minimize wicking of ink along said actuator means.
    • 302. An ink jet nozzle arrangement as described in any of paragraphs 291 to 301 wherein said paddle vane includes a slit in a surface thereof to assist in the refill flow of ink into said ink chamber.
    • 303. An ink jet nozzle arrangement comprising:
      • a nozzle chamber having an fluid ejection nozzle in one surface of said chamber;
      • a paddle vane located within said chamber, said paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of said chamber via said fluid ejection nozzle; and
      • a thermal actuator device located externally of said nozzle chamber and attached to said paddle vane said thermal actuator device including a plurality of separate spaced apart elongated thermal actuator units.
    • 304. An ink jet nozzle arrangement as described in paragraph 303 wherein said thermal actuator units are interconnected at a first end to a substrate and at a second end to a rigid strut member.
    • 305. An ink jet nozzle arrangement as described in paragraph 304 wherein said rigid strut member is interconnected to a lever arm having one end attached to said paddle vane.
    • 306. An ink jet nozzle arrangement as described in paragraph 304 wherein said thermal actuator units operate upon conductive heating along a conductive trace and said conductive heating includes the generation of a substantial portion of said heat in the area adjacent said first end.
    • 307. An ink jet nozzle arrangement as described in paragraph 306 wherein said conductive heating includes a thinned cross-section adjacent said first end.
    • 308. An ink jet nozzle arrangement as described in any of paragraphs 303 to 307 wherein the conductive heating layers of said thermal actuator units comprise substantially either a copper nickel alloy or titanium nitride.
    • 309. An ink jet nozzle arrangement as described in any of paragraphs 303 to 308 wherein said paddle is constructed from a similar conductive material to portions of said thermal actuator units however is conductively insulated therefrom.
    • 310. An ink jet nozzle arrangement as described in any of paragraphs 303 to 309 wherein said thermal actuator units are constructed from multiple layers utilizing a single mask to etch the said multiple layers.
    • 311. An ink jet nozzle arrangement as described in any of paragraphs 303 to 310 wherein said nozzle chamber includes an actuator access port in a second surface of said chamber.
    • 312. An ink jet nozzle arrangement as described in paragraph 311 wherein said access port comprises a slot in a corner of said chamber and said actuator is able to move in an arc through said slot.
    • 313. An ink jet nozzle arrangement as described in paragraph 312 wherein said actuator includes an end portion which mates substantially with a wall of said chamber at substantially right angles to said paddle vane.
    • 314. An ink jet nozzle arrangement as described in any of paragraphs 303 to 313 wherein said paddle vane includes a depressed portion substantially opposite said fluid ejection port.
    • 314a. A thermal actuator including a series of lever arms attached at one end to a substrate, said thermal actuator being operational as a result of conductive heating of a conductive trace, said conductive trace including a thinned cross-section substantially adjacent said attachment to said substrate.
    • 315. An ink jet nozzle arrangement comprising:
      • a nozzle chamber having an fluid ejection nozzle in one surface of said chamber;
      • a paddle vane located within said chamber, said paddle vane being adapted to be actuated by an actuator device for the ejection of fluid out of said chamber via said fluid ejection nozzle;
      • a thermal actuator device located externally of said nozzle chamber and attached to said paddle vane.
    • 316. An ink jet nozzle arrangement as described in paragraph 315 wherein said thermal actuator device includes a lever arm having one end attached to said paddle vane and a second end attached to a substrate.
    • 317. An ink jet nozzle arrangement as described in paragraph 316 wherein said thermal actuator operates upon conductive heating along a conductive trace and said conductive heating includes the generation of a substantial portion of said heat in the area adjacent said second end.
    • 318. An ink jet nozzle arrangement as described in paragraph 317 wherein said conductive heating includes a thinned cross-section adjacent said second end.
    • 319. An ink jet nozzle arrangement as described in any of paragraphs 315 to 318 wherein said thermal actuator includes a first and second layer of a material having similar thermal properties such that, upon cooling after deposition of said layers, said two layers act against one another so as to maintain said actuator substantially in a predetermined position.
    • 320. An ink jet nozzle arrangement as described in paragraph 319 wherein said layers comprises substantially either a copper nickel alloy or titanium nitride.
    • 321. An ink jet nozzle arrangement as described in any of paragraphs 315 to 320 wherein said paddle is constructed from a similar conductive material to portions of said thermal actuator however is conductively insulated therefrom.
    • 322. An ink jet nozzle arrangement as described in any of paragraphs 315 to 321 wherein said thermal actuator is constructed from multiple layers utilizing a single mask to etch the said multiple layers.
    • 323. An ink jet nozzle arrangement as described in any of paragraphs 315 to 322 wherein said nozzle chamber includes an actuator access port in a second surface of said chamber.
    • 324. An ink jet nozzle arrangement as described in paragraph 323 wherein said access port comprises a slot in a corner of said chamber and said actuator is able to move in an arc through said slot.
    • 325. An ink jet nozzle arrangement as described in paragraph 324 wherein said actuator includes an end portion which mates substantially with a wall of said chamber at substantially right angles to said paddle vane.
    • 326. An ink jet nozzle arrangement as described in any of paragraphs 315 to 325 wherein said paddle vane includes a depressed portion substantially opposite said fluid ejection port.
    • 327. A thermal actuator device including two layers of material having similar thermal properties such that upon cooling after deposition of said layers, said two layers act against one another so as to maintain said actuator substantially in a predetermined position.
    • 328. A thermal actuator including a lever arm attached at one end to a substrate, said thermal actuator being operational as a result of conductive heating of a conductive trace, said conductive trace including a thinned cross-section substantially adjacent said attachment to said substrate.
    • 329. An ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, said arrangement comprising:
      • a nozzle chamber for the storage of ink to be ejected;
      • an ink ejection nozzle having a rim formed on one wall of said chamber; and
      • a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of said nozzle chamber adjacent said rim, said actuator paddles further being actuated in unison so as to eject ink from said nozzle chamber via said ink ejection nozzle.
    • 330. An ink jet nozzle arrangement as described in paragraph 329 wherein said acutator paddles include a surface which bends inwards towards the centre of said nozzle chamber upon actuation.
    • 331. An ink jet nozzle arrangement as described in any of paragraphs 329 to 330 wherein said actuator paddles are actuated by means of a thermal actuator device.
    • 332. An ink jet nozzle arrangement as described in paragraph 331 wherein said thermal actuator device comprises a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion.
    • 333. An ink jet nozzle arrangement as described in paragraph 332 wherein said element is serpentine shaped to allow for substantially unhindered expansion of said second material.
    • 334. An ink jet nozzle arrangement as described in any of paragraphs 329 to 333 wherein said actuator paddles are arranged radially around said nozzle rim.
    • 335. An ink jet nozzle arrangement as described in paragraph 334 wherein said actuator paddles form a membrane between said nozzle chamber and an external atmosphere of said arrangement and said paddles bend away from said external atmosphere so as to cause an increase in pressure within said nozzle chamber thereby initiating a consequential ejection of ink from said nozzle chamber.
    • 336. An ink jet nozzle arrangement as described in paragraph 335 wherein said paddle actuators bend towards a central axis of said ejection nozzle.
    • 337. An ink jet nozzle arrangement as described in any of paragraphs 329 to 336 wherein said arrangement is formed on a wafer utilizing micro-electro mechanical techniques and further comprises an ink supply channel interconnected to said nozzle chamber, said ink supply channel being etched through said wafer.
    • 338. An ink jet nozzle arrangement as described in any of paragraphs 329 to 337 wherein said ink ejection nozzle is supported by a series of struts and said actuator paddles are further interconnected to said nozzle rim and said struts further include a conductive power rail for supplying power to said actuator paddles.
    • 339. An ink jet nozzle arrangement as described in paragraph 329 wherein said arrangement is formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
    • 340. An ink jet nozzle arrangement for the ejection from a nozzle chamber out of an ink ejection nozzle, said arrangement comprising:
      • a nozzle chamber for the storage of ink to be ejected;
      • an ink ejection nozzle having a rim formed on one wall of said chamber; and
      • a series of actuator paddles attached to the nozzle rim, and forming a portion of the wall of said nozzle chamber adjacent said rim, said actuator paddles further being actuated in unison so as to eject ink from said nozzle chamber via said ink ejection nozzle.
    • 341. An ink jet nozzle arrangement as described in paragraph 340 wherein said acutator paddles include a surface which bends inwards towards the centre of said nozzle chamber upon actuation.
    • 342. An ink jet nozzle arrangement as described in any of paragraphs 340 to 341 wherein said actuator paddles are actuated by means of a thermal actuator device.
    • 343. An ink jet nozzle arrangement as described in paragraph 342 wherein said thermal actuator device comprises a conductive resistive heating element encased within a second material having a high coefficient of thermal expansion.
    • 344. An ink jet nozzle arrangement as described in paragraph 343 wherein said element is serpentine shaped to allow for substantially unhindered expansion of said second material.
    • 345. An ink jet nozzle arrangement as described in any of paragraphs 340 to 344 wherein said actuator paddles are arranged radially around said nozzle rim.
    • 346. An ink jet nozzle arrangement as described in paragraph 345 wherein said actuator paddles form a membrane between said nozzle chamber and an external atmosphere of said arrangement and said paddles bend away from said external atmosphere so as to cause an increase in pressure within said nozzle chamber thereby initiating a consequential ejection of ink from said nozzle chamber.
    • 347. An ink jet nozzle arrangement as described in paragraph 346 wherein said paddle actuators bend towards a central axis of said ejection nozzle.
    • 348. An ink jet nozzle arrangement as described in any of paragraphs 340 to 347 wherein said arrangement is formed on a wafer utilizing micro-electro mechanical techniques and further comprises an ink supply channel interconnected to said nozzle chamber, said ink supply channel being etched through said wafer.
    • 349. An ink jet nozzle arrangement as described in any of paragraphs 340 to 348 wherein said ink ejection nozzle is supported by a series of struts and said actuator paddles are further interconnected to said nozzle rim and said struts further include a conductive power rail for supplying power to said actuator paddles.
    • 350. An ink jet nozzle arrangement as described in paragraph 340 wherein said arrangement is formed adjacent to neighbouring arrangements so as to form a pagewidth print head.
    • 351. An ink jet nozzle arrangement comprising: a nozzle chamber for storage of ink to be ejected from an ink ejection nozzle formed in one wall of the nozzle chamber; and a moveable paddle actuator mechanism formed in a first wall of said nozzle chamber, one end of said paddle actuator traversing along a second wall of said nozzle chamber, said second wall being substantially perpendicular to said first wall; said one end further including a flange including a surface abutting said second wall, said movable paddle actuator mechanism being operable to cause the ejection of ink from said ink ejection nozzle with said flange moving substantially tangentially to said second wall.
    • 352. An ink jet nozzle arrangement as described in paragraph 351 further comprising an ink supply channel interconnected to said nozzle chamber for the resupply of ink to said nozzle chamber, said interconnection comprising a slot in a wall of said chamber, said slot being substantially opposite an end of said flange.
    • 353. An ink jet nozzle arrangement as described in paragraph 352 wherein said slot is arranged in a corner of a third wall of said chamber and wherein said second wall of said chamber furtherforms one wall of said ink supply channel.
    • 354. An ink jet nozzle arrangement as described in paragraph 352 wherein said arrangement is formed on a silicon wafer.
    • 355. An ink jet nozzle arrangement as described in paragraph 354 wherein said ink supply channel is formed by back etching a back surface of said wafer.
    • 356. An ink jet nozzle arrangement as described in paragraph 355 wherein said back etching comprises a plasma etching of said back surface.
    • 357. An ink jet nozzle arrangement as described in paragraph 352 wherein said moveable paddle actuator, in being actuated to eject a drop of ink, said paddle actuator constricts the flow of ink into said nozzle chamber
    • 358. An ink jet nozzle arrangement as described in paragraph 351 wherein said arrangement further includes a slot around a substantial portion of said moveable paddle actuator, said slot interconnecting said nozzle chamber with an external ambient atmosphere, said slot being dimensioned to provide for fluid movement during operation of said moveable paddle actuator mechanism whilst not allowing for the ejection of fluid out of said nozzle chamber.
    • 359. An ink jet nozzle arrangement as described in paragraph 351 wherein said movable paddle actuator mechanism includes a thermal actuator for actuation of said mechanism on demand.
    • 360. An ink jet nozzle arrangement as described in paragraph 359 wherein said thermal actuator comprise a conductive heater layer between layers of a substantially non-conductive material having a high coefficient of thermal expansion.
    • 361. An ink jet nozzle arrangement as described in paragraph 360 wherein said conductive heater layer is arranged in a serpentine form so that, on conductive heating of said conductive heater layer, said conductive heater layer concertinas so as to allow for substantially unhindered expansion of said substantially non-conductive material.
    • 362. An ink jet nozzle arrangement as described in paragraph 360 wherein said substantially non-conductive material comprises substantially polytetrafluroethylene.
    • 363. An ink jet nozzle arrangement as described in paragraph 354 wherein said silicon wafer is initially processed utilizing a CMOS processing system so as to form the electrical circuitry required to operate said ink jet nozzle arrangement on said silicon wafer.
    • 364. A method of manufacturing a planar thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 365. A method as described in paragraph 364 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 366. A method as described in paragraph 365 wherein said substrate is a silicon wafer.
    • 367. A method as described in paragraph 364 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 368. A method as described in paragraph 364 wherein integrated drive electronics are formed on the same substrate.
    • 369. A method as described in paragraph 368 wherein said integrated drive electronics comprise a CMOS process.
    • 370. A method of manufacturing a pump action ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
      • (b) etching a nozzle chamber aperture in said electrical circuitry layer interconnected with a nozzle chamber in said semiconductor wafer;
      • (c) depositing a first sacrificial layer filling said nozzle chamber;
      • (d) depositing a first expansion layer of material having a high coefficient of thermal expansion over said nozzle chamber;
      • (e) depositing and etching a conductive material layer on said first layer to form at least two conductive heater elements over said first expansion layer, said heater elements being conductively interconnected to said electrical circuitry layer;
      • (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over at least said conductive material layer, said etching including etching at least two leaf portions over said nozzle chamber;
      • (g) back etching said wafer to said epitaxial layer;
      • (h) etching a nozzle aperture in said epitaxial layer; and
      • (o) etching away said sacrificial layers.
    • 378. A method as described in paragraph 377 wherein said epitaxial layer is utilized as an etch stop in said step (b).
    • 379. A method as described in paragraph 377 wherein said step (b) comprises a crystallographic etch of said wafer.
    • 380. A method as described in paragraph 377 wherein said conductive layers comprise substantially gold.
    • 381. A method as described in paragraph 377 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 382. A method as described in paragraph 377 wherein said wafer comprises a double side polished CMOS wafer.
    • 383. A method as described in paragraph 377 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 384. A method of manufacturing a pulsed magnetic field ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 385. A method as described in paragraph 384 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 386. A method as described in paragraph 385 wherein said substrate is a silicon wafer.
    • 387. A method as described in paragraph 384 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 388. A method as described in paragraph 384 wherein integrated drive electronics are formed on the same substrate.
    • 389. A method as described in paragraph 388 wherein said integrated drive electronics comprise a CMOS process.
    • 390. A method as described in paragraph 384 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 391. A method of manufacturing polytetrafluroethylene surface shooting shuttered oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 392. A method as described in paragraph 391 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 393. A method as described in paragraph 392 wherein said substrate is a silicon wafer.
    • 394. A method as described in paragraph 391 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 395. A method as described in paragraph 391 wherein integrated drive electronics are formed on the same substrate.
    • 396. A method as described in paragraph 395 wherein said integrated drive electronics comprise a CMOS process.
    • 397. A method as described in paragraph 391 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 398. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching a nozzle inlet hole in said electrical circuitry layer;
      • (c) depositing and etching a first sacrificial material layer over said electrical circuitry layer including filling said nozzle inlet hole, said etching including etching an actuator anchor area in said first sacrificial material layer;
      • (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, said etching including etching predetermined vias in said first expansion material layer;
      • (e) depositing and etching a first conductive layer on said first expansion material layer, said first conductive material layer being conductively interconnected to said electrical circuitry layer via said vias;
      • (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, said etching including forming a moveable paddle element from the combination of said first and second expansion material layers and said first conductive layer;
      • (g) depositing and etching a second sacrificial material layer, said etching forming a nozzle chamber mould;
      • (h) depositing and etching an inert material layer over said sacrificial material layer so as to form a nozzle chamber around said moveable paddle, said etching including etching a nozzle ejection aperture in said inert material layer;
      • (i) etching an ink supply channel through said wafer; and (etching away said sacrificial layers.
    • 399. A method as described in paragraph 398 wherein said step (h) includes etching a series of small holes in said inert material layer.
    • 400. A method as described in paragraph 398 wherein said first and second expansion material layers comprise substantially polytetrafluroethylene.
    • 401. A method as described in paragraph 398 wherein said inert material layer comprises substantially silicon nitride.
    • 402. A method as described in paragraph 398 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer.
    • 403. A method as described in paragraph 398 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 404. A method as described in paragraph 398 wherein said wafer comprises a double side polished CMOS wafer.
    • 405. A method as described in paragraph 398 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 406. A method of manufacturing a buckle grill oscillating pressure ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 407. A method as described in paragraph 406 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 408. A method as described in paragraph 407 wherein said substrate is a silicon wafer.
    • 409. A method as described in paragraph 406 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 410. A method as described in paragraph 406 wherein integrated drive electronics are formed on the same substrate.
    • 411. A method as described in paragraph 410 wherein said integrated drive electronics comprise a CMOS process.
    • 412. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching at least one nozzle shutter ink inlet hole in said electrical circuitry layer;
      • (c) depositing and etching a first sacrificial material layer over said electrical circuitry layer including filling said at least one nozzle shutter ink inlet hole, said etching including etching an actuator anchor area in said first sacrificial material layer;
      • (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, said etching including etching predetermined vias in said first expansion material layer;
      • (e) depositing and etching a first conductive layer on said first expansion material layer, said first conductive material layer being conductively interconnected to said electrical circuitry layer via said vias;
      • (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, said etching including fonning a shutter element over said at least one nozzle shutter ink inlet hole from the combination of said first and second expansion material layers and said first conductive layer, said shutter element being anchored by said conductive interconnection;
      • (g) depositing and etching a second sacrificial material layer, said etching fonning a nozzle chamber mould;
      • (h) depositing and etching an inert material layer over said sacrificial material layer so as to form a nozzle chamber around said moveable paddle, said etching including etching a nozzle ejection aperture in said inert material layer;
      • (i) etching an ink supply channel through said wafer; and
      • (j) etching away said sacrificial layers.
    • 413. A method as described in paragraph 412 wherein said step (h) includes etching a series of small holes in said inert material layer.
    • 414. A method as described in paragraph 412 wherein said first and second expansion material layers comprise substantially polytetrafluroethylene.
    • 415. A method as described in paragraph 412 wherein said inert material layer comprises substantially silicon nitride.
    • 416. A method as described in paragraph 412 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer.
    • 417. A method as described in paragraph 412 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 418. A method as described in paragraph 412 wherein said wafer comprises a double side polished CMOS wafer.
    • 419. A method as described in paragraph 412 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 420. A method of manufacturing shutter based ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 421. A method as described in paragraph 420 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 422. A method as described in paragraph 421 wherein said substrate is a silicon wafer.
    • 423. A method as described in paragraph 420 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 424. A method as described in paragraph 420 wherein integrated drive electronics are formed on the same substrate.
    • 425. A method as described in paragraph 424 wherein said integrated drive electronics compiise a CMOS process.
    • 426. A method as described in paragraph 420 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 427. A method of manufacturing a curling calyx thermoelastic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 428. A method as described in paragraph 427 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 429. A method as described in paragraph 428 wherein said substrate is a silicon wafer.
    • 430. A method as described in paragraph 427 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 431. A method as described in paragraph 427 wherein integrated drive electronics are formed on the same substrate.
    • 432. A method as described in paragraph 431 wherein said integrated drive electronics comprise a CMOS process.
    • 433. A method as described in paragraph 427 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 434. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching a nozzle ink inlet hole in said electrical circuitry layer in addition to a series of vias at predetermined positions interconnecting with said electrical circuitry;
      • (c) depositing and etching a first sacrificial material layer over said electrical circuitry layer including filling said nozzle ink inlet hole, said etching including etching an actuator anchor area in said first sacrificial material layer located around said vias;
      • (d) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, said etching including etching predetermined vias in said first expansion material layer;
      • (e) depositing and etching a first conductive layer on said first expansion material layer, said first conductive material layer being conductively interconnected to said electrical circuitry layer via said vias;
      • (f) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, said etching including forming a disk centered substantially around said vias from the combination of said first and second expansion material layers and said first conductive layer;
      • (g) depositing and etching a second sacrificial material layer, said etching forming a nozzle chamber mould;
      • (h) depositing and etching an inert material layer over said sacrificial material layer so as to form a nozzle chamber around said moveable paddle, said etching including etching a nozzle ejection aperture in said inert material layer;
      • (i) etching an ink supply channel through said wafer; and
      • (j)etching away said sacrificial layers.
    • 435. A method as described in paragraph 434 wherein said disk includes a series of radial slots.
    • 436. A method as described in paragraph 434 wherein said step (h) includes etching a series of small holes in said inert material layer.
    • 437. A method as described in paragraph 434 wherein said mould for said nozzle chamber includes a lip around the circumference of said disk.
    • 438. A method as described in paragraph 434 wherein saidfirst and second expansion material layers comprise substantially polytetrafluroethylene.
    • 439. A method as described in paragraph 434 wherein said inert material layer comprises substantially silicon nitride.
    • 440. A method as described in paragraph 434 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer.
    • 441. A method as described in paragraph 434 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 442. A method as described in paragraph 434 wherein said wafer comprises a double side polished CMOS wafer.
    • 443. A method as described in paragraph 434 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 444. A method of a thermal actuated ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 445. A method as described in paragraph 444 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 446. A method as described in paragraph 445 wherein said substrate is a silicon wafer.
    • 447. A method as described in paragraph 444 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 448. A method as described in paragraph 444 wherein integrated drive electronics are formed on the same substrate.
    • 449. A method as described in paragraph 448 wherein said integrated drive electronics comprise a CMOS process.
    • 450. A method as described in paragraph 444 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 451. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
      • (b) etching a nozzle chamber cavity in said wafer said etching stopping substantially at said epitaxial layer;
      • (c) deposing and etching a first sacrificial material layer including vias for electrical interconnection of said electrical circuitry layer with subsequent layers;
      • (d) depositing a first expansion layer of material having a high coefficient of thermal expansion over said nozzle chamber;
      • (e) depositing and etching a conductive material layer on said first expansion layer so as to form a heater element conductively interconnected to said electrical circuitry layer;
      • (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over said conductive material layer, said etching including etching at least two leaf portions over said nozzle chamber;
      • (g) back etching said wafer to said epitaxial layer;
      • (h) etching a nozzle aperture in said epitaxial layer; and
      • (o) etching away said sacrificial layers.
    • 452. A method as described in paragraph 451 wherein said epitaxial layer is utilized as an etch stop in said step (b).
    • 453. A method as described in paragraph 451 wherein said step (b) comprises a plasma etch of said wafer.
    • 454. A method as described in paragraph 451 wherein said conductive layers comprise substantially gold.
    • 455. A method as described in paragraph 451 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • *456. A method as described in paragraph 451 wherein said wafer comprises a double side polished CMOS wafer.
    • 457. A method as described in paragraph 451 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 458. A method of an iris motion ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 459. A method as described in paragraph 458 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 460. A method as described in paragraph 459 wherein said substrate is a silicon wafer.
    • 461. A method as described in paragraph 458 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 462. A method as described in paragraph 458 wherein integrated drive electronics are formed on the same substrate.
    • 463. A method as described in paragraph 462 wherein said integrated drive electronics comprise a CMOS process.
    • 464. A method as described in paragraph 458 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 465. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) depositing and etching a first sacrificial material layer over said electrical circuitry layer including, said etching including etching holes for nozzle chamber posts and actuator anchor points in said first sacrificial material layer located around said vias;
      • (c) depositing and etching a first expansion material layer of a material having a high coefficient of thermal expansion, said etching including etching predetermined vias in said first expansion material layer;
      • (d) depositing and etching a first conductive layer on said first expansion material layer, said first conductive material layer being conductively interconnected to said electrical circuitry layer via said vias;
      • (e) depositing and etching a second expansion material layer of a material having a high coefficient of thermal expansion, said etching including forming a thermal actuator from a combination of said first and second expansion material layers and said first conductive layer;
      • (f) depositing and etching a second sacrificial material layer, said etching forming a mould for a series of nozzle chamber posts and a series of vane elements;
      • (g) depositing and etching a first inert material layer filling said mould;
      • (h) depositing and etching a third sacrificial layer over said second sacrificial layer and said inert material layer, said etching including etching a mould for interconnection of nozzle chamber walls with said series of nozzle chamber posts;
      • (i) depositing and etching a second inert material layer to form said nozzle chamber, said etching an ink ejection nozzle in said second inert material layer;
      • (j) etching an ink supply channel through said wafer interconnecting with said nozzle chamber; and
      • (k) etching away said sacrificial layers.
    • 466. A method as described in paragraph 465 wherein said vane elements are arranged around said ink ejection nozzle.
    • 467. A method as described in paragraph 465 wherein said step (i) includes etching a series of small holes in said inert material layer.
    • 468. A method as described in paragraph 465 wherein said first and second expansion material layers comprise substantially polytetrafluroethylene.
    • 469. A method as described in paragraph 465 wherein said inert material layer comprises substantially glass.
    • 470. A method as described in paragraph 465 wherein said ink supply channel is formed by etching a channel from the back surface of said wafer.
    • 471. A method as described in paragraph 465 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 472. A method as described in paragraph 465 wherein said wafer comprises a double side polished CMOS wafer.
    • 473. A method as described in paragraph 465 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 474. A method of manufacturing a direct firing thermal bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 475. A method as described in paragraph 474 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 476. A method as described in paragraph 475 wherein said substrate is a silicon wafer.
    • 477. A method as described in paragraph 474 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 478. A method as described in paragraph 474 wherein integrated drive electronics are formed on the same substrate.
    • 479. A method as described in paragraph 478 wherein said integrated drive electronics comprise a CMOS process.
    • 480. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer and a buried epitaxial layer formed thereon on;
      • (b) etching a nozzle chamber aperture in said electrical circuitry layer interconnected with a nozzle chamber in said semiconductor wafer;
      • (c) depositing a first sacrificial layer filling said nozzle chamber;
      • (d) depositing and etching a first expansion layer of material having a high coefficient of thermal expansion over said nozzle chamber;
      • (e) depositing and etching a conductive material layer on said first layer to form a conductive heater element over said first expansion layer, said heater element being conductively interconnected to said electrical circuitry layer;
      • (f) depositing and etching a second expansion layer of material having a high coefficient of thermal expansion over at least said conductive material layer, said etching including etching a leaf portion over said nozzle chamber;
      • (g) back etching said wafer to said epitaxial layer;
      • (h) etching a nozzle aperture in said epitaxial layer; and
      • (o) etching away said sacrificial layers.
    • 481. A method as described in paragraph 480 wherein said step (c) further comprises etching said first expansion layer of material so that it has an undulating surface.
    • 482. A method as described in paragraph 481 wherein said step (d) includes retaining said undulating surface in said conductive heater element.
    • 483. A method as described in paragraph 480 wherein said epitaxial layer is utilized as an etch stop in said step (b).
    • 484. A method as described in paragraph 480 wherein said step (b) comprises a crystallographic etch of said wafer.
    • 485. A method as described in paragraph 480 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 486. A method as described in paragraph 480 wherein said wafer comprises a double side polished CMOS wafer.
    • 487. A method as described in paragraph 480 wherein said expansion layers comprise substantially polytetrafluroethylene.
    • 488. A method as described in paragraph 487 wherein said second expansion layer is plasma processed so as to increase its hydrophilic properties.
    • 489. A method as described in paragraph 480 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 490. A method of manufacturing a conductive polytetrafluroethylene bend actuator vented ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 491. A method as described in paragraph 490 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 492. A method as described in paragraph 491 wherein said substrate is a silicon wafer.
    • 493. A method as described in paragraph 490 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 494. A method as described in paragraph 490 wherein integrated drive electronics are formed on the same substrate.
    • 495. A method as described in paragraph 494 wherein said integrated drive electronics comprise a CMOS process.
    • 496. A method as described in paragraph 490 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 497. A method of manufacturing a buckle plate ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 498. A method as described in paragraph 497 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 499. A method as described in paragraph 498 wherein said substrate is a silicon wafer.
    • 500. A method as described in paragraph 497 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 501. A method as described in paragraph 497 wherein integrated drive electronics are formed on the same substrate.
    • 502. A method as described in paragraph 501 wherein said integrated drive electronics comprise a CMOS process.
    • 503. A method as described in paragraph 497 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 504. A method of manufacturing a thermoelastic rotary impeller ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 505. A method as described in paragraph 504 wherein multiple ink jet heads are formed simultaneously onA single planar substrate.
    • 506. A method as described in paragraph 505 wherein said substrate is a silicon wafer.
    • 507. A method as described in paragraph 504 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 508. A method as described in paragraph 504 wherein integrated drive electronics are formed on the same substrate.
    • 509. A method as described in paragraph 508 wherein said integrated drive electronics comprise a CMOS process.
    • 510. A method as described in paragraph 504 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 511. A method of a thermoelastic bend actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 512. A method as described in paragraph 511 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 513. A method as described in paragraph 512 wherein said substrate is a silicon wafer.
    • 514. A method as described in paragraph 511 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 515. A method as described in paragraph 511 wherein integrated drive electronics are formed on the same substrate.
    • 516. A method as described in paragraph 515 wherein said integrated drive electronics comprise a CMOS process.
    • 517. A method as described in paragraph 511 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 518. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching a series of vias in said wafer at predetermined positions interconnecting with said electrical circuit
    • 526. A method as described in paragraph 518 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 527. A method of manufacturing an ink jet print head including a thermally actuated ejection paddle wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 528. A method as described in paragraph 527 wherein said said thermally actuated ejection paddle includes a heater element encased within a material having a high coefficient of thermal expansion whereby said actuator operates by means of electrical heating by said heater element of said thermal actuator wherein said heater element has aconugated structure so as to improve the thermal distribution of heat from said heater element to said actuation material so as to thereby increase the speed of actuation of said thermal actuator.
    • 529. A method as described in paragraph 527 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 530. A method as described in paragraph 529 wherein said substrate is a silicon wafer.
    • 531. A method as described in paragraph 527 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 532. A method as described in paragraph 527 wherein integrated drive electronics are formed on the same substrate.
    • 533. A method as described in paragraph 531 wherein said integrated drive electronics comprise a CMOS process.
    • 534. A method as described in paragraph 527 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 535. A method as described in paragraph 527 wherein said ink jet print head is constructed by the depositing of multiple layers on a single substrate utilizing sacrificial material where necessary and subsequently etching away said sacrificial material so as to release said nozzle structure.
    • 536. A method of manufacturing a bend actuator direct ink supply print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 537. A method as described in paragraph 536 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 538. A method as described in paragraph 537 wherein said substrate is a silicon wafer.
    • 539. A method as described in paragraph 536 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 540. A method as described in paragraph 536 wherein integrated drive electronics are formed on the same substrate.
    • 541. A method as described in paragraph 540 wherein said integrated drive electronics comprise a CMOS process.
    • 542. A method as described in paragraph 536 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 543. A method of manufacture of a thermally actuated ink jet printer which ejects ink via the utilization of a thermal actuator device comprising the steps of:
      • (a) initially providing a silicon and circuitry wafer layer including electrical circuitry necessary for the operation of the thermal actuators on demand;
      • (b) depositing a first sacrificial layer on top of the silicon and circuitry wafer layer;
      • (c) forming a series of heater structure layers comprising said thermal actuator on top of said first sacrificial layer;
      • (d) depositing a second sacrificial layer on top of said heater structure layers, said second sacrificial layer including suitably etched portions for the forming of a nozzle chamber;
      • (e) depositing a nozzle chamber layer fonning a nozzle chamber of said ink jet printer having an ink ejection port defined therein on top of said second sacrificial layer;
      • (f) back etching the silicon wafer layer to form an ink supply channel in a region underneath a moveable end of said thermal actuator; and
      • (g) etching said first and second sacrificial layer in addition to relevant portions of said circuitry layer, if any, so as to release said thermal actuator layers to provide for an operational ink jet printer nozzle supplied via said ink supply channel.
    • 544. A method as described in paragraph 543 wherein multiple ink jet nozzles are formed on a single wafer and wherein said back etching includes fonning a single ink supply channel supplying multiple different nozzle chambers.
    • 545. A method as described in paragraph 543 wherein said ink supply channel abuts a nitride wall of said nozzle chamber said nitride wall dividing the ink supply channel into multiple supply channels.
    • 546. A method as described in paragraph 543 wherein said heater structure layers are formed by the steps of:
      • (a) depositing a first expansive material layer on top of said first sacrificial layer;
      • (b) depositing a conductive heater layer on to of said first expansive material layer; and
      • (c) depositing a second expansive material layer on top of said conductive heater layer.
    • 547. A method as described in paragraph 546 wherein said conductive heater layer is formed by the steps of:
      • (a) forming relevant trenches within said first expansive material layer;
      • (b) depositing a conductive material over substantially the whole of said first expansive material layer;
      • (c) chemical mechanical planarizing said conductive material to leave said conductive heater layer.
    • 548. A method as described in paragraph 547 wherein said conducive heater layer is formed from substantially gold.
    • 549. A method as described in paragraph 543 wherein said circuitry layer includes metal conductive lines which are utilized to from a barrier to protect other portions of said circuitry layer from unwarranted etching by any sacrificial etchant utilized in etching of said sacrificial layer.
    • 550. A method as described in paragraph 543 wherein said back etching step utilizes a deep silicon trench etching of said silicon wafer.
    • 551. A method as described in paragraph 543 wherein said silicon and circuitry layer is first passivated by the deposition of a passivation material on top of said layer.
    • 552. A method as described in paragraph 543 wherein said nozzle chamber layer includes a series of small etchant holes utilized in the etching of said sacrificial layers.
    • 553. A method as described in paragraph 543 wherein said nozzle chamber layer comprises substantially Silicon Nitride.
    • 554. A method as described in paragraph 543 wherein said nozzle chamber layer includes portions formed directly on said heater structure layers which act to firmly clamp said heater structure layers to lower layers.
    • 555. A method as described in paragraph 543 wherein said wafer comprises a double side polished CMOS wafer.
    • 556. A method as described in paragraph 543 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 557. A method of manufacturing a high young's modulus thermoelastic ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 558. A method as described in paragraph 557 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 559. A method as described in paragraph 558 wherein said substrate is a silicon wafer.
    • 560. A method as described in paragraph 557 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 561. A method as described in paragraph 557 wherein integrated drive electronics are formed on the same substrate.
    • 562. A method as described in paragraph 561 wherein said integrated drive electronics comprise a CMOS process.
    • 563. A method as described in paragraph 557 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 564. A method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, wherein said device has a high young's modulus comprising the steps of:
      • (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand;
      • (b) depositing a first sacrificial layer on top of the silicon and circuitry wafer layer and etching said first sacrificial layer in an area defining a first portion of a nozzle chamber wall;
      • (c) depositing a first heater material layer having a high young's modulus and forming a first layer of the thermal actuator and a portion of said nozzle chamber wall;
      • (d) depositing a second heater material layer being conductive and being provided for the heating of said first material layer and further having a portion interconnected to said circuitry wafer layer for heating said second heater material;
      • (e) depositing a second sacrificial layer and etching said second sacrificial layer for the construction of said nozzle chamber walls;
      • (f) depositing a nozzle wall material layer form the walls of said nozzle chamber and etching said nozzle wall material layer to define a nozzle hole for the ejection of ink;
      • (g) etching away said sacrificial layers to release said thermal actuator;
    • 565. A method as described in paragraph 564 further comprising the step of etching an ink supply channel through said wafer for the supply of ink to said nozzle chamber.
    • 566. A method as described in paragraph 564 wherein said second material heater layer comprises titanium diboride.
    • 567. A method as described in paragraph 564 wherein said first material heater layer comprises substantially glass.
    • 568. A method as described in paragraph 564 wherein said sacrificial material comprises substantially aluminium.
    • 569. A method as described in paragraph 564 wherein said nozzle chamber walls are constructed substantially from glass.
    • 570. A method as described in paragraph 564 wherein said nozzle chamber walls include a thin membrane utilized by said thermal actuator as a pivot point.
    • 571. A method as described in paragraph 564 wherein said nozzle wall material layer includes a series of small etchant holes for assisting in the etching of said sacrificial layers.
    • 572. A method as described in paragraph 564 wherein said wafer comprises a double side polished CMOS wafer.
    • 573. A method as described in paragraph 564 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 574. A method of manufacturing a thermally actuated slotted chamber wall ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 575. A method as described in paragraph 574 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 576. A method as described in paragraph 575 wherein said substrate is a silicon wafer.
    • 577. A method as described in paragraph 574 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 578. A method as described in paragraph 574 wherein integrated drive electronics are formed on the same substrate.
    • 579. A method as described in paragraph 578 wherein said integrated drive electronics comprise a CMOS process.
    • 580. A method as described in paragraph 574 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 581. A method of manufacture of an ink jet print head having a thermal actuator comprising an external coil spring wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 582. A method as described in paragraph 581 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 583. A method as described in paragraph 582 wherein said substrate is a silicon wafer.
    • 584. A method as described in paragraph 581 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 585. A method as described in paragraph 581 wherein integrated drive electronics are formed on the same substrate.
    • 586. A method as described in paragraph 585 wherein said integrated drive electronics comprise a CMOS process.
    • 587. A method as described in paragraph 581 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 588. A method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of:
      • (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand;
      • (b) etching an ink inlet aperture in said circuitry wafer layer;
      • (c) depositing and etching a first sacrificial layer on top of the silicon and circuitry wafer layer and etching said first sacrificial layer in an area defining a first portion of a nozzle chamber wall, a thermal actuator anchor and a thermal actuator end point;
      • (d) depositing and etching a first inert material layer in defining a first actuator path starting at said thermal actuator anchor;
      • (e) depositing and etching a first conductive material layer adjacent said first actuator path and attached to said first inert material layer;
      • (f) depositing and etching a non-conductive layer over said first conductive material layer, said etching including etching predetermined vias for interconnection of said first conductive material layer with a second conductive material layer;
      • (g) depositing and etching a second inert material layer on top of said first inert material layer;
      • (h) depositing and etching a second conductive material layer on top of said non-conductive layer having a conductive interconnect to said first conductive material layer;
      • (i) depositing and etching a series of inert material layers and sacrificial layers to form a nozzle chamber including an ink ejection hole and a nozzle chamber paddle attached to one of said inert material layers or said conductive layers at said thermal actuator end point;
      • (j)etching an ink supply channel through said wafer to said nozzle chamber; and
      • (k) etching away said sacrificial layers.
    • 589. A method as described in paragraph 588 wherein said conductive material layers are formed from a material having a high Youngs modulus.
    • 590. A method as described in paragraph 588 wherein said conductive material layers comprise substantially titanium nitride.
    • 591. A method as described in paragraph 588 wherein said first and second inert material layers comprise substantially glass.
    • 592. A method as described in paragraph 588 wherein said first actuator path comprises substantially a coil.
    • 593. A method as described in paragraph 588 further comprising the step of etching an ink supply channel through said wafer from a back surface thereof.
    • 594. A method as described in paragraph 588 wherein said wafer comprises a double side polished CMOS wafer.
    • 595. A method as described in paragraph 588 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 596. A method of manufacturing a paddle ink jet printer without a ink ejection nozzle, wherein an array of ink ejectors are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 597. A method as described in paragraph 596 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 598. A method as described in paragraph 597 wherein said substrate is a silicon wafer.
    • 599. A method as described in paragraph 596 wherein said print heads are formed utilising standardvlsilulsi processing.
    • 600. A method as described in paragraph 596 wherein integrated drive electronics are formed on the same substrate.
    • 601. A method as described in paragraph 600 wherein said integrated drive electronics comprise a CMOS process.
    • 602. A method as described in paragraph 596 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 603. A method of manufacture of an ink jet printer which includes a trough having side walls and an exposed roof, said trough being substantially filled with fluid during operation; a paddle vane located within the trough and offset from one wall when said paddle vane is in a quiescent position; an actuation mechanism attached to said paddle vane such that, upon activation of said actuation mechanism, said paddle vane is caused to move towards said one wall, resulting in an increase in pressure in the fluid between said one wall and said paddle vane, resulting in a consequential ejection of fluid via said exposed roof, said method comprising the steps of:
      • (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand;
      • (b) etching said trough in the surface of said wafer;
      • (c) creating said actuation mechanism and said paddle vane on said silicon wafer by means of depositing and etching a series of sacrificial layers to form a supporting structure for said actuation mechanism and said paddle vane, in addition to depositing and suitably etching a series of materials for forming said actuation mechanism and said paddle vane;
      • (d) etching an ink supply channel interconnecting said trough thorough said wafer; and
      • (e) etching away any remaining sacrificial layers so as to release said actuation mechanism and said panel vane for operation.
    • 604. A method as described in paragraph 603 wherein step (c) comprises the steps of:
      • (i) depositing and etching a first series of sacrificial layers to form a first supporting structure;
      • (ii) depositing and etching a conductive material to form a first conductive portion of said actuation mechanism and said paddle vane;
      • (iii) depositing and etching a second series of sacrificial layers to form a second supporting structure for a non-conductive portion of said actuator;
      • (iv) depositing and etching a non-conductive material to form those non-conductive portions of said actuation mechanism;
      • (v) depositing and etching a third series of sacrificial layers to form a third supporting structure for said actuation mechanism; and
      • (vi) depositing and etching a second conductive material to form a second conductive portion of said actuation mechanism and said paddle vane.
    • 605. A method as described in paragraph 603 wherein said first and second conductive material comprises substantially titanium diboride.
    • 606. A method as described in paragraph 603 wherein said non-conductive material comprises substantially silicon nitride.
    • 607. A method as described in paragraph 603 wherein said sacrificial layers comprises substantially glass and aluminium.
    • 608. A method as described in paragraph 603 wherein said wafer comprises a double side polished CMOS wafer.
    • 609. A method as described in paragraph 603 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 610. A method of manufacturing a Dual Chamber Single Vertical Actuator Ink Jet Printer wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 611. A method as described in paragraph 610 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 612. A method as described in paragraph 611 wherein said substrate is a silicon wafer.
    • 613. A method as described in paragraph 610 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 614. A method as described in paragraph 610 wherein integrated drive electronics are formed on the same substrate.
    • 615. A method as described in paragraph 614 wherein said integrated drive electronics comprise a CMOS process.
    • 616. A method as described in paragraph 610 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 617. A method as described in paragraph 610 wherein said Printer is constructed utilizing substantially the micro-mechanical systems processing techniques as hereinbefore disclosed.
    • 618. A method of manufacturing a dual nozzle single horizontal fulcrum actuator ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 619. A method as described in paragraph 618 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 620. A method as described in paragraph 619 wherein said substrate is a silicon wafer.
    • 621. A method as described in paragraph 618 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 622. A method as described in paragraph 618 wherein integrated drive electronics are formed on the same substrate.
    • 623. A method as described in paragraph 622 wherein said integrated drive electronics comprise a CMOS process.
    • 624. A method as described in paragraph 618 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 625. A method of manufacture of an ink jet printer which includes a nozzle chamber having at least two fluid ejection apertures defined in the walls of the chamber; a moveable paddle vane located in a plane adjacent the rim of a first one of the fluid ejection apertures; an actuator mechanism attached to the moveable paddle vane and adapted to move the paddle vane in a first direction so as to cause the ejection of fluid drops out of the first fluid ejection aperture and to further move the paddle vane in a second alternative direction so as to cause the ejection of fluid drops out of a second fluid ejection aperture, the method comprising the steps of:
      • (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the actuator mechanism on demand;
      • (b) etching a trough in the wafer to provide for an ink supply channel through a portion of the wafer;
      • (c) creating the nozzle chamber and the actuation mechanism and the paddle vane within the nozzle chamber on the silicon wafer by means of depositing and etching a series of sacrificial layers to form a supporting structure for the nozzle chamber, the actuation mechanism and the paddle vane, in addition to depositing and suitably etching a series of materials for forming the nozzle chamber, the actuation mechanism and the paddle vane;
      • (d) etching an ink supply channel interconnecting the nozzle chamber through the wafer; and
      • (e) etching away any remaining sacrificial layers so as to release the actuation mechanism and the panel vane for operation.
    • 626. A method as described in paragraph 625 wherein the step (c) comprises the steps of:
      • (i) depositing and etching a first series of sacrificial layers to form a first supporting structure;
      • (ii) depositing and etching a first non-conductive material layer to form a first structure including a portion of the nozzle chamber and a first portion of the actuation mechanism;
      • (iii) depositing and etching a first conductive material layer to form a lower heater structure of the actuation mechanism;
      • (iv) depositing and etching a second non-conductive material layer forming a central portion of the actuation mechanism, a portion of the nozzle wall and the panel vane in addition to a supporting structure for an upper heater structure;
      • (v) depositing and etching a second conductive material layer to form an upper heater structure of the actuation mechanism;
      • (vi) depositing and etching a further third non-conductive material layer so as to form the paddle mechanism, the nozzle chamber walls and a portion affixing one end of the actuation mechanism to the wafer;
      • (vii) depositing and etching a further sacrificial layer to form a further supporting structure for the nozzle chamber walls;
      • (viii) depositing and etching a further fourth non-conductive material layer forming the nozzle chamber walls and roof in addition to the fluid ejection apertures;
      • (ix) etching an ink supply channel through the wafer for the supply of ink to the nozzle chamber.
    • 627. A method as described in paragraph 626 wherein the first and second conductive material comprises substantially a copper nickel alloy.
    • 628. A method as described in paragraph 626 wherein the non-conductive material comprises substantially silicon dioxide.
    • 629. A method as described in paragraph 625 wherein the sacrificial layers comprises substantially glass and/or aluminium.
    • 630. A method as described in paragraph 625 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 631. A method as described in paragraph 625 wherein said wafer comprises a double side polished CMOS wafer.
    • 632. A method as described in paragraph 625 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 633. A method of manufacture of an ink jet printer having a dual nozzle single horizontal actuator wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 634. A method as described in paragraph 633 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 635. A method as described in paragraph 634 wherein said substrate is a silicon wafer.
    • 636. A method as described in paragraph 633 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 637. A method as described in paragraph 633 wherein integrated drive electronics are formed on the same substrate.
    • 638. A method as described in paragraph 637 wherein said integrated drive electronics comprise a CMOS process.
    • 639. A method as described in paragraph 633 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 640. A method of manufacture of a thermally actuated ink jet printer comprising a series of nozzle chambers which ejects ink via the utilization of a thermal actuator device, comprising the steps of:
      • (a) initially providing a silicon wafer having a circuitry wafer layer including the electrical circuitry necessary for the operation of the thermal actuators on demand;
      • (b) etching at least one nozzle chamber pit in said circuitry wafer layer and said silicon wafer;
      • (c) depositing and etching a sacrificial layer filling said ink chamber pit, said etching including etching a first portion of a nozzle chamber wall mould and an actuator anchor mould;
      • (d) depositing and etching a first inert material layer, filling said nozzle chamber wall mould and said actuator anchor mould, said etching including etching a via to said electrical circuitry;
      • (e) depositing and etching a first conductive material layer defining a lower heater element conductively interconnected via said via to said electrical circuitry;
      • (f) depositing and etching a second inert material layer, said etching defining an actuator arm attached to said lower heater element and a second portion of said nozzle chamber wall;
      • (g) depositing and etching a second conductive material layer defining an upper heater element conductively interconnected via a via through said first and second inert material layers to said electrical circuitry;
      • (h) depositing and etching a third inert material layer defining an actuator anchor and further portions of said nozzle chamber wall;
      • (i) depositing and etching a sacrificial layer;
      • (j) depositing and etching a forth inert material layer defining remaining portions of said nozzle chamber, said etching including etching at least one ink ejection nozzle in one of said nozzle chamber walls;
      • (k) etching an ink supply channel to said nozzle chamber through said wafer; and
      • (l) etching away said sacrificial layers.
    • 641. A method as described in paragraph 640 wherein said step (k) includes etching an interconnecting channel to one of said nozzle chamber pits.
    • 642. A method as described in paragraph 640 wherein the number of ink ejection nozzles is at least two.
    • 643. A method as described in paragraph 640 wherein said conductive material layers are formed from a material having a high Youngs modulus.
    • 644. A method as described in paragraph 640 wherein said conductive material layers comprise substantially titanium nitride or a copper nickel alloy.
    • 645. A method as described in paragraph 640 wherein said inert material layers comprise substantially glass.
    • 646. A method as described in paragraph 640 further comprising the step of etching an ink supply channel through said wafer from a back surface thereof.
    • 647. A method as described in paragraph 640 wherein said wafer comprises a double side polished CMOS wafer.
    • 648. A method as described in paragraph 640 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 649. A method of manufacturing a a single bend actuator cupped paddle ink jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 650. A method as described in paragraph 649 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 651. A me
    • 654. A method as described in paragraph 653 wherein said integrated drive electronics comprise a CMOS process.
    • 655. A method as described in paragraph 649 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 656. A method of manufacture of an ink jet printing nozzle arrangement on a wafer, said arrangement including:
      • an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from said ink chamber;
      • a moveable paddle vane located within said ink chamber, said paddle vane including a concave surface in the area adjacent said ink ejection nozzle; and
      • an actuator means adapted to move said paddle vane so as to cause ink within said ink chamber to be ejected from said ink ejection nozzle; said method comprising the steps of:
        • forming an etched pit in said wafer;
        • depositing and etching a series of layers on a wafer so as to from an array of ink jet nozzle arrangements, said layers including at least one paddle vane formation layer, said paddle vane formation layer being deposited over portions of said etched pit resulting in the formation of said concave surface of said paddle vane.
    • 657. A method as described in paragraph 656 wherein said paddle vane formation layer is deposited on a sacrificial layer deposited before said paddle vane formation layer, said sacrificial layer forming a concave surface profile as a result of deposition over said etched pit.
    • 658. A method as described in paragraph 656 wherein the maximum thickness of any one of said deposited layers is 6 microns.
    • 659. A method as described in paragraph 656 wherein said series of layers are each of a low thickness outside of said etched pit so as to cause minimal thermal stress on said wafer layer.
    • 660. A method as described in paragraph 656 wherein said actuator means is initially constructed on a sacrificial layer which is subsequently etched away, such that when said sacrificial layer is etched away, said paddle vane is moved by said actuator means from a constrained position having said paddle vane close to said ink ejection nozzle to a quiescent position having said paddle vane positioned further away from said ink ejection nozzle.
    • 661. A method as described in paragraph 656 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 662. A method as described in paragraph 656 wherein said wafer comprises a double side polished CMOS wafer.
    • 663. A method as described in paragraph 656 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 664. A method of manufacture of a thermally actuated ink jet printer having a series of thermal actuator units wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 665. A method as described in paragraph 664 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 666. A method as described in paragraph 665 wherein said substrate is a silicon wafer.
    • 667. A method as described in paragraph 664 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 668. A method as described in paragraph 664 wherein integrated drive electronics are formed on the same substrate.
    • 669. A method as described in paragraph 668 wherein said integrated drive electronics comprise a CMOS process.
    • 670. A method as described in paragraph 664 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 671. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching said electrical circuitry layer so as to define a nozzle chamber area;
      • (c) depositing and etching a first sacrificial layer, said etching defining a series of nozzle chamber walls and an actuator anchor point;
      • (d) depositing a first heater material layer;
      • (e) depositing an intermediate material layer;
      • (f) etching said first heater material layer and said intermediate material layer to define portions of an actuator, ejection paddle and nozzle chamber walls;
      • (g) depositing and etching a second sacrificial layer, said etching including etching a cavity defining a portion of the nozzle chamber walls;
      • (h) depositing and etching a further glass layer to define the roof of the nozzle chamber and the walls thereof;
      • (i) etching an ink supply channel through said wafer to form a fluid communication with said nozzle chamber;
      • (j) etching away remaining sacrificial material.
    • *672. A method as described in paragraph 671 wherein said intermediate layer comprises substantially glass.
    • 673. A method as described in paragraph 671 wherein said first heater material layer comprises substantially
      Titanium Nitride.
    • 674. A method as described in paragraph 671 wherein said steps further include the step of etching antiwicking notches in the surface of said circuitry layer.
    • 675. A method as described in paragraph 671 further including the step of depositing corrosion barriers over portions of said arrangement so as to reduce corrosion effects.
    • 676. A method as described in paragraph 671 wherein the etching of layers includes etching via so as to allow for the electrical interconnection of portions of subsequently layers.
    • 677. A method as described in paragraph 671 wherein said wafer comprises a double side polished CMOS wafer.
    • 678. A method as described in paragraph 671 wherein said step (j) comprises a through wafer etch from a back surface of said wafer.
    • 679. A method as described in paragraph 671 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 680. A method of manufacture of a thermally actuated ink jet print head including a tapered actuator heater element print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 681. A method as described in paragraph 680 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 682. A method as described in paragraph 681 wherein said substrate is a silicon wafer.
    • 683. A method as described in paragraph 680 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 684. A method as described in paragraph 680 wherein integrated drive electronics are formed on the same substrate.
    • 685. A method as described in paragraph 684 wherein said integrated drive electronics comprise a CMOS process.
    • 686. A method as described in paragraph 680 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 687. A method of manufacture of an ink jet printing nozzle arrangement on a wafer, said arrangement including:
      • an ink chamber having an ink ejection nozzle in one wall thereof for the ejection of ink from said ink chamber;
      • a moveable paddle vane located within said ink chamber, said paddle vane including a concave surface in the area adjacent said ink ejection nozzle; and
      • an actuator means adapted to move said paddle vane so as to cause ink within said ink chamber to be ejected from said ink ejection nozzle;
      • said method comprising the steps of:
        • (a) starting with a silicon wafer layer having requisite circuitry deposited thereon;
        • (b) depositing and etching a first sacrificial layer to form a cavity for said paddle vane and a portion of said nozzle chamber;
        • (c) depositing and etching a series of layers simultaneously fonning the operational portions said actuator means and said paddle vane;
        • (d) depositing a second sacrificial layer forming a cavity for said ink chamber walls and remaining portions (if any) of said actuator;
        • (e) depositing said chamber walls and remaining portions of said actuator;
        • (f) forming a nozzle chamber roof including an ink ejection nozzle;
        • (g) etching said sacrificial layers away.
    • 688. A method as described in paragraph 687 wherein the step (c) includes utilizing a single mask to etch said layers.
    • 689. A method as described in paragraph 687 wherein said step (c) includes depositing two layers of substantially the same thermal properties, one of said layers including the an operational portion of said actuator, such that, upon cooling of said layers, said two layers are in a state of thermal tension between one other.
    • 690. A method as described in paragraph 687 wherein said wafer comprises a double side polished CMOS wafer.
    • 691. A method as described in paragraph 687 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 692. A method of manufacturing a Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 693. A method as described in paragraph 692 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 694. A method as described in paragraph 693 wherein said substrate is a silicon wafer.
    • 695. A method as described in paragraph 692 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 696. A method as described in paragraph 692 wherein integrated drive electronics are formed on the same substrate.
    • 697. A method as described in paragraph 696 wherein said integrated drive electronics comprise a CMOS process.
    • 698. A method as described in paragraph 692 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 699. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching said circuitry layer to define a nozzle cavity area;
      • (c) depositing and etching a first material layer, said first material having a high coefficient of thermal expansion, said etching including etching for vias through said first material layer for electrical interconnection of subsequently deposited layers with said circuitry layer;
      • (d) depositing and etching a conductive material layer on said first material layer, said etching resulting in said conductive material layer forming a heater pattern;
      • (e) depositing and etching a second material layer, said second material layer having a high coefficient of thermal expansion, said etching defining a nozzle chamber rim and a rim at the edge of said nozzle chamber;
      • (f) etching said wafer to define said nozzle chamber;
      • (g) etching an ink supply channel through said wafer in fluid communication with said nozzle chamber.
    • 700. A method as described in paragraph 699 wherein said step (f) comprises performing a crystallographic etch of said wafer utilizing slots created as a result of etching said second material layer.
    • 701. A method as described in paragraph 700 wherein said crystallographic etch forms a nozzle chamber having an inverted square pyramid shape.
    • 702. A method as described in paragraph 692 8 to paragraph 701 wherein said step (g) comprises a through wafer etch from a back surface of said wafer.
    • 703. A method as described in paragraph 699 wherein said first material layer or said second material layer comprises substantially polytetrafluroethylene.
    • 704. A method as described in paragraph 699 wherein said conductive material layer comprises substantially gold, copper or aluminum.
    • 705. method as described in paragraph 692 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 706. A method of manufacturing an Inverted Radial Back-Curling Thermoelastic Ink Jet print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 707. A method as described in paragraph 706 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 708. A method as described in paragraph 707 wherein said substrate is a silicon wafer.
    • 709. A method as described in paragraph 706 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 710. A method as described in paragraph 706 wherein integrated drive electronics are formed on the same substrate.
    • 711. A method as described in paragraph 710 wherein said integrated drive electronics comprise a CMOS process.
    • 712. A method as described in paragraph 706 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 713. A method of manufacture of an ink jet print head arrangement as described in paragraph 706 including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on; etching said circuitry layer to define a nozzle cavity area;
      • (b) depositing and etching a first material layer, said first material having a high coefficient of thermal expansion, said etching including etching for vias through said first material layer for electrical interconnection of subsequently deposited layers with said circuitry layer;
      • (c) depositing and etching a conductive material layer on said first material layer, said etching resulting in said conductive material layer forming a heater pattern;
      • (d) depositing and etching a second material layer, said second material layer having a high coefficient of thermal expansion, said etching defining a nozzle chamber rim and a rim at the edge of said nozzle chamber;
      • (e) etching said wafer to define said nozzle chamber; etching an ink supply channel through said wafer in fluid communication with said nozzle chamber.
    • 714. A method as described in paragraph 713 wherein said step (f) comprises performing a crystallographic -etch of said wafer utilizing slots created as a result of etching said second material layer.
    • 715. A method as described in paragraph 714 wherein said crystallographic etch forms a nozzle chamber having an inverted square pyramid shape.
    • 716. A method as described in paragraph 706 8 to paragraph 715 wherein said step (g) comprises a through wafer etch from a back surface of said wafer.
    • 717. A method as described in paragraph 713 wherein said first material layer or said second material layer comprises substantially polytetrafluroethylene.
    • 718. A method as described in paragraph 713 wherein said conductive material layer comprises substantially gold, copper or aluminum.
    • 719. A method as described in paragraph 706 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 720. A method of manufacture of a Surface Bend Actuator Vented Ink Supply Ink Jet Printer print head wherein an array of nozzles are formed on a substrate utilising planar monolithic deposition, lithographic and etching processes.
    • 721. A method as described in paragraph 720 wherein multiple ink jet heads are formed simultaneously on a single planar substrate.
    • 722. A method as described in paragraph 721 wherein said substrate is a silicon wafer.
    • 723. A method as described in paragraph 720 wherein said print heads are formed utilising standard vlsi/ulsi processing.
    • 724. A method as described in paragraph 720 wherein integrated drive electronics are formed on the same substrate.
    • 725. A method as described in paragraph 724 wherein said integrated drive electronics comprise a CMOS process.
    • 726. A method as described in paragraph 720 wherein ink is ejected from said substrate substantially normal to said substrate.
    • 727. A method of manufacture of an ink jet print head arrangement including a series of nozzle chambers, said method comprising the steps of:
      • (a) utilizing an initial semiconductor wafer having an electrical circuitry layer formed thereon on;
      • (b) etching said circuitry layer to define a nozzle cavity area;
      • (c) plasma etching the wafer in the area of said nozzle cavity area to create a nozzle chamber;
      • (d) depositing and etching a first sacrificial layer so as to fill said nozzle chamber;
      • (e) etching said first sacrificial layer to create an actuator end cavity volume;
      • (f) depositing and etching a first material layer over said first sacrificial layer so as to fill said end cavity volume and to form a lower portion of a thermal actuator unit on said sacrificial layer;
      • (g) depositing and etching a conductive heater layer on top of said lower portion, said conductive heater layer forming a heater element on said lower portion, said heater element being interconnected to said electrical circuitry layer;
      • (h) depositing a second material layer;
      • (i) etching said second material layer and said first material layer down to said sacrificial layer so as to form a slot around said surface actuator and a nozzle chamber nozzle;
      • (j) etching an ink supply channel through said wafer in fluid communication with said nozzle chamber; and
      • (k) etching away said sacrificial material.
    • 728. A method as described in paragraph 727 wherein said step (i) further includes etching the layer to form a rim around said slot and said nozzle.
    • 729. A method as described in paragraph 727 wherein said wafer comprises a double side polished CMOS wafer.
    • 730. A method as described in paragraph 720 8 to paragraph 729 wherein said step(j) comprises a through wafer etch from a back surface of said wafer.
    • 731. A method as described in paragraph 720 8 to 11 wherein said first material layer and said second material layer comprise a non conductive material having a high coefficient of thermal expansion.
    • 732. A method as described in paragraph 731 wherein said first material layer or said second material layer comprises substantially polytetrafluroethylene.
    • 733. A method as described in paragraph 727 wherein said conductive material layer comprises substantially gold, copper or aluminum.
    • 734. A method as described in paragraph 720 wherein said steps are also utilized to simultaneously separate said wafer into separate printheads.
    • 735. A method of constructing a heater for heating a micro mechanical structure by means of an electric heater element comprising the step of constructing said heater in a corrugated form so as to improve the rate of thermal transfer to said micro mechanical structure.
    • 736. A thermal actuator comprising a first conductive material encased in a second non-conductive expansive material wherein said first conductive material is constructed in the form of a corrugated heater element so as to increase the rate of thermal transfer to said second non-conductive expansive material.
    • 737. A thermal actuator as described in paragraph 736 wherein said thermal actuator is utilized in the ejection of ink from an ink ejection nozzle.
    • 738. A micro-mechanical liquid pumping system having a pumping actuator means including a hydrophobic surface surrounded by other hydrophobic surfaces, a method of reducing the operation energy requirements of said pumping actuator means comprising the step of providing an air inlet in fluid communication with said hydrophobic surface, said air inlet allowing the flow of air into and out of the volume adjacent said hydrophobic surface.
    • 739. A method as described in paragraph 738 wherein said air inlet is surrounded by hydrophobic material.
    • 740. A method as described in paragraph 738 wherein said air inlet include a plurality of small spaced apart holes.
    • 741. A method as described in paragraph 738 wherein saind micro-electro mechanical system comprises an ink jet printing device.
    • 742. In a micro-mechanical device including an actuator having a series of planar actuation components fixed at one end to a substrate, a method of reducing the possibility of laminar separation of said planar actuation components comprising the step of constructing a fixed clamping structure on top of said planar actuation components at the end fixed to said substrate.
    • 743. A method as described in paragraph 742 wherein said fixed clamping structure is of a grill form.
    • 744. A method as described in paragraph 742 wherein said fixed clamping structure comprises nitride.
    • 745. A method as described in paragraph 742 wherein said micro-electro mechanical system comprises an ink jet printing device.
    • 746. A method of constructing conductive portions within a substantially non-conductive material comprising utilisation of quantum wires of a substantially conductive material.
    • 747. A thermal actuator comprising a substantially non-conductive, expansive material interposed with a series of quantum wires of a substantially conductive material.
    • 748. An actuator as described in paragraph 747 wherein said non-conductive, expansive material comprises substantially polytetrafluoroethylene.
    • 749. An actuator as described in paragraph 747 wherein said conductive material comprises substantially carbon.
    • 750. A thermal actuator comprising a first and second layers of substantially non-conductive, expansive materials, wherein one of said layers comprises quantum wires of a substantially conductive material interposted with said substantially non-conductive, expansive material.
    • 751. A thermal actuator as described in paragraph 750 wherein said layer comprising said quantum wires includes predetermined non-conductive areas.
    • 752. An actuator as described in paragraph 750 wherein said layers of non-conductive, expansive material comprises substantially polytetrafluoroethylene.
    • 753. An actuator as described paragraph 750, wherein said conductive material comprises substantially carbon.
    • 754. A micromechanical thermal actuator comprising:
      • a first material having a high coefficient of thermal expansion;
      • a serpentine heater material having a lower coefficient of thermal expansion in thermal contact with said first material and adapted to heat said first material on demand;
      • said serpentine heater material being elongated upon heating so as to accommodate the expansion of said first material.
    • 755. A micro-mechanical thermal actuator comprising:
      • a first layer having a first coefficient of thermal expansion;
      • a second layer having a relatively higher coefficient of thermal expansion than said first layer; and
      • a heater element in thermal contact with said first layer and said second layer, such that, on heating said heater element, said actuator moves from a first quiescent position to a second actuation position.
    • 756. An actuator as described in paragraph 754 wherein said heater element comprises a serpentine layer of poly-silicon;
    • 757. An actuator as described in paragraph 755 wherein said heater element is sandwiched between said first layer and the said second layer.
    • 758. An actuator as described in paragraph 754 wherein said first layer comprises polytetrafluoroethylene.
    • 759. A actuator as described in paragraph 754 wherein the said second layer comprises the silicon dioxide or silicon nitride.
    • 760. In a micro-mechanical system for controlling the flow of a liquid, said system being constructed in a large planar form, a method of reducing the effect of impurities in said liquid comprising the step of fabricating an integral grill structure in the path of said flow of said liquid so as to filter foreign bodies in said liquid.
    • 761. A method as described in paragraph 760 wherein said micro-mechanical system comprises an ink jet printing system and said grill forms one wall of a nozzle chamber for filtering ink entering said nozzle chamber and to be ejected from said nozzle chamber.
    • 762. A method as described in paragraph 760 wherein said filter comprises substantially nitride.
    • 763. In a micro-mechanical liquid pumping system including an actuator device for instigating said pumping, said actuator having a first surface for applying a pressure to said liquid and a second surface opposite said first surface, said liquid in fluid communication with said second surface, the improvement comprising utilising a hydrophobic material for said second surface.
    • 764. A method as described in paragraph 763 wherein the surfaces surrounding said second surface are also constructed from hydrophobic material.
    • 765. A method as described in paragraph 763 and 2 wherein said micro-electro mechanical system comprises an ink jet printing device.
    • 766. A thermal actuator comprising a series of independently heatable resistive elements connected to a common line formed on an actuation substrate such that, said series of elements, when heated, together provide, through means of thermal expansion, for the controlled movement in a vertical and a horizontal direction of an end point of said thermal actuator.
    • 767. A thermal actuator as described in paragraph 766 wherein said resistive elements are formed from a conductive material having a low coefficient of thermal expansion and an actuation material having a high coefficient of thermal expansion such that, upon heating, said actuation material is able to expand substantially unhindered by said conductive material.
    • 768. A thermal actuator as described in paragraph 767 wherein said conductive material undergoes a concertinaing action upon expansion and contraction.
    • 769. A thermal actuator as described in paragraph 768 wherein said conductive material is formed in a serpentine or helical form.
    • 770. A thermal actuator as described in paragraph 766 wherein said common line comprises a conductive material having a series of a spaced apart slots suitable for increasing the degree of bending of said conductive material.
    • 771. A thermal actuator as described in paragraph 770 wherein said actuation material is formed around said conductive material including in said slots.
    • 772. A thermal actuator as described in paragraph 766 wherein said actuator is attached to a lower substrate and said series of resistive elements include two heater elements arranged on a lower portion of a said actuation substrate and a single heater and said common line formed upon an upper portion of said actuation substrate.
    • 773. A thermal actuator as described in paragraph 766 wherein said actuation material comprises of substantially polytetrafluoroethylene.
    • 774. A thermal actuator as described in paragraph 766 wherein one end of the thermal actuator is surface treated so as to increase its coefficient of friction.
    • 775. A thermal actuator as described in paragraph 767 wherein one end of said thermal actuator comprises only said actuation material.
    • 776. A cilia array of thermal actuators wherein said thermal actuators are constructed in accordance with paragraph 766.
    • 777. A cilia array as described in paragraph 776 wherein one end of the said thermal actuator is driven so as to continuously engage a moveable load so as to push it in one direction only.
    • 778. A cilia array as described in paragraph 776 wherein adjacent thermal actuators are grouped into different groups with each group being driven together in a different phase cycle from adjacent groups.
    • 779. A cilia array as described in paragraph 778 wherein the number of phases is four.
    • 780. A method of constructing a micro electromechanical structure system on a semiconductor wafer having an integrated circuit layer formed thereon and utilizing a sacrificial etchant to etch predetermined sacrificial portions of said structure, said method including the step of utilizing the non corrosive layers of said integrated circuit layer to form a protective barrier between portions of said integrated circuitry layer which are required to be etched away in the construction of said structures and other portions of said integrated circuit layer which are not to be etched during the construction of said structures.
    • 781. A method as described in paragraph 780 wherein said non corrosive layer comprises a metal layer of said integrated circuit layer.
    • 782. A method as described in paragraph 780 wherein said integrated circuit layer comprises a CMOS layer integrally formed on said wafer.
    • 783. A method as described in paragraph 780 wherein said non - corrosive circuit layer comprises Aluminium.
    • 784. A method as described in paragraph 780 wherein said protective barrier is formed from a stacked multilayer metal deposition on said semiconductor wafer.
    • 785. A method as described in paragraph 780 wherein said sacrificial portions comprise a silicon oxide layer deposited on said wafer.
    • 786. A method of constructing a micro electromechanical structure system on a semiconductor wafer having a CMOS circuitry layer formed thereon and utilizing a sacrificial etchant to etch predetermined sacrificial portions of said structure, said method comprising the step of utilizing the metal layers of said CMOS circuitry layer to form a protective barrier between portions of said CMOS circuitry layer which are required to be etched away in the construction of said structures and other portions of said CMOS circuitry layer which are not to be etched during the construction of said structures.
    • 787. An apparatus when constructed comprises a thinned flexible portions adjacent said lever arm, said arm pivoting around said wall, with one of said thinned flexible portions pulling on said lever arm so as to cause said pivoting.
    • 791. A lever arrangement as described in paragraph 790 wherein said third lever arm also contains two thinned leaf arms connected to either side of said second lever arm such that movement of said second lever arm relative to said third lever arm causes one of said arms to pull on an end of said rod whilst allowing for resilient rotation of said rod relative to said arm.
    • 792. A lever arrangement as described in paragraph 789 wherein said arrangement is constructed as a microelectro mechanical system.
    • 793. A lever arrangement as described in paragraph 789 wherein said arrangement is fabricated on a silicon wafer.
    • 794. A lever arrangement as described in paragraph 789 wherein said lever arm is substantially at right angles to said second lever arm.
    • 795. A lever arrangement as described in paragraph 789 wherein said thinned leaf arms are interconnected substantially at one end of said lever arm.
    • 796. In an integrated circuit type device having a series of bond pads for the interconnection of the device with external power or communication lines, a method of improving the registration accuracy of said interconnection comprising the step of:
      • forming a guide rail on said device spaced adjacent to said bond pads for abutting said external power and communication lines against so as to accurately position said lines for interconnection with said bond pads.
    • 797. A method as described in paragraph 796 wherein said bond pads are arranged in a line along one edge of said integrated circuit type device.
    • 798. A method as described in paragraph 796 wherein said lines are in the form of a Tape Automated Bonding strip.
    • 799. A method as described in paragraph 796 wherein said guide rail is formed utilising a standard micromechanical systems deposition process.
    • 800. A method as described in paragraph 796 wherein said integrated circuit device comprises a pagewidth ink jet printing system.

Claims (9)

  1. An ink jet nozzle arrangement including:
    a nozzle chamber having an ink ejection port defined in a wall of said nozzle chamber, for the ejection of ink;
    an ink supply channel for the supply of ink to said nozzle chamber; and
    an actuator located in said nozzle chamber, between said ink supply channel and said ink ejection port,
    wherein said actuator is adapted to cause ejection of ink from said nozzle chamber, upon activation.
  2. An ink jet nozzle as claimed in claim 1 wherein said actuator is displaceable towards said ink ejection port.
  3. An ink jet nozzle as claimed in claim 1 wherein said actuator comprises a substantially planar thermal actuator.
  4. An ink jet nozzle as claimed in claim 1 wherein said thermal actuator includes a serpentine conductive heater element layer encased within an expansive layer such that, upon activation, said thermal actuator is caused to bend towards said ink ejection port so as to cause the expulsion of ink from said nozzle chamber.
  5. An ink jet nozzle as claimed in any one of the preceding claims wherein said nozzle chamber is formed on a silicon wafer and said ink channel supply means is formed by back etching said silicon wafer.
  6. An ink jet nozzle as claimed in any one of the preceding claims wherein said nozzle is formed on a CMOS substrate.
  7. An ink jet nozzle as claimed in claim 3 wherein said conductive heater material is constructed so as to concertina upon expansion of said expansive layer so as to allow substantially unhindered expansion of said expansive layer during heating.
  8. An ink jet nozzle as claimed in any one of the preceding claims wherein an outer surface of said ink chamber includes a plurality of small etchant holes, provided so as to allow a more rapid etching of sacrificial layers during construction.
  9. An ink jet nozzle as claimed in claim 4, wherein said expansion layer is comprised of PTFE.
EP05109733A 1997-07-15 1998-07-15 Ink jet nozzle arrangement with actuator mechanism in chamber between nozzle and ink supply Expired - Lifetime EP1647402B1 (en)

Applications Claiming Priority (72)

Application Number Priority Date Filing Date Title
AUPO8046A AUPO804697A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM30)
AUPO7945A AUPO794597A0 (en) 1997-07-15 1997-07-15 A device (MEMS08)
AUPO8039A AUPO803997A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ24)
AUPO8011A AUPO801197A0 (en) 1997-07-15 1997-07-15 A device (MEMS06)
AUPO7941A AUPO794197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM24)
AUPO8043A AUPO804397A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ28)
AUPO7952A AUPO795297A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM29)
AUPO7937A AUPO793797A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM03)
AUPO8006A AUPO800697A0 (en) 1997-07-15 1997-07-15 A device (MEMS02)
AUPO8074A AUPO807497A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM23)
AUPO8075A AUPO807597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM17)
AUPO8037A AUPO803797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ27)
AUPO7946A AUPO794697A0 (en) 1997-07-15 1997-07-15 A device (MEMS10)
AUPO7943A AUPO794397A0 (en) 1997-07-15 1997-07-15 A device (MEMS01)
AUPO7944A AUPO794497A0 (en) 1997-07-15 1997-07-15 A device (MEMS09)
AUPO8068A AUPO806897A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ21)
AUPO8051A AUPO805197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM27)
AUPO8078A AUPO807897A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM09)
AUPO8040A AUPO804097A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ03)
AUPO8001A AUPO800197A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ17)
AUPO8033A AUPO803397A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ19)
AUPO8045A AUPO804597A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM28)
AUPO8064A AUPO806497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ30)
AUPO8062A AUPO806297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ22)
AUPO8008A AUPO800897A0 (en) 1997-07-15 1997-07-15 A device (MEMS04)
AUPO7947A AUPO794797A0 (en) 1997-07-15 1997-07-15 A device (MEMS07)
AUPO8002A AUPO800297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ20)
AUPO8057A AUPO805797A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ09)
AUPO8007A AUPO800797A0 (en) 1997-07-15 1997-07-15 A device (MEMS03)
AUPO8079A AUPO807997A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM18)
AUPO8052A AUPO805297A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM20)
AUPO8010A AUPO801097A0 (en) 1997-07-15 1997-07-15 A device (MEMS05)
AUPO8038A AUPO803897A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ18)
AUPO8056A AUPO805697A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ10)
AUPO7948A AUPO794897A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM21)
AUPO8034A AUPO803497A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ23)
AUPO7951A AUPO795197A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM22)
AUPO8042A AUPO804297A0 (en) 1997-07-15 1997-07-15 Image creation method and apparatus (IJ29)
AUPO7933A AUPO793397A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation_apparatus (IJM10)
AUPO8050A AUPO805097A0 (en) 1997-07-15 1997-07-15 A method of manufacture of an image creation apparatus (IJM19)
AUPO8503A AUPO850397A0 (en) 1997-08-11 1997-08-11 A method of manufacture of an image creation apparatus (ijm30a)
AUPO9392A AUPO939297A0 (en) 1997-09-23 1997-09-23 A method of manufacture of an image creation apparatus (IJM32)
AUPO9390A AUPO939097A0 (en) 1997-09-23 1997-09-23 A method of manufacture of an image creation apparatus (IJM31)
AUPO9393A AUPO939397A0 (en) 1997-09-23 1997-09-23 A device and method (MEMS11)
AUPO9391A AUPO939197A0 (en) 1997-09-23 1997-09-23 Image creation method and apparatus (IJ32)
AUPO9389A AUPO938997A0 (en) 1997-09-23 1997-09-23 Image creation method and apparatus (IJ31)
AUPP0888A AUPP088897A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ33)
AUPP0873A AUPP087397A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ36)
AUPP0872A AUPP087297A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJM36)
AUPP0891A AUPP089197A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ34)
AUPP0882A AUPP088297A0 (en) 1997-12-12 1997-12-12 A method of manufacture of an image creation apparatus (IJM37)
AUPP0894A AUPP089497A0 (en) 1997-12-12 1997-12-12 An interconnection system (MEMS13)
AUPP0889A AUPP088997A0 (en) 1997-12-12 1997-12-12 A method of manufacture of an image creation apparatus (IJM35)
AUPP0875A AUPP087597A0 (en) 1997-12-12 1997-12-12 A device (MEMS12)
AUPP0893A AUPP089397A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ37)
AUPP0892A AUPP089297A0 (en) 1997-12-12 1997-12-12 Image creation method and apparatus (IJ38)
AUPP089797 1997-12-12
AUPP0874A AUPP087497A0 (en) 1997-12-12 1997-12-12 A method of manufacture of an image creation apparatus (IJM38)
AUPP1398A AUPP139898A0 (en) 1998-01-19 1998-01-19 An image creation method and apparatus (ij39)
AUPP1396A AUPP139698A0 (en) 1998-01-19 1998-01-19 A method of manufacture of an image creation apparatus (ijm39)
AUPO259198 1998-03-25
AUPP2593A AUPP259398A0 (en) 1998-03-25 1998-03-25 Image creation method and apparatus (IJ41)
AUPP2592A AUPP259298A0 (en) 1998-03-25 1998-03-25 Image creation method and apparatus (IJ40)
AUPP3990A AUPP399098A0 (en) 1998-06-09 1998-06-09 A method of manufacture of image creation apparatus (ijm42)
AUPP3987A AUPP398798A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij43)
AUPP3985A AUPP398598A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij44)
AUPP3984A AUPP398498A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm44)
AUPP3989A AUPP398998A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm40)
AUPP3983A AUPP398398A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij45)
AUPP3986A AUPP398698A0 (en) 1998-06-09 1998-06-09 A method of manufacture of an image creation apparatus (ijm43)
AUPP3991A AUPP399198A0 (en) 1998-06-09 1998-06-09 Image creation method and apparatus (ij42)
EP98933352A EP0999934B1 (en) 1997-07-15 1998-07-15 A thermally actuated ink jet

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
EP98933352A Division EP0999934B1 (en) 1997-07-15 1998-07-15 A thermally actuated ink jet

Publications (2)

Publication Number Publication Date
EP1647402A1 true EP1647402A1 (en) 2006-04-19
EP1647402B1 EP1647402B1 (en) 2008-07-02

Family

ID=39618884

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05109733A Expired - Lifetime EP1647402B1 (en) 1997-07-15 1998-07-15 Ink jet nozzle arrangement with actuator mechanism in chamber between nozzle and ink supply

Country Status (2)

Country Link
EP (1) EP1647402B1 (en)
AT (1) ATE399644T1 (en)

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1941001A (en) 1929-01-19 1933-12-26 Rca Corp Recorder
US3373437A (en) 1964-03-25 1968-03-12 Richard G. Sweet Fluid droplet recorder with a plurality of jets
DE1648322A1 (en) * 1967-07-20 1971-03-25 Vdo Schindling Measuring or switching element made of bimetal
US3596275A (en) 1964-03-25 1971-07-27 Richard G Sweet Fluid droplet recorder
US3683212A (en) 1970-09-09 1972-08-08 Clevite Corp Pulsed droplet ejecting system
US3747120A (en) 1971-01-11 1973-07-17 N Stemme Arrangement of writing mechanisms for writing on paper with a coloredliquid
US3946398A (en) 1970-06-29 1976-03-23 Silonics, Inc. Method and apparatus for recording with writing fluids and drop projection means therefor
GB2007162A (en) 1977-10-03 1979-05-16 Canon Kk Liquid jet recording process and apparatus therefor
US4459601A (en) 1981-01-30 1984-07-10 Exxon Research And Engineering Co. Ink jet method and apparatus
US4490728A (en) 1981-08-14 1984-12-25 Hewlett-Packard Company Thermal ink jet printer
US4584590A (en) 1982-05-28 1986-04-22 Xerox Corporation Shear mode transducer for drop-on-demand liquid ejector
US4899181A (en) 1989-01-30 1990-02-06 Xerox Corporation Large monolithic thermal ink jet printhead
EP0478956A2 (en) * 1990-10-04 1992-04-08 Forschungszentrum Karlsruhe GmbH Micromechanical element
US5208604A (en) 1988-10-31 1993-05-04 Canon Kabushiki Kaisha Ink jet head and manufacturing method thereof, and ink jet apparatus with ink jet head
EP0634273A2 (en) * 1993-07-13 1995-01-18 Sharp Kabushiki Kaisha Ink jet head and a method of manufacturing thereof
DE19516997A1 (en) * 1994-05-10 1995-11-16 Sharp Kk Ink jet print head with self-deforming body for max efficiency
DE19517969A1 (en) * 1994-05-27 1995-11-30 Sharp Kk Ink jet printer head
DE19639717A1 (en) * 1995-10-12 1997-04-17 Sharp Kk Ink=jet print head with piezo-electric actuator

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1941001A (en) 1929-01-19 1933-12-26 Rca Corp Recorder
US3373437A (en) 1964-03-25 1968-03-12 Richard G. Sweet Fluid droplet recorder with a plurality of jets
US3596275A (en) 1964-03-25 1971-07-27 Richard G Sweet Fluid droplet recorder
DE1648322A1 (en) * 1967-07-20 1971-03-25 Vdo Schindling Measuring or switching element made of bimetal
US3946398A (en) 1970-06-29 1976-03-23 Silonics, Inc. Method and apparatus for recording with writing fluids and drop projection means therefor
US3683212A (en) 1970-09-09 1972-08-08 Clevite Corp Pulsed droplet ejecting system
US3747120A (en) 1971-01-11 1973-07-17 N Stemme Arrangement of writing mechanisms for writing on paper with a coloredliquid
GB2007162A (en) 1977-10-03 1979-05-16 Canon Kk Liquid jet recording process and apparatus therefor
US4459601A (en) 1981-01-30 1984-07-10 Exxon Research And Engineering Co. Ink jet method and apparatus
US4490728A (en) 1981-08-14 1984-12-25 Hewlett-Packard Company Thermal ink jet printer
US4584590A (en) 1982-05-28 1986-04-22 Xerox Corporation Shear mode transducer for drop-on-demand liquid ejector
US5208604A (en) 1988-10-31 1993-05-04 Canon Kabushiki Kaisha Ink jet head and manufacturing method thereof, and ink jet apparatus with ink jet head
US4899181A (en) 1989-01-30 1990-02-06 Xerox Corporation Large monolithic thermal ink jet printhead
EP0478956A2 (en) * 1990-10-04 1992-04-08 Forschungszentrum Karlsruhe GmbH Micromechanical element
EP0634273A2 (en) * 1993-07-13 1995-01-18 Sharp Kabushiki Kaisha Ink jet head and a method of manufacturing thereof
DE19516997A1 (en) * 1994-05-10 1995-11-16 Sharp Kk Ink jet print head with self-deforming body for max efficiency
DE19517969A1 (en) * 1994-05-27 1995-11-30 Sharp Kk Ink jet printer head
DE19639717A1 (en) * 1995-10-12 1997-04-17 Sharp Kk Ink=jet print head with piezo-electric actuator

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
BERENSCHOT: "Thermally assisted Ian Beam Etching of polytetrafluoroethylene: A new technique for High Aspect Ratio Etching of MEMS", PROCEEDINGS OF THE NINTH ANNUAL INTERNATIONAL WORKSHOP ON MICRO ELECTRO MECHANICAL SYSTEMS, February 1996 (1996-02-01)
HEWLETT-PACKARD JOURNAL, vol. 36, no. 5, 1985, pages 33 - 37
HEWLETT-PACKARD JOURNAL, vol. 36, no. N5, 1985, pages 33 - 37
J MOORE: "OUTPUT HARD COPY DEVICES", 1988, article "Non-Impact Printing: Introduction and Historical Perspective", pages: 207 - 220
J.K. BHARDWAJ; H. ASHRAF: "Advanced Silicon Etching Using High Density Plasmas", SPIE PROCEEDINGS IN MICRO MACHINING AND MICRO FABRICATION PROCESS TECHNOLOGY, vol. 2639, pages 224
SPIE (INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING, vol. 264 AND
SPIE (INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING, vol. 2642 AND
T. ROSENMAYER; H. WU: "PTFE nanoemulsions as spinon, low dielectric constant materials for ULSI applications", ADVANCED METALLISATION FOR FUTURE ULSI, MRS, vol. 427, 1996, pages 463 - 468
TANS: "Individual single-wall carbon nano-tubes as quantum wires", NATURE, vol. 386, 3 April 1997 (1997-04-03), pages 474 - 477

Also Published As

Publication number Publication date
EP1647402B1 (en) 2008-07-02
ATE399644T1 (en) 2008-07-15

Similar Documents

Publication Publication Date Title
EP1650030A1 (en) Nozzle chamber with paddle vane and externally located thermal actuator
US7441879B2 (en) Unit cell of a printhead for an inkjet printer
JP2003521389A5 (en)
EP0999933A1 (en) Magnetic-field-acutated ink jet nozzle
US20010045969A1 (en) Shutter ink jet
US7984975B2 (en) Printhead nozzle cell having photoresist chamber
US6402300B1 (en) Ink jet nozzle assembly including meniscus pinning of a fluidic seal
AU2005242159B2 (en) Inkjet nozzle arrangement having paddle forming a portion of a wall
EP1647402A1 (en) Ink jet nozzle arrangement with actuator mechanism in chamber between nozzle and ink supply
EP1652671A1 (en) Ink jet nozzle having two fluid ejection apertures and a moveable paddle vane

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20051019

AC Divisional application: reference to earlier application

Ref document number: 0999934

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

17Q First examination report despatched

Effective date: 20060714

AKX Designation fees paid

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AC Divisional application: reference to earlier application

Ref document number: 0999934

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LI NL PT SE

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REF Corresponds to:

Ref document number: 69839683

Country of ref document: DE

Date of ref document: 20080814

Kind code of ref document: P

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20080702

NLV1 Nl: lapsed or annulled due to failure to fulfill the requirements of art. 29p and 29m of the patents act
PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20081202

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20080702

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20080702

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20081013

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20080702

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20080702

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20090403

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20080731

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20080731

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20080702

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20081002

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20081003

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: IE

Payment date: 20120727

Year of fee payment: 15

Ref country code: GB

Payment date: 20120727

Year of fee payment: 15

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20120823

Year of fee payment: 15

Ref country code: DE

Payment date: 20120730

Year of fee payment: 15

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20130715

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 69839683

Country of ref document: DE

Effective date: 20140201

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20140331

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20140201

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130715

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130731

REG Reference to a national code

Ref country code: GB

Ref legal event code: 732E

Free format text: REGISTERED BETWEEN 20140619 AND 20140625

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130715