EP1805792A4 - Substrate processing apparatus - Google Patents

Substrate processing apparatus

Info

Publication number
EP1805792A4
EP1805792A4 EP05807523A EP05807523A EP1805792A4 EP 1805792 A4 EP1805792 A4 EP 1805792A4 EP 05807523 A EP05807523 A EP 05807523A EP 05807523 A EP05807523 A EP 05807523A EP 1805792 A4 EP1805792 A4 EP 1805792A4
Authority
EP
European Patent Office
Prior art keywords
processing apparatus
substrate processing
substrate
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP05807523A
Other languages
German (de)
French (fr)
Other versions
EP1805792B1 (en
EP1805792A1 (en
Inventor
Christopher Hofmeister
Robert T Caveney
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Azenta Inc
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of EP1805792A1 publication Critical patent/EP1805792A1/en
Publication of EP1805792A4 publication Critical patent/EP1805792A4/en
Application granted granted Critical
Publication of EP1805792B1 publication Critical patent/EP1805792B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
EP05807523.5A 2004-10-09 2005-10-11 Substrate processing apparatus Active EP1805792B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/962,787 US7988398B2 (en) 2002-07-22 2004-10-09 Linear substrate transport apparatus
PCT/US2005/036650 WO2006042273A1 (en) 2004-10-09 2005-10-11 Substrate processing apparatus

Publications (3)

Publication Number Publication Date
EP1805792A1 EP1805792A1 (en) 2007-07-11
EP1805792A4 true EP1805792A4 (en) 2009-07-08
EP1805792B1 EP1805792B1 (en) 2015-12-23

Family

ID=36148677

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05807523.5A Active EP1805792B1 (en) 2004-10-09 2005-10-11 Substrate processing apparatus

Country Status (6)

Country Link
US (3) US7988398B2 (en)
EP (1) EP1805792B1 (en)
JP (1) JP5065900B2 (en)
KR (2) KR101110207B1 (en)
CN (1) CN101091241B (en)
WO (1) WO2006042273A1 (en)

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
US7477956B2 (en) * 2004-07-12 2009-01-13 Applied Materials, Inc. Methods and apparatus for enhancing electronic device manufacturing throughput
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP2007123332A (en) * 2005-10-25 2007-05-17 Nikon Corp Stage device, exposure device and manufacturing method of device
SG170048A1 (en) * 2006-02-27 2011-04-29 Blueshift Technologies Inc Semiconductor wafer handling and transport
WO2007122902A1 (en) * 2006-03-24 2007-11-01 Hitachi Kokusai Electric Inc. Substrate treating device managing method
US7628574B2 (en) * 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008034746A (en) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Coating and developing device, method therefor and storage medium
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR101660894B1 (en) * 2007-06-27 2016-10-10 브룩스 오토메이션 인코퍼레이티드 Multiple dimension position sensor
CN101790673B (en) 2007-06-27 2013-08-28 布鲁克斯自动化公司 Position feedback for self bearing motor
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US8659205B2 (en) 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
CN101801817B (en) 2007-07-17 2015-07-22 布鲁克斯自动化公司 Substrate processing apparatus with motors integral to chamber walls
JP2010538475A (en) * 2007-08-31 2010-12-09 アプライド マテリアルズ インコーポレイテッド Production line module for forming multi-size photovoltaic devices
JP5247094B2 (en) * 2007-09-14 2013-07-24 インテヴァック インコーポレイテッド Substrate processing system
KR100929817B1 (en) * 2007-10-23 2009-12-07 세메스 주식회사 Substrate Processing Apparatus and Manufacturing Method of Substrate Processing Apparatus
JP2009147236A (en) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd Vacuum processing apparatus
JP5419384B2 (en) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 Vacuum processing equipment
US8215473B2 (en) * 2008-05-21 2012-07-10 Applied Materials, Inc. Next generation screen printing system
JP5262412B2 (en) * 2008-08-07 2013-08-14 シンフォニアテクノロジー株式会社 Vacuum processing equipment
JP5470770B2 (en) * 2008-08-07 2014-04-16 シンフォニアテクノロジー株式会社 Vacuum processing equipment
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
KR101543681B1 (en) * 2009-01-15 2015-08-11 주성엔지니어링(주) Substrate processing system
DE102009029314A1 (en) * 2009-01-29 2010-08-05 Robert Bosch Gmbh transport device
US8594835B2 (en) 2009-04-10 2013-11-26 Symbotic, LLC Control system for storage and retrieval systems
JP5280522B2 (en) * 2009-04-28 2013-09-04 キヤノンアネルバ株式会社 Identification information setting device and identification information setting method
US8378252B2 (en) * 2009-05-29 2013-02-19 Electro Scientific Industries, Inc. Method and apparatus for hybrid resolution feedback of a motion stage
JP5487741B2 (en) * 2009-06-10 2014-05-07 株式会社ニコン Substrate bonding equipment
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US20110172952A1 (en) * 2009-10-05 2011-07-14 Upendra Ummethala Apparatus and Method for Measuring Position and/or Motion Using Surface Micro-Structure
TWI458612B (en) * 2009-11-10 2014-11-01 Intevac Inc Linear vacuum robot with z motion and articulated arm
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media
KR101690970B1 (en) * 2010-02-19 2016-12-29 주성엔지니어링(주) Substrate processing system and substrate transfer method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5614326B2 (en) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport method, and recording medium on which program for executing the substrate transport method is recorded
KR101682465B1 (en) * 2010-11-17 2016-12-05 삼성전자 주식회사 Robot for transporting substrate
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5883232B2 (en) 2011-03-26 2016-03-09 東京エレクトロン株式会社 Substrate processing equipment
KR20130004830A (en) * 2011-07-04 2013-01-14 삼성디스플레이 주식회사 Apparatus for thin layer deposition and method for manufacturing of organic light emitting display apparatus using the same
TWI622540B (en) 2011-09-09 2018-05-01 辛波提克有限責任公司 Automated storage and retrieval system
CN102296285A (en) * 2011-09-09 2011-12-28 汉能科技有限公司 Linear array type organic metal compound vapor deposition system and method
KR101829397B1 (en) * 2011-09-16 2018-02-19 퍼시몬 테크놀로지스 코포레이션 Low variability robot
US9027739B2 (en) * 2011-09-16 2015-05-12 Persimmon Technologies Corporation Wafer transport system
WO2013040406A1 (en) 2011-09-16 2013-03-21 Persimmon Technologies, Corp. Robot drive with passive rotor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP6282980B2 (en) 2011-12-16 2018-02-21 ブルックス オートメーション インコーポレイテッド Transport device
US9558978B2 (en) 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US8881629B2 (en) * 2012-06-12 2014-11-11 Graham Packaging Company, L.P. Continuous motion de-flash trimming machine
CN106239144B (en) 2012-06-28 2018-12-14 环球仪器公司 Flexible make-up machinery, system and method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
CN102897522B (en) * 2012-10-22 2015-12-09 大同齿轮(昆山)有限公司 Conveying and the efficient processing unit (plant) coordinated of processing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR20230037672A (en) * 2013-01-22 2023-03-16 브룩스 오토메이션 인코퍼레이티드 Substrate Transport
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI642028B (en) 2013-03-15 2018-11-21 辛波提克有限責任公司 Transportation system and automated storage and retrieval system with integral secured personnel access zones and remote rover shutdown
TWI594933B (en) 2013-03-15 2017-08-11 辛波提克有限責任公司 Automated storage and retrieval system
KR102188622B1 (en) 2013-03-15 2020-12-08 심보틱 엘엘씨 Automated storage and retrieval system with integral secured personnel access zones and remote rover shutdown
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
KR20220166370A (en) 2013-09-13 2022-12-16 심보틱 엘엘씨 Automated storage and retrieval system
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR20150052996A (en) * 2013-11-07 2015-05-15 삼성디스플레이 주식회사 Substrate transferring apparatus and thin film deposition apparatus having the same
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR102591049B1 (en) 2013-11-13 2023-10-18 브룩스 오토메이션 인코퍼레이티드 Sealed switched reluctance motor
JP6708546B2 (en) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド Sealed robot drive
TWI695447B (en) * 2013-11-13 2020-06-01 布魯克斯自動機械公司 Transport apparatus
KR102383699B1 (en) 2013-11-13 2022-04-06 브룩스 오토메이션 인코퍼레이티드 Method and apparatus for brushless electrical machine control
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10170348B2 (en) * 2013-12-26 2019-01-01 Konica Minolta, Inc. Production system for printing electronic devices
KR102192244B1 (en) * 2013-12-30 2020-12-17 삼성디스플레이 주식회사 Apparatus for transferring substrate
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
KR20240046638A (en) * 2014-01-21 2024-04-09 퍼시몬 테크놀로지스 코포레이션 Substrate transport vacuum platform
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6678596B2 (en) 2014-02-07 2020-04-08 ユニヴァーサル インストゥルメンツ コーポレイションUniversal Instruments Corporation Pick and place head with pump and motor
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
WO2015164298A1 (en) * 2014-04-21 2015-10-29 Persimmon Technologies, Corp. Robot having isolated stator and encoder
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR20190071003A (en) * 2014-10-10 2019-06-21 캐논 아네르바 가부시키가이샤 Film forming device
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR20230048568A (en) * 2014-11-10 2023-04-11 브룩스 오토메이션 인코퍼레이티드 Tool auto-teach method and apparatus
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10134623B2 (en) 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3405973A1 (en) * 2016-01-18 2018-11-28 Applied Materials, Inc. Apparatus for transportation of a substrate carrier in a vacuum chamber, system for vacuum processing of a substrate, and method for transportation of a substrate carrier in a vacuum chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6744155B2 (en) * 2016-06-30 2020-08-19 日本電産サンキョー株式会社 Transport system
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6830772B2 (en) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ Laminated film manufacturing equipment and laminated film manufacturing method
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
EP3509979B1 (en) 2016-09-09 2023-06-14 The Procter & Gamble Company System and method for independently routing vehicles and delivering containers and closures to unit operation stations
WO2018049104A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company System and method for simultaneously filling containers of different shapes and/or sizes
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
CA3035963C (en) 2016-09-09 2023-10-24 The Procter & Gamble Company System and method for producing products based upon demand
EP3510457A1 (en) 2016-09-09 2019-07-17 The Procter and Gamble Company Methods for simultaneously producing different products on a single production line
EP3509794B1 (en) 2016-09-09 2020-08-12 The Procter and Gamble Company Vacuum holder and carrier with autonomous vacuum
WO2018049122A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company Systems and methods for producing customized products intermixed with mass produced products
EP3509954B1 (en) 2016-09-09 2021-10-20 The Procter & Gamble Company System and method for simultaneously filling containers with different fluent compositions
EP3510459A2 (en) 2016-09-09 2019-07-17 The Procter and Gamble Company System and method for independently routing container-loaded vehicles to create different finished products
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN106816351B (en) * 2017-01-20 2018-08-17 信利(惠州)智能显示有限公司 A kind of ion implantation apparatus
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US9885160B1 (en) 2017-02-01 2018-02-06 Kevin Stone Rotatable snowplow blade apparatus, systems and methods of using the same
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN106921245A (en) * 2017-05-09 2017-07-04 泰州市姜堰德力电机有限公司 A kind of submersible motor
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102284795B1 (en) * 2018-03-23 2021-08-03 홍잉 apparatus of inline thin-layer processing
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20210272832A1 (en) * 2018-06-18 2021-09-02 Lam Research Corporation Reduced footprint wafer handling platform
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109590884B (en) * 2019-01-11 2021-03-16 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) Wafer conveying equipment with multiple loading discs and conveying system
US11136197B2 (en) * 2019-01-14 2021-10-05 Goodrich Corporation Carrier-based semi-automated cargo handling system
JP2022520635A (en) 2019-02-14 2022-03-31 パーシモン テクノロジーズ コーポレイション Magnetically guided material handling robot
KR102180636B1 (en) * 2019-08-12 2020-11-18 (주)에이피텍 Modular in-line system to manufacture camera module and a manufacturing method using the system
KR102196698B1 (en) * 2019-09-06 2020-12-30 (주)에이피텍 Camera module manufacturing in-line system with improved throughput
US11476139B2 (en) * 2020-02-20 2022-10-18 Brooks Automation Us, Llc Substrate process apparatus
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
KR102247183B1 (en) * 2020-05-29 2021-05-04 주식회사 싸이맥스 Wafer processing equipment with efficient installation area
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
JP2022142568A (en) * 2021-03-16 2022-09-30 東京エレクトロン株式会社 Device for processing substrate and method for transporting substrate
US20230143307A1 (en) * 2021-10-29 2023-05-11 Brooks Automation US, LLC. Substrate processing apparatus

Family Cites Families (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3407749A (en) 1966-08-31 1968-10-29 Gen Motors Corp Motor for propulsion and load support
US3470828A (en) 1967-11-21 1969-10-07 James R Powell Jr Electromagnetic inductive suspension and stabilization system for a ground vehicle
US3771033A (en) 1970-07-07 1973-11-06 Japan National Railway Apparatus for propelling a movable body in a suspended state at a very high speed
DE2160666B2 (en) 1971-12-07 1973-09-27 Siemens Ag, 1000 Berlin U. 8000 Muenchen Electrodynamic magnet system for levitating a moving vehicle
DE2220735A1 (en) * 1972-01-20 1973-11-08 Krauss Maffei Ag ARRANGEMENT FOR NON-CONTACT MAGNETIC CARRYING OF A HOVER VEHICLE IN THE AREA OF A ROAD BREAKING
US3937148A (en) 1973-01-02 1976-02-10 Cambridge Thermionic Corporation Virtually zero power linear magnetic bearing
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4348139A (en) 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
US4307668A (en) 1980-05-19 1981-12-29 Vinson Roy D Transportation system unitizing permanent magnets for levitation of a vehicle
US4518078A (en) 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
JPS5950538A (en) * 1982-09-17 1984-03-23 Hitachi Ltd Wafer carrier
JPS605509A (en) 1983-06-24 1985-01-12 Hitachi Ltd Molecular beam epitaxy equipment
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6162739A (en) 1984-09-03 1986-03-31 Sanki Eng Co Ltd Clean tunnel
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (en) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd Conveyor
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4676884A (en) 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4717461A (en) * 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (en) * 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
CA1271239A (en) 1986-12-26 1990-07-03 Shunsuke Fujiwara Levitation-propulsion mechanism for inductive repulsion type magnetically levitated railway
US5040484A (en) 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US4805761A (en) * 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
DE3735284A1 (en) * 1987-10-17 1989-04-27 Leybold Ag DEVICE ACCORDING TO THE CAROUSEL PRINCIPLE FOR COATING SUBSTRATES
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4913059A (en) 1988-02-25 1990-04-03 Railway Technical Research Institute Levitation, propulsion and guidance mechanism for inductive repulsion-type magnetically levitated railway
JP2761881B2 (en) 1988-03-10 1998-06-04 チッソ株式会社 An antibody-immobilized carrier for affinity chromatography
US4794863A (en) 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
IT1216642B (en) * 1988-03-29 1990-03-08 Mariani Enrico SYSTEM TO MOVE A DOOR OF A FURNITURE FROM AN OPEN TO A CLOSED POSITION.
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0419081A (en) 1990-05-15 1992-01-23 Seiko Instr Inc In-vacuum conveyor robot
JPH04350023A (en) 1990-08-08 1992-12-04 Mitsubishi Heavy Ind Ltd Conveyor and pallet for conveying
EP0482777B1 (en) * 1990-10-01 1996-12-11 Sharp Kabushiki Kaisha Method for fabricating oxide superconducting coatings
US5180048A (en) 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
JPH04275449A (en) * 1991-03-04 1992-10-01 Mitsubishi Heavy Ind Ltd Magnetic transfer apparatus
JP2858275B2 (en) 1990-12-28 1999-02-17 セイコー精機株式会社 Transfer device
US5994798A (en) * 1998-02-26 1999-11-30 Anorad Corporation Closed-path linear motor
JPH04286537A (en) 1991-03-18 1992-10-12 Seiko Seiki Co Ltd Carrying device
US5154730A (en) * 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH0815181B2 (en) * 1991-09-27 1996-02-14 株式会社荏原製作所 Gate valve of magnetic levitation transportation device
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5282424A (en) 1991-11-18 1994-02-01 Neill Gerard K O High speed transport system
JP3015566B2 (en) * 1991-12-20 2000-03-06 三菱重工業株式会社 AC magnetic levitation transfer device
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
WO1994000868A1 (en) 1992-06-26 1994-01-06 Materials Research Corporation Transport system for wafer processing line
US5641054A (en) * 1992-07-07 1997-06-24 Ebara Corporation Magnetic levitation conveyor apparatus
KR100302012B1 (en) 1992-11-06 2001-11-30 조셉 제이. 스위니 Micro-environment container connection method and micro-environment load lock
KR970011065B1 (en) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 Board changing apparatus and method in board handling system
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5402021A (en) 1993-05-24 1995-03-28 Johnson; Howard R. Magnetic propulsion system
US5551350A (en) * 1993-10-07 1996-09-03 Daifuku Co., Ltd. Transporting system driven by linear motor having inductive power supply
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3279032B2 (en) 1993-12-16 2002-04-30 スズキ株式会社 Engine speed control system for outboard motor
JPH07172580A (en) * 1993-12-16 1995-07-11 Ebara Corp Tunnel carrying device
JPH07176593A (en) * 1993-12-20 1995-07-14 Ebara Corp Conveyer
JP3965343B2 (en) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 Processing equipment
TW295677B (en) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5651868A (en) * 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JPH08119409A (en) * 1994-10-27 1996-05-14 Tokyo Electron Ltd Centralized treating device
JP3732250B2 (en) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 In-line deposition system
TW309503B (en) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (en) 1995-07-19 1997-02-07 Hitachi Ltd Vacuum processor and semiconductor production line using the processor
KR100244041B1 (en) * 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
CH691376A5 (en) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vacuum system for surface machining of workpieces.
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
NO304220B1 (en) * 1996-04-30 1998-11-16 Sintef Process for producing thin metal membranes
US6450103B2 (en) 1996-05-07 2002-09-17 Einar Svensson Monorail system
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5980193A (en) 1996-09-18 1999-11-09 Magnetic Bearing Technologies, Inc. Magnetically levitated robot and method of increasing levitation force
JP3947761B2 (en) 1996-09-26 2007-07-25 株式会社日立国際電気 Substrate processing apparatus, substrate transfer machine, and substrate processing method
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5998889A (en) * 1996-12-10 1999-12-07 Nikon Corporation Electro-magnetic motor cooling system
NO971605L (en) * 1997-04-08 1998-10-09 Ericsson Telefon Ab L M Device for improving accessibility of services in a communication system
US5904101A (en) 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6234737B1 (en) * 1997-07-22 2001-05-22 Richard C. Young Robotic container handler system
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
JPH11145215A (en) 1997-11-11 1999-05-28 Mitsubishi Electric Corp Tester for semiconductor and controlling method therefor
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
US6363109B1 (en) 1998-02-03 2002-03-26 Texas Instruments Incorporated Methods and device for estimating and correcting clipping in a discrete multi-tone communications system
KR100265287B1 (en) * 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
EP1086485A2 (en) 1998-05-12 2001-03-28 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6540896B1 (en) 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
JP2000150611A (en) * 1998-11-06 2000-05-30 Canon Inc Sample treating system
US6145444A (en) 1998-12-16 2000-11-14 Wilkinson; Kerry E. Micro clean sealed tubular transporter apparatus
NL1010836C2 (en) * 1998-12-17 2000-06-23 O T B Engineering B V Oven for manufacturing solar cells.
US6481558B1 (en) * 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
JP2000286318A (en) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd Transfer system
DE19921244A1 (en) 1999-05-07 2000-11-16 Siemens Ag Plant for processing wafers
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP4330703B2 (en) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 Transport module and cluster system
JP4619594B2 (en) * 1999-06-21 2011-01-26 エスアールアイ インターナショナル Friction-free transport device and method
WO2001002211A1 (en) 1999-07-02 2001-01-11 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
TW504941B (en) * 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
DE19945648C2 (en) 1999-09-23 2001-08-02 Steag Hamatech Ag Device for loading and unloading substrates
JP2001128316A (en) * 1999-10-28 2001-05-11 Murata Mach Ltd System of truck having track
US6374748B1 (en) * 1999-10-28 2002-04-23 Murata Kikai Kabushiki Kaisha Tracking cart system
AU2425401A (en) 1999-11-23 2001-06-04 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6364592B1 (en) 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP3814453B2 (en) * 2000-01-11 2006-08-30 キヤノン株式会社 Positioning apparatus, semiconductor exposure apparatus, and device manufacturing method
US6417537B1 (en) * 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
AU2001243488A1 (en) 2000-03-07 2001-09-17 J. Kirston Henderson Magnetic levitation transport system
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
KR20020088419A (en) 2000-04-05 2002-11-27 동경 엘렉트론 주식회사 Treating device
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4021125B2 (en) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 Rail straightness holding device used when connecting equipment unit of wafer transfer equipment
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002064968A (en) * 2000-08-21 2002-02-28 Nippon Thompson Co Ltd Slider with built-in moving coil linear motor
JP4753224B2 (en) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 Gas line system
JP2002068476A (en) 2000-08-29 2002-03-08 Anelva Corp Magnetic carrying device
US6962471B2 (en) * 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
NL1016733C2 (en) 2000-11-29 2002-05-31 Otb Group Bv Transport device suitable for transporting annular products.
US6570273B2 (en) * 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
US6990906B2 (en) 2001-03-26 2006-01-31 James Russell Powell Electrical power storage and delivery using magnetic levitation technology
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
CN1996552B (en) * 2001-08-31 2012-09-05 克罗辛自动化公司 Wafer engine
JP4821074B2 (en) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 Processing system
US6983701B2 (en) 2001-10-01 2006-01-10 Magnemotion, Inc. Suspending, guiding and propelling vehicles using magnetic forces
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP4389424B2 (en) 2001-12-25 2009-12-24 東京エレクトロン株式会社 To-be-processed object conveyance mechanism and processing system
KR100480333B1 (en) 2002-04-08 2005-04-06 엘지.필립스 엘시디 주식회사 Array substrate for a liquid crystal display device and Method for fabricating of the same
EP1357229B1 (en) 2002-04-22 2010-02-17 VSL International AG Method for impeding transverse relative displacements of a pipe and at least one cable
US6684794B2 (en) * 2002-05-07 2004-02-03 Magtube, Inc. Magnetically levitated transportation system and method
NL1020633C2 (en) 2002-05-21 2003-11-24 Otb Group Bv Composition for treating substrates.
WO2004010476A2 (en) 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6952846B2 (en) 2002-08-30 2005-10-11 Regalo International, Llc. Mattress hugging bed rail
DE10251382A1 (en) 2002-11-01 2004-05-13 Siemens Ag Method for actuating a pawl in a lock with a rotary latch for a motor vehicle
US20040155162A1 (en) 2002-11-06 2004-08-12 Wilhelm Schneider Support part with fixing pin
US7380946B1 (en) 2003-01-28 2008-06-03 Pixelworks, Inc. Semiautomatic keystone correction system and method
JP4363064B2 (en) * 2003-03-07 2009-11-11 株式会社安川電機 In-vacuum drive device and substrate transfer device using the same
KR20050020138A (en) 2003-08-21 2005-03-04 삼성전자주식회사 Transfer system
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
KR20140069354A (en) 2006-08-18 2014-06-09 브룩스 오토메이션 인코퍼레이티드 Reduced capacity carrier, transport, load port, buffer system
RU2009103766A (en) 2006-12-28 2010-08-10 Панасоник Корпорэйшн (Jp) METHOD FOR EVALUATING INFORMATION RECORDING MEDIA, INFORMATION RECORDING MEDIA, METHOD FOR PRODUCING INFORMATION RECORDING MEDIA, METHOD FOR SIGNAL PROCESSING AND ACCESS CONTROL DEVICE
JP5176416B2 (en) 2007-07-20 2013-04-03 コニカミノルタビジネステクノロジーズ株式会社 Document processing apparatus, document processing method, and document processing program
JP5090383B2 (en) 2009-01-21 2012-12-05 アルプス電気株式会社 Optical module

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
No further relevant documents disclosed *
See also references of WO2006042273A1 *

Also Published As

Publication number Publication date
KR20100017469A (en) 2010-02-16
JP5065900B2 (en) 2012-11-07
CN101091241A (en) 2007-12-19
EP1805792B1 (en) 2015-12-23
WO2006042273A1 (en) 2006-04-20
US20050105991A1 (en) 2005-05-19
US20130230369A1 (en) 2013-09-05
US8827617B2 (en) 2014-09-09
KR100951680B1 (en) 2010-04-07
CN101091241B (en) 2011-08-03
US8371792B2 (en) 2013-02-12
EP1805792A1 (en) 2007-07-11
US7988398B2 (en) 2011-08-02
US20110280693A1 (en) 2011-11-17
KR101110207B1 (en) 2012-02-15
JP2008516457A (en) 2008-05-15
KR20070065423A (en) 2007-06-22

Similar Documents

Publication Publication Date Title
EP1805792A4 (en) Substrate processing apparatus
EP1872394A4 (en) Substrate processing apparatus
EP1872392A4 (en) Substrate processing apparatus
GB2416839B (en) Substrate inspection apparatus
TWI370510B (en) Substrate processing apparatus
TWI340411B (en) Substrate processing apparatus
EP1898453A4 (en) Substrate processing method and substrate processing apparatus
EP1936671A4 (en) Substrate processing apparatus and substrate processing method
TWI371423B (en) Substrate transport apparatus
EP1909313A4 (en) Substrate processing apparatus and substrate processing method
SG115765A1 (en) Vacuum processing apparatus
EP1719161A4 (en) Polishing apparatus and substrate processing apparatus
EP1801860A4 (en) Substrate processing method and substrate processing apparatus
EP1788618A4 (en) Substrate processing method
EP1784066A4 (en) Electronic apparatus
EP1791329A4 (en) Electronic apparatus
AU2003259203A8 (en) Substrate processing apparatus
EP1544904A4 (en) Substrate processing apparatus
EP1544903A4 (en) Substrate processing apparatus
SG122925A1 (en) Rectangular substrate dividing apparatus
GB0716165D0 (en) Apparatus for good processing
PL1943197T3 (en) Substrate processing method
EP1855283A4 (en) Data processing apparatus
EP1791172A4 (en) Plasma processing apparatus
EP1865653A4 (en) Data processing apparatus

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20070426

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20090605

17Q First examination report despatched

Effective date: 20090923

REG Reference to a national code

Ref country code: DE

Ref legal event code: R079

Ref document number: 602005048153

Country of ref document: DE

Free format text: PREVIOUS MAIN CLASS: H01L0021677000

Ipc: H01L0021670000

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/677 20060101ALI20150203BHEP

Ipc: H01L 21/67 20060101AFI20150203BHEP

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAJ Information related to disapproval of communication of intention to grant by the applicant or resumption of examination proceedings by the epo deleted

Free format text: ORIGINAL CODE: EPIDOSDIGR1

GRAJ Information related to disapproval of communication of intention to grant by the applicant or resumption of examination proceedings by the epo deleted

Free format text: ORIGINAL CODE: EPIDOSDIGR1

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTG Intention to grant announced

Effective date: 20150320

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTC Intention to grant announced (deleted)
GRAJ Information related to disapproval of communication of intention to grant by the applicant or resumption of examination proceedings by the epo deleted

Free format text: ORIGINAL CODE: EPIDOSDIGR1

INTG Intention to grant announced

Effective date: 20150423

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTC Intention to grant announced (deleted)
INTG Intention to grant announced

Effective date: 20150527

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: AT

Ref legal event code: REF

Ref document number: 766873

Country of ref document: AT

Kind code of ref document: T

Effective date: 20160115

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602005048153

Country of ref document: DE

REG Reference to a national code

Ref country code: LT

Ref legal event code: MG4D

REG Reference to a national code

Ref country code: NL

Ref legal event code: MP

Effective date: 20151223

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 766873

Country of ref document: AT

Kind code of ref document: T

Effective date: 20151223

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20160324

Ref country code: LV

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: PL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: IS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20160423

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20160426

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 602005048153

Country of ref document: DE

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

26N No opposition filed

Effective date: 20160926

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20161011

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20170630

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20161031

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20161102

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20161011

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20161031

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20161011

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20161011

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: HU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT; INVALID AB INITIO

Effective date: 20051011

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BG

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151223

REG Reference to a national code

Ref country code: DE

Ref legal event code: R081

Ref document number: 602005048153

Country of ref document: DE

Owner name: BROOKS AUTOMATION US, LLC (N. D. GES. D. STAAT, US

Free format text: FORMER OWNER: BROOKS AUTOMATION, INC., CHELMSFORD, MA, US

REG Reference to a national code

Ref country code: DE

Ref legal event code: R081

Ref document number: 602005048153

Country of ref document: DE

Owner name: BROOKS AUTOMATION US, LLC (N. D. GES. D. STAAT, US

Free format text: FORMER OWNER: BROOKS AUTOMATION HOLDING, LLC, CHELMSFORD, MA, US

P01 Opt-out of the competence of the unified patent court (upc) registered

Effective date: 20230512

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20230920

Year of fee payment: 19