EP2160762A4 - An interconnect implementing internal controls - Google Patents

An interconnect implementing internal controls

Info

Publication number
EP2160762A4
EP2160762A4 EP08780967A EP08780967A EP2160762A4 EP 2160762 A4 EP2160762 A4 EP 2160762A4 EP 08780967 A EP08780967 A EP 08780967A EP 08780967 A EP08780967 A EP 08780967A EP 2160762 A4 EP2160762 A4 EP 2160762A4
Authority
EP
European Patent Office
Prior art keywords
internal controls
implementing internal
interconnect implementing
interconnect
controls
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP08780967A
Other languages
German (de)
French (fr)
Other versions
EP2160762A1 (en
Inventor
Drew E Wingard
Chien-Chun Chou
Stephen W Hamilton
Ian Andrew Swarbrick
Vida Vakilotojar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sonics Inc
Original Assignee
Sonics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sonics Inc filed Critical Sonics Inc
Priority to EP10157427.5A priority Critical patent/EP2216722B8/en
Priority to EP11186954.1A priority patent/EP2413355B1/en
Publication of EP2160762A1 publication Critical patent/EP2160762A1/en
Publication of EP2160762A4 publication Critical patent/EP2160762A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1072Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for memories with random access ports synchronised on clock signal pulse trains, e.g. synchronous memories, self timed memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17356Indirect interconnection networks
    • G06F15/17368Indirect interconnection networks non hierarchical topologies
    • G06F15/17375One dimensional, e.g. linear array, ring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/06Addressing a physical block of locations, e.g. base addressing, module addressing, memory dedication
    • G06F12/0607Interleaved addressing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Mathematical Physics (AREA)
  • Dram (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
  • Logic Circuits (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)
  • Multi Processors (AREA)
  • Memory System (AREA)
  • Bus Control (AREA)
EP08780967A 2007-06-25 2008-06-25 An interconnect implementing internal controls Withdrawn EP2160762A4 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP10157427.5A EP2216722B8 (en) 2007-06-25 2008-06-25 Various methods and apparatus for configurable mapping of address regions onto one or more aggregate targets
EP11186954.1A EP2413355B1 (en) 2007-06-25 2008-06-25 An interconnect that eliminates routing congestion and manages simultaneous transactions

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US94609607P 2007-06-25 2007-06-25
US12/144,883 US8407433B2 (en) 2007-06-25 2008-06-24 Interconnect implementing internal controls
PCT/US2008/068107 WO2009002998A1 (en) 2007-06-25 2008-06-25 An interconnect implementing internal controls

Related Child Applications (2)

Application Number Title Priority Date Filing Date
EP10157427.5A Division EP2216722B8 (en) 2007-06-25 2008-06-25 Various methods and apparatus for configurable mapping of address regions onto one or more aggregate targets
EP11186954.1A Division EP2413355B1 (en) 2007-06-25 2008-06-25 An interconnect that eliminates routing congestion and manages simultaneous transactions

Publications (2)

Publication Number Publication Date
EP2160762A1 EP2160762A1 (en) 2010-03-10
EP2160762A4 true EP2160762A4 (en) 2011-01-26

Family

ID=40137732

Family Applications (3)

Application Number Title Priority Date Filing Date
EP08780967A Withdrawn EP2160762A4 (en) 2007-06-25 2008-06-25 An interconnect implementing internal controls
EP10157427.5A Active EP2216722B8 (en) 2007-06-25 2008-06-25 Various methods and apparatus for configurable mapping of address regions onto one or more aggregate targets
EP11186954.1A Active EP2413355B1 (en) 2007-06-25 2008-06-25 An interconnect that eliminates routing congestion and manages simultaneous transactions

Family Applications After (2)

Application Number Title Priority Date Filing Date
EP10157427.5A Active EP2216722B8 (en) 2007-06-25 2008-06-25 Various methods and apparatus for configurable mapping of address regions onto one or more aggregate targets
EP11186954.1A Active EP2413355B1 (en) 2007-06-25 2008-06-25 An interconnect that eliminates routing congestion and manages simultaneous transactions

Country Status (4)

Country Link
US (6) US9292436B2 (en)
EP (3) EP2160762A4 (en)
JP (2) JP2010531518A (en)
WO (1) WO2009002998A1 (en)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9087036B1 (en) 2004-08-12 2015-07-21 Sonics, Inc. Methods and apparatuses for time annotated transaction level modeling
US8504992B2 (en) 2003-10-31 2013-08-06 Sonics, Inc. Method and apparatus for establishing a quality of service model
US7913043B2 (en) * 2004-05-14 2011-03-22 Bakbone Software, Inc. Method for backup storage device selection
US7562194B2 (en) * 2006-02-06 2009-07-14 Intel Corporation Method and apparatus for exploiting parallelism across multiple traffic streams through a single channel
CN103646009B (en) 2006-04-12 2016-08-17 索夫特机械公司 The apparatus and method that the instruction matrix of specifying parallel and dependent operations is processed
CN101627365B (en) 2006-11-14 2017-03-29 索夫特机械公司 Multi-threaded architecture
US8868397B2 (en) 2006-11-20 2014-10-21 Sonics, Inc. Transaction co-validation across abstraction layers
US8438320B2 (en) * 2007-06-25 2013-05-07 Sonics, Inc. Various methods and apparatus for address tiling and channel interleaving throughout the integrated system
US9292436B2 (en) * 2007-06-25 2016-03-22 Sonics, Inc. Various methods and apparatus to support transactions whose data address sequence within that transaction crosses an interleaved channel address boundary
US8108648B2 (en) * 2007-06-25 2012-01-31 Sonics, Inc. Various methods and apparatus for address tiling
US8464009B2 (en) * 2008-06-04 2013-06-11 Oracle America, Inc. Method for memory interleave support with a ceiling mask
US8145805B2 (en) * 2008-06-09 2012-03-27 Emulex Design & Manufacturing Corporation Method for re-sequencing commands and data between a master and target devices utilizing parallel processing
US8190848B2 (en) * 2008-07-28 2012-05-29 Lantiq Deutschland Gmbh Interleaver memory allocation method and apparatus
US20100070656A1 (en) * 2008-09-12 2010-03-18 Atto Technology, Inc. System and method for enhanced load balancing in a storage system
US9785462B2 (en) 2008-12-30 2017-10-10 Intel Corporation Registering a user-handler in hardware for transactional memory event handling
US8627017B2 (en) * 2008-12-30 2014-01-07 Intel Corporation Read and write monitoring attributes in transactional memory (TM) systems
US8086783B2 (en) * 2009-02-23 2011-12-27 International Business Machines Corporation High availability memory system
US20110307672A1 (en) * 2009-03-06 2011-12-15 Rambus Inc. Memory interface with interleaved control information
US8190804B1 (en) * 2009-03-12 2012-05-29 Sonics, Inc. Various methods and apparatus for a memory scheduler with an arbiter
JP4881404B2 (en) * 2009-03-27 2012-02-22 株式会社東芝 Circuit description generation device and function verification method
JP5365336B2 (en) * 2009-05-01 2013-12-11 ソニー株式会社 Memory control device and memory control method
US8713248B2 (en) * 2009-06-02 2014-04-29 Nokia Corporation Memory device and method for dynamic random access memory having serial interface and integral instruction buffer
US8359421B2 (en) * 2009-08-06 2013-01-22 Qualcomm Incorporated Partitioning a crossbar interconnect in a multi-channel memory system
US8291313B1 (en) * 2009-08-26 2012-10-16 Adobe Systems Incorporated Generation of a container hierarchy from a document design
US8478946B2 (en) * 2009-09-08 2013-07-02 Advanced Micro Devices, Inc. Method and system for local data sharing
US9135215B1 (en) * 2009-09-21 2015-09-15 Tilera Corporation Route prediction in packet switched networks
US8356122B2 (en) 2010-01-08 2013-01-15 International Business Machines Corporation Distributed trace using central performance counter memory
WO2011062120A1 (en) * 2009-11-18 2011-05-26 日本電気株式会社 Dynamic route branching system and dynamic route branching method
US8694947B1 (en) * 2009-12-09 2014-04-08 The Mathworks, Inc. Resource sharing workflows within executable graphical models
US20110213949A1 (en) * 2010-03-01 2011-09-01 Sonics, Inc. Methods and apparatus for optimizing concurrency in multiple core systems
US8150215B2 (en) * 2010-03-24 2012-04-03 Altek Corporation Routable image pipeline device
US8392689B1 (en) * 2010-05-24 2013-03-05 Western Digital Technologies, Inc. Address optimized buffer transfer requests
US20110314256A1 (en) * 2010-06-18 2011-12-22 Microsoft Corporation Data Parallel Programming Model
US8972995B2 (en) * 2010-08-06 2015-03-03 Sonics, Inc. Apparatus and methods to concurrently perform per-thread as well as per-tag memory access scheduling within a thread and across two or more threads
US9268720B2 (en) 2010-08-31 2016-02-23 Qualcomm Incorporated Load balancing scheme in multiple channel DRAM systems
US8601288B2 (en) 2010-08-31 2013-12-03 Sonics, Inc. Intelligent power controller
US8314807B2 (en) 2010-09-16 2012-11-20 Apple Inc. Memory controller with QoS-aware scheduling
US8631213B2 (en) 2010-09-16 2014-01-14 Apple Inc. Dynamic QoS upgrading
RU2556443C2 (en) * 2010-09-16 2015-07-10 Эппл Инк. Multiport memory controller with ports associated with traffic classes
EP3156896B1 (en) 2010-09-17 2020-04-08 Soft Machines, Inc. Single cycle multi-branch prediction including shadow cache for early far branch prediction
EP2444903A1 (en) 2010-09-29 2012-04-25 STMicroelectronics (Grenoble 2) SAS A transaction reordering arrangement
EP2442231A1 (en) * 2010-09-29 2012-04-18 STMicroelectronics (Grenoble 2) SAS Reordering arrangement
JP5613517B2 (en) * 2010-09-30 2014-10-22 京セラドキュメントソリューションズ株式会社 Information processing device
US9424210B1 (en) * 2010-10-22 2016-08-23 Altera Corporation SDRAM memory organization and efficient access
US8438306B2 (en) 2010-11-02 2013-05-07 Sonics, Inc. Apparatus and methods for on layer concurrency in an integrated circuit
US9405700B2 (en) * 2010-11-04 2016-08-02 Sonics, Inc. Methods and apparatus for virtualization in an integrated circuit
US8892844B2 (en) 2011-03-07 2014-11-18 Micron Technology, Inc. Methods of accessing memory cells, methods of distributing memory requests, systems, and memory controllers
EP2689327B1 (en) 2011-03-25 2021-07-28 Intel Corporation Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
CN103635875B (en) 2011-03-25 2018-02-16 英特尔公司 For by using by can subregion engine instance the memory segment that is performed come support code block of virtual core
WO2012135041A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
US8484235B2 (en) * 2011-03-28 2013-07-09 International Business Machines Corporation Dynamically switching the serialization method of a data structure
US10838886B2 (en) 2011-04-19 2020-11-17 Micron Technology, Inc. Channel depth adjustment in memory systems
US9158592B2 (en) * 2011-05-02 2015-10-13 Green Hills Software, Inc. System and method for time variant scheduling of affinity groups comprising processor core and address spaces on a synchronized multicore processor
KR101639854B1 (en) 2011-05-20 2016-07-14 소프트 머신즈, 인크. An interconnect structure to support the execution of instruction sequences by a plurality of engines
TWI603198B (en) 2011-05-20 2017-10-21 英特爾股份有限公司 Decentralized allocation of resources and interconnect structures to support the execution of instruction sequences by a plurality of engines
TWI467372B (en) * 2011-07-06 2015-01-01 Phison Electronics Corp Command executing method, memory controller and memory storage apparatus
EP2744718B1 (en) 2011-08-18 2017-02-01 Unitload Pty Ltd Pallet
DE112011105543T5 (en) * 2011-08-22 2014-04-30 Intel Corporation A method of improving data throughput in open-core protocol-based interconnect networks using dynamically selectable redundant physical paths with a common link
US11048410B2 (en) * 2011-08-24 2021-06-29 Rambus Inc. Distributed procedure execution and file systems on a memory interface
US8798038B2 (en) * 2011-08-26 2014-08-05 Sonics, Inc. Efficient header generation in packetized protocols for flexible system on chip architectures
US8514889B2 (en) 2011-08-26 2013-08-20 Sonics, Inc. Use of common data format to facilitate link width conversion in a router with flexible link widths
US8868941B2 (en) 2011-09-19 2014-10-21 Sonics, Inc. Apparatus and methods for an interconnect power manager
US8874976B2 (en) 2011-09-29 2014-10-28 Intel Corporation Providing error handling support to legacy devices
US8713240B2 (en) 2011-09-29 2014-04-29 Intel Corporation Providing multiple decode options for a system-on-chip (SoC) fabric
US8711875B2 (en) * 2011-09-29 2014-04-29 Intel Corporation Aggregating completion messages in a sideband interface
US8713234B2 (en) 2011-09-29 2014-04-29 Intel Corporation Supporting multiple channels of a single interface
US8929373B2 (en) 2011-09-29 2015-01-06 Intel Corporation Sending packets with expanded headers
US9280468B2 (en) * 2011-10-26 2016-03-08 Qualcomm Technologies, Inc. Three channel cache-coherency socket protocol
KR101703401B1 (en) 2011-11-22 2017-02-06 소프트 머신즈, 인크. An accelerated code optimizer for a multiengine microprocessor
US20150039859A1 (en) 2011-11-22 2015-02-05 Soft Machines, Inc. Microprocessor accelerated code optimizer
EP2597815B1 (en) * 2011-11-23 2019-07-24 Siemens Schweiz AG Method for identification of devices included in a communication network
US9053251B2 (en) 2011-11-29 2015-06-09 Intel Corporation Providing a sideband message interface for system on a chip (SoC)
US8782356B2 (en) * 2011-12-09 2014-07-15 Qualcomm Incorporated Auto-ordering of strongly ordered, device, and exclusive transactions across multiple memory regions
US9239786B2 (en) 2012-01-18 2016-01-19 Samsung Electronics Co., Ltd. Reconfigurable storage device
CN102609378B (en) * 2012-01-18 2016-03-30 中国科学院计算技术研究所 A kind of message type internal storage access device and access method thereof
KR20130085672A (en) * 2012-01-20 2013-07-30 삼성전자주식회사 Method for operating memory controller, and system including the same
US20130205051A1 (en) * 2012-02-07 2013-08-08 Qualcomm Incorporated Methods and Devices for Buffer Allocation
US9047018B1 (en) * 2012-03-20 2015-06-02 Emc Corporation Method and system for zero-copy disk IO using sector unaligned buffers
US9135384B1 (en) * 2012-05-10 2015-09-15 Xilinx, Inc. Compilation and simulation of a circuit design
ITTO20120470A1 (en) 2012-05-30 2013-12-01 St Microelectronics Srl PROCEDURE FOR MANAGING ACCESS AND RELATIONSHIP SYSTEM TRANSACTIONS
US9378023B2 (en) * 2012-06-13 2016-06-28 International Business Machines Corporation Cross-pipe serialization for multi-pipeline processor
US9569393B2 (en) 2012-08-10 2017-02-14 Rambus Inc. Memory module threading with staggered data transfers
US8791573B1 (en) * 2012-08-31 2014-07-29 Altera Corporation Skewed partial column input/output floorplan
US9092327B2 (en) 2012-12-10 2015-07-28 Qualcomm Incorporated System and method for allocating memory to dissimilar memory devices using quality of service
US9053058B2 (en) 2012-12-20 2015-06-09 Apple Inc. QoS inband upgrade
CN104137083B (en) * 2012-12-28 2018-08-28 松下知识产权经营株式会社 Interface arrangement and memory bus system
US10445229B1 (en) 2013-01-28 2019-10-15 Radian Memory Systems, Inc. Memory controller with at least one address segment defined for which data is striped across flash memory dies, with a common address offset being used to obtain physical addresses for the data in each of the dies
US9652376B2 (en) 2013-01-28 2017-05-16 Radian Memory Systems, Inc. Cooperative flash memory control
US11249652B1 (en) 2013-01-28 2022-02-15 Radian Memory Systems, Inc. Maintenance of nonvolatile memory on host selected namespaces by a common memory controller
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
KR102083390B1 (en) 2013-03-15 2020-03-02 인텔 코포레이션 A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
KR101708591B1 (en) 2013-03-15 2017-02-20 소프트 머신즈, 인크. A method for executing multithreaded instructions grouped onto blocks
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
US20140310503A1 (en) * 2013-04-12 2014-10-16 Texas Instruments Incorporated Memory interleaving on memory channels
US20140310484A1 (en) * 2013-04-16 2014-10-16 Nvidia Corporation System and method for globally addressable gpu memory
US9471521B2 (en) * 2013-05-15 2016-10-18 Stmicroelectronics S.R.L. Communication system for interfacing a plurality of transmission circuits with an interconnection network, and corresponding integrated circuit
US9015388B2 (en) * 2013-06-28 2015-04-21 Intel Corporation Controlling access to storage in a computing device
US8973002B1 (en) * 2013-09-16 2015-03-03 Fmr Llc Business rules batch processor
GB2519349B (en) * 2013-10-18 2018-06-27 Stmicroelectronics Grenoble2 Sas Method and apparatus for supporting the use of interleaved memory regions
GB2519351A (en) * 2013-10-18 2015-04-22 St Microelectronics Sa A method and apparatus for use with different memory maps
US9652373B2 (en) 2013-12-16 2017-05-16 International Business Machines Corporation Adaptive statistics for a linear address space
US9396109B2 (en) 2013-12-27 2016-07-19 Qualcomm Incorporated Method and apparatus for DRAM spatial coalescing within a single channel
KR20150093004A (en) * 2014-02-06 2015-08-17 삼성전자주식회사 Method for operating nonvolatile storage device and method for operating computing device accessing nonvolatile storage device
US9460012B2 (en) * 2014-02-18 2016-10-04 National University Of Singapore Fusible and reconfigurable cache architecture
US10616333B2 (en) 2014-04-09 2020-04-07 Stmicroelectronics S.R.L. System for the management of out-of-order traffic in an interconnect network and corresponding method and integrated circuit
US20160026588A1 (en) * 2014-07-23 2016-01-28 Qualcomm Incorporated System and method for bus width conversion in a system on a chip
KR20160028680A (en) * 2014-09-04 2016-03-14 삼성전자주식회사 Data storage device and method thereof
US9542118B1 (en) 2014-09-09 2017-01-10 Radian Memory Systems, Inc. Expositive flash memory control
US9665518B2 (en) * 2014-09-24 2017-05-30 Nxp Usa, Inc. Methods and systems for controlling ordered write transactions to multiple devices using switch point networks
US10042784B2 (en) * 2014-10-13 2018-08-07 Microchip Technology Incorporated Side channel access through USB streams
US10503405B2 (en) * 2015-02-10 2019-12-10 Red Hat Israel, Ltd. Zero copy memory reclaim using copy-on-write
US9378049B1 (en) 2015-02-12 2016-06-28 Amazon Technologies, Inc. Servicing I/O requests in an I/O adapter device
US10037301B2 (en) * 2015-03-04 2018-07-31 Xilinx, Inc. Circuits and methods for inter-processor communication
US10049001B1 (en) 2015-03-27 2018-08-14 Amazon Technologies, Inc. Dynamic error correction configuration
US9886405B1 (en) 2015-03-30 2018-02-06 Amazon Technologies, Inc. Low latency write requests over a network using a pipelined I/O adapter device
US9940284B1 (en) 2015-03-30 2018-04-10 Amazon Technologies, Inc. Streaming interconnect architecture
CN107533706A (en) * 2015-03-31 2018-01-02 Visa国际服务协会 Multi-protocol data transmits
US10387343B2 (en) 2015-04-07 2019-08-20 International Business Machines Corporation Processing of events for accelerators utilized for parallel processing
KR102464801B1 (en) 2015-04-14 2022-11-07 삼성전자주식회사 Method for operating semiconductor device and semiconductor system
US10394743B2 (en) * 2015-05-28 2019-08-27 Dell Products, L.P. Interchangeable I/O modules with individual and shared personalities
US10152112B2 (en) 2015-06-10 2018-12-11 Sonics, Inc. Power manager with a power switch arbitrator
US9864538B1 (en) 2015-06-25 2018-01-09 Amazon Technologies, Inc. Data size reduction
US10552058B1 (en) 2015-07-17 2020-02-04 Radian Memory Systems, Inc. Techniques for delegating data processing to a cooperative memory controller
EP3271826B1 (en) * 2015-07-30 2021-09-29 Hewlett Packard Enterprise Development LP Interleaved access of memory
US9904635B2 (en) 2015-08-27 2018-02-27 Samsung Electronics Co., Ltd. High performance transaction-based memory systems
US10423733B1 (en) 2015-12-03 2019-09-24 The Mathworks, Inc. Systems and methods for sharing resources having different data types
US9772874B2 (en) * 2016-01-29 2017-09-26 International Business Machines Corporation Prioritization of transactions based on execution by transactional core with super core indicator
KR20180007374A (en) * 2016-07-12 2018-01-23 삼성전자주식회사 Electronic device performing software training on memory channel and memory channel training method thereof
US10437946B1 (en) * 2016-09-01 2019-10-08 Xilinx, Inc. Using implemented core sources for simulation
US9971691B2 (en) 2016-09-12 2018-05-15 Intel Corporation Selevtive application of interleave based on type of data to be stored in memory
US10911261B2 (en) 2016-12-19 2021-02-02 Intel Corporation Method, apparatus and system for hierarchical network on chip routing
US10846126B2 (en) 2016-12-28 2020-11-24 Intel Corporation Method, apparatus and system for handling non-posted memory write transactions in a fabric
US11231769B2 (en) 2017-03-06 2022-01-25 Facebook Technologies, Llc Sequencer-based protocol adapter
US10921874B2 (en) 2017-03-06 2021-02-16 Facebook Technologies, Llc Hardware-based operating point controller for circuit regions in an integrated circuit
JP6705401B2 (en) * 2017-03-13 2020-06-03 富士通株式会社 Information processing apparatus, information processing apparatus control method, and information processing apparatus control program
US10762006B2 (en) * 2017-03-31 2020-09-01 Intel Corporation Techniques to dynamically enable memory channels on a compute platform
US10243882B1 (en) 2017-04-13 2019-03-26 Xilinx, Inc. Network on chip switch interconnect
CN108363615B (en) * 2017-09-18 2019-05-14 清华大学 Method for allocating tasks and system for reconfigurable processing system
US10936221B2 (en) 2017-10-24 2021-03-02 Micron Technology, Inc. Reconfigurable memory architectures
US11281608B2 (en) * 2017-12-11 2022-03-22 Micron Technology, Inc. Translation system for finer grain memory architectures
US10673745B2 (en) 2018-02-01 2020-06-02 Xilinx, Inc. End-to-end quality-of-service in a network-on-chip
US11709624B2 (en) * 2018-02-15 2023-07-25 Xilinx, Inc. System-on-chip having multiple circuits and memory controller in separate and independent power domains
US11041211B2 (en) 2018-02-22 2021-06-22 Xilinx, Inc. Power distribution for active-on-active die stack with reduced resistance
US10503690B2 (en) 2018-02-23 2019-12-10 Xilinx, Inc. Programmable NOC compatible with multiple interface communication protocol
US10621129B2 (en) 2018-03-27 2020-04-14 Xilinx, Inc. Peripheral interconnect for configurable slave endpoint circuits
US10628308B2 (en) * 2018-05-24 2020-04-21 Qualcomm Incorporated Dynamic adjustment of memory channel interleave granularity
US10505548B1 (en) 2018-05-25 2019-12-10 Xilinx, Inc. Multi-chip structure having configurable network-on-chip
US11055217B2 (en) * 2018-06-01 2021-07-06 Apple Inc. Using additional intermediate buffer queues to identify interleaved media data to be read together
CN108845958B (en) * 2018-06-19 2022-05-17 中国科学院软件研究所 System and method for interleaver mapping and dynamic memory management
US10929060B2 (en) * 2018-07-17 2021-02-23 Arm Limited Data access request specifying enable vector
US10838908B2 (en) 2018-07-20 2020-11-17 Xilinx, Inc. Configurable network-on-chip for a programmable device
WO2020024113A1 (en) * 2018-07-31 2020-02-06 华为技术有限公司 Memory interleaving method and device
US10824505B1 (en) 2018-08-21 2020-11-03 Xilinx, Inc. ECC proxy extension and byte organization for multi-master systems
US10963460B2 (en) 2018-12-06 2021-03-30 Xilinx, Inc. Integrated circuits and methods to accelerate data queries
US10761822B1 (en) * 2018-12-12 2020-09-01 Amazon Technologies, Inc. Synchronization of computation engines with non-blocking instructions
US10990517B1 (en) * 2019-01-28 2021-04-27 Xilinx, Inc. Configurable overlay on wide memory channels for efficient memory access
US10936486B1 (en) 2019-02-21 2021-03-02 Xilinx, Inc. Address interleave support in a programmable device
US10680615B1 (en) 2019-03-27 2020-06-09 Xilinx, Inc. Circuit for and method of configuring and partially reconfiguring function blocks of an integrated circuit device
US10891414B2 (en) 2019-05-23 2021-01-12 Xilinx, Inc. Hardware-software design flow for heterogeneous and programmable devices
US10891132B2 (en) 2019-05-23 2021-01-12 Xilinx, Inc. Flow convergence during hardware-software design for heterogeneous and programmable devices
US11188312B2 (en) 2019-05-23 2021-11-30 Xilinx, Inc. Hardware-software design flow with high-level synthesis for heterogeneous and programmable devices
US11301295B1 (en) 2019-05-23 2022-04-12 Xilinx, Inc. Implementing an application specified as a data flow graph in an array of data processing engines
US10977018B1 (en) 2019-12-05 2021-04-13 Xilinx, Inc. Development environment for heterogeneous devices
US11210221B2 (en) 2019-12-10 2021-12-28 Pony Ai Inc. Memory architecture for efficient spatial-temporal data storage and access
US11200167B2 (en) * 2019-12-10 2021-12-14 Pony Ai Inc. Dynamic memory address encoding
US11222258B2 (en) 2020-03-27 2022-01-11 Google Llc Load balancing for memory channel controllers
IT202000009364A1 (en) 2020-04-29 2021-10-29 St Microelectronics Srl PROCEDURE FOR ACCESSING A MEMORY AND CORRESPONDING CIRCUIT
CN111930651B (en) * 2020-08-14 2022-03-08 山东云海国创云计算装备产业创新中心有限公司 Instruction execution method, device, equipment and readable storage medium
US11496418B1 (en) 2020-08-25 2022-11-08 Xilinx, Inc. Packet-based and time-multiplexed network-on-chip
US20230359553A1 (en) * 2020-10-23 2023-11-09 Hewlett-Packard Development Company, L.P. Access to volatile memories
CN116897340A (en) * 2021-02-16 2023-10-17 谷歌有限责任公司 Method and apparatus for memory protection data
US11336287B1 (en) 2021-03-09 2022-05-17 Xilinx, Inc. Data processing engine array architecture with memory tiles
US11520717B1 (en) 2021-03-09 2022-12-06 Xilinx, Inc. Memory tiles in data processing engine array
US11687281B2 (en) 2021-03-31 2023-06-27 Advanced Micro Devices, Inc. DRAM command streak efficiency management
CN113271475B (en) * 2021-04-12 2023-01-10 北京奇艺世纪科技有限公司 Data processing method, system, electronic equipment and storage medium
US11848670B2 (en) 2022-04-15 2023-12-19 Xilinx, Inc. Multiple partitions in a data processing array
CN114896182B (en) * 2022-05-11 2023-10-20 地平线(上海)人工智能技术有限公司 Storage device, method, electronic apparatus, and storage medium

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4189767A (en) * 1978-06-05 1980-02-19 Bell Telephone Laboratories, Incorporated Accessing arrangement for interleaved modular memories
EP0553338B1 (en) * 1991-08-16 1999-10-13 Cypress Semiconductor Corp. High-performance dynamic memory system
US5708659A (en) * 1993-10-20 1998-01-13 Lsi Logic Corporation Method for hashing in a packet network switching system
US5860159A (en) * 1996-07-01 1999-01-12 Sun Microsystems, Inc. Multiprocessing system including an apparatus for optimizing spin--lock operations
US5946710A (en) * 1996-11-14 1999-08-31 Unisys Corporation Selectable two-way, four-way double cache interleave scheme
US5835741A (en) * 1996-12-31 1998-11-10 Compaq Computer Corporation Bus-to-bus bridge in computer system, with fast burst memory range
US5948089A (en) * 1997-09-05 1999-09-07 Sonics, Inc. Fully-pipelined fixed-latency communications system with a real time dynamic bandwidth allocation
US6097212A (en) 1997-10-09 2000-08-01 Lattice Semiconductor Corporation Variable grain architecture for FPGA integrated circuits
US6292705B1 (en) * 1998-09-29 2001-09-18 Conexant Systems, Inc. Method and apparatus for address transfers, system serialization, and centralized cache and transaction control, in a symetric multiprocessor system
US6182183B1 (en) * 1998-11-13 2001-01-30 Sonics, Inc. Communications system and method with multilevel connection identification
US6393500B1 (en) * 1999-08-12 2002-05-21 Mips Technologies, Inc. Burst-configurable data bus
US6487621B1 (en) * 1999-08-17 2002-11-26 Compaq Information Technologies Group, L.P. Architecture, system and method for ensuring an ordered transaction on at least one of a plurality of multi-processor buses that experience a hit-to-modified snoop cycle
US6526462B1 (en) * 1999-11-19 2003-02-25 Hammam Elabd Programmable multi-tasking memory management system
US6330225B1 (en) * 2000-05-26 2001-12-11 Sonics, Inc. Communication system and method for different quality of service guarantees for different data flows
US7325221B1 (en) * 2000-08-08 2008-01-29 Sonics, Incorporated Logic system with configurable interface
US6820165B2 (en) * 2000-08-31 2004-11-16 Hewlett-Packard Development Company, L.P. System and method for increasing the count of outstanding split transactions
US6874039B2 (en) * 2000-09-08 2005-03-29 Intel Corporation Method and apparatus for distributed direct memory access for systems on chip
US6625685B1 (en) * 2000-09-20 2003-09-23 Broadcom Corporation Memory controller with programmable configuration
US6601145B2 (en) 2000-12-27 2003-07-29 International Business Machines Corporation Multiprocessor system snoop scheduling mechanism for limited bandwidth snoopers that uses dynamic hardware/software controls
US6845482B2 (en) * 2001-02-28 2005-01-18 Qualcomm Incorporated Interleaver for turbo decoder
US7165094B2 (en) * 2001-03-09 2007-01-16 Sonics, Inc. Communications system and method with non-blocking shared interface
US6392572B1 (en) * 2001-05-11 2002-05-21 Qualcomm Incorporated Buffer architecture for a turbo decoder
US20030004699A1 (en) * 2001-06-04 2003-01-02 Choi Charles Y. Method and apparatus for evaluating an integrated circuit model
US20040010652A1 (en) * 2001-06-26 2004-01-15 Palmchip Corporation System-on-chip (SOC) architecture with arbitrary pipeline depth
US20030023794A1 (en) * 2001-07-26 2003-01-30 Venkitakrishnan Padmanabha I. Cache coherent split transaction memory bus architecture and protocol for a multi processor chip device
US6578117B2 (en) * 2001-10-12 2003-06-10 Sonics, Inc. Method and apparatus for scheduling requests using ordered stages of scheduling criteria
US7194561B2 (en) * 2001-10-12 2007-03-20 Sonics, Inc. Method and apparatus for scheduling requests to a resource using a configurable threshold
US20030088721A1 (en) * 2001-11-05 2003-05-08 Sharma Debendra Das Method and system for controlling flow of ordered, pipelined transactions between intercommunicating electronic devices
US7194566B2 (en) * 2002-05-03 2007-03-20 Sonics, Inc. Communication system and method with configurable posting points
US7269709B2 (en) * 2002-05-15 2007-09-11 Broadcom Corporation Memory controller configurable to allow bandwidth/latency tradeoff
US7100013B1 (en) * 2002-08-30 2006-08-29 Nvidia Corporation Method and apparatus for partial memory power shutoff
US7120765B2 (en) * 2002-10-30 2006-10-10 Intel Corporation Memory transaction ordering
US7243264B2 (en) * 2002-11-01 2007-07-10 Sonics, Inc. Method and apparatus for error handling in networks
US7221612B2 (en) 2002-11-20 2007-05-22 Nxp B.V. SDRAM address mapping optimized for two-dimensional access
US7149829B2 (en) * 2003-04-18 2006-12-12 Sonics, Inc. Various methods and apparatuses for arbitration among blocks of functionality
FR2857114B1 (en) * 2003-07-04 2005-09-30 Arteris SYSTEM AND METHOD FOR COMMUNICATION BETWEEN MODULES
FR2858895B1 (en) * 2003-08-13 2006-05-05 Arteris METHOD AND DEVICE FOR MANAGING PRIORITY WHEN TRANSMITTING A MESSAGE
US7296105B2 (en) * 2003-10-03 2007-11-13 Sonics, Inc. Method and apparatus for configuring an interconnect to implement arbitration
US7665069B2 (en) * 2003-10-31 2010-02-16 Sonics, Inc. Method and apparatus for establishing a quality of service model
FR2862457B1 (en) * 2003-11-13 2006-02-24 Arteris SYSTEM AND METHOD FOR TRANSMITTING A SEQUENCE OF MESSAGES IN AN INTERCONNECTION NETWORK.
FR2865334B1 (en) * 2004-01-21 2006-03-03 Arteris METHOD AND SYSTEM FOR TRANSMITTING MESSAGES IN AN INTERCONNECTION NETWORK.
FR2867338B1 (en) * 2004-03-02 2007-08-10 Arteris METHOD AND DEVICE FOR SWITCHING BETWEEN AGENTS
US7543088B2 (en) 2004-03-11 2009-06-02 Sonics, Inc. Various methods and apparatuses for width and burst conversion
US7053351B2 (en) * 2004-03-30 2006-05-30 Matsushita Electric Industrial, Co., Ltd Near-field scanning optical microscope for laser machining of micro- and nano- structures
JPWO2005109205A1 (en) * 2004-04-15 2008-03-21 松下電器産業株式会社 Information processing apparatus and data access method
EP1811393B1 (en) * 2004-08-30 2009-03-11 Magima Digital Information Co., Ltd. Method and system for data transfer
US7116131B1 (en) * 2004-09-15 2006-10-03 Xilinx, Inc. High performance programmable logic devices utilizing dynamic circuitry
TWI254856B (en) * 2004-10-29 2006-05-11 Via Tech Inc Memory interleave method
US7155554B2 (en) 2004-11-02 2006-12-26 Sonics, Inc. Methods and apparatuses for generating a single request for block transactions over a communication fabric
JP4820566B2 (en) * 2005-03-25 2011-11-24 パナソニック株式会社 Memory access control circuit
JP4314528B2 (en) 2005-03-29 2009-08-19 日本電気株式会社 Multiprocessor system and memory access method
US7461190B2 (en) * 2005-08-11 2008-12-02 P.A. Semi, Inc. Non-blocking address switch with shallow per agent queues
US7616036B1 (en) * 2005-09-12 2009-11-10 Virage Logic Corporation Programmable strobe and clock generator
FR2893471B1 (en) * 2005-11-16 2008-01-25 Arteris Sa SYSTEM AND METHOD FOR STATIC ROUTING OF DATA PACKET STREAMS IN AN INTERCONNECTION NETWORK
US20080005516A1 (en) * 2006-06-30 2008-01-03 Meinschein Robert J Memory power management through high-speed intra-memory data transfer and dynamic memory address remapping
FR2904445B1 (en) * 2006-07-26 2008-10-10 Arteris Sa SYSTEM FOR MANAGING MESSAGES TRANSMITTED IN A CHIP INTERCONNECTION NETWORK
JP2008033722A (en) * 2006-07-31 2008-02-14 Matsushita Electric Ind Co Ltd Data transfer control device with endian conversion circuit
US20080086577A1 (en) * 2006-10-04 2008-04-10 Mediatek Inc. Digital Television System, Memory Controller, and Method for Data Access
US7680988B1 (en) * 2006-10-30 2010-03-16 Nvidia Corporation Single interconnect providing read and write access to a memory shared by concurrent threads
US20080235421A1 (en) * 2007-03-22 2008-09-25 Siva Shankar Jayaratnam Technique and apparatus to optimize inter-port memory transaction sequencing on a multi-ported memory controller unit
JP5121291B2 (en) * 2007-04-20 2013-01-16 株式会社ニューフレアテクノロジー Data transfer system
US8108648B2 (en) * 2007-06-25 2012-01-31 Sonics, Inc. Various methods and apparatus for address tiling
US8438320B2 (en) * 2007-06-25 2013-05-07 Sonics, Inc. Various methods and apparatus for address tiling and channel interleaving throughout the integrated system
US9292436B2 (en) 2007-06-25 2016-03-22 Sonics, Inc. Various methods and apparatus to support transactions whose data address sequence within that transaction crosses an interleaved channel address boundary
US7818497B2 (en) * 2007-08-31 2010-10-19 International Business Machines Corporation Buffered memory module supporting two independent memory channels
US8429386B2 (en) 2009-06-30 2013-04-23 Oracle America, Inc. Dynamic tag allocation in a multithreaded out-of-order processor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
No further relevant documents disclosed *

Also Published As

Publication number Publication date
EP2216722A2 (en) 2010-08-11
US20080320254A1 (en) 2008-12-25
US10062422B2 (en) 2018-08-28
US9292436B2 (en) 2016-03-22
EP2413355B1 (en) 2021-08-11
US9495290B2 (en) 2016-11-15
US20080320255A1 (en) 2008-12-25
US20120036296A1 (en) 2012-02-09
US20080320476A1 (en) 2008-12-25
US20080320268A1 (en) 2008-12-25
US8407433B2 (en) 2013-03-26
US20170140800A1 (en) 2017-05-18
EP2216722A3 (en) 2012-11-21
JP2011054184A (en) 2011-03-17
EP2216722B1 (en) 2019-06-19
EP2160762A1 (en) 2010-03-10
EP2216722B8 (en) 2021-08-25
WO2009002998A1 (en) 2008-12-31
EP2413355A1 (en) 2012-02-01
JP2010531518A (en) 2010-09-24

Similar Documents

Publication Publication Date Title
EP2160762A4 (en) An interconnect implementing internal controls
GB0714924D0 (en) An engine arrangement
GB2457925B (en) Multi-fuelling an engine
GB0617769D0 (en) An engine
EP2064045A4 (en) Plastic-acceptor hybrid components
EP2260515A4 (en) Interconnect assembly
IL199623A0 (en) An arrangement
GB0614302D0 (en) An engine arrangement
EP2201219A4 (en) Electromagnetic engine
ZA200902126B (en) An alternator
AU319828S (en) Engine
EP2224112A4 (en) Engine
EP2184476A4 (en) Engine
GB2442967B (en) An engine arrangement
GB0719064D0 (en) simple steps
EP2131031A4 (en) Engine
GB0716060D0 (en) An engine generator set
EP2184474A4 (en) Engine
GB0613717D0 (en) Electric Engine
GB2451155B (en) An engine
GB0702547D0 (en) Elevation
ZA200708752B (en) Component
GB0703927D0 (en) Fuel
GB0608847D0 (en) An Engine
ZA200803653B (en) An engine

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20091208

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MT NL NO PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA MK RS

DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20101229

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTG Intention to grant announced

Effective date: 20160118

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20160531