US20010000242A1 - Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device - Google Patents

Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device Download PDF

Info

Publication number
US20010000242A1
US20010000242A1 US09/726,661 US72666100A US2001000242A1 US 20010000242 A1 US20010000242 A1 US 20010000242A1 US 72666100 A US72666100 A US 72666100A US 2001000242 A1 US2001000242 A1 US 2001000242A1
Authority
US
United States
Prior art keywords
layer
insulating layer
angstroms
thickness
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/726,661
Other versions
US6617631B2 (en
US20010003663A1 (en
Inventor
Jenn Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US09/726,661 priority Critical patent/US6617631B2/en
Priority to US09/726,661 priority patent/US20010003663A1/en
Publication of US20010000242A1 publication Critical patent/US20010000242A1/en
Application granted granted Critical
Publication of US6617631B2 publication Critical patent/US6617631B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line

Definitions

  • the present invention relates to integrated circuits, and more particularly to a method for fabricating an array of DRAM cells with closely spaced capacitors having reduced parasitic capacitance between adjacent capacitors, and DRAM embedded in integrated circuits.
  • DRAM circuits devices are used extensively in the electronics industry, and more particularly in the computer industry for storing data in binary form (1s and 0s) as charge on a storage capacitor. These DRAM devices are made on semiconductor substrates (or wafers) and then the substrates are diced to form the individual DRAM circuits (or chips). Each DRAM circuit (chip) consists in part of an array of individual memory cells that store binary data (bits) as electrical charge on the storage capacitors.
  • the information is stored and retrieved from the storage capacitors by means of switching on or off a single access transistor (via word lines) in each memory cell using peripheral address circuits, while the charge stored on the capacitors is sensed via bit lines and by read/write circuits formed on the peripheral circuits of the DRAM chip.
  • the access transistor for the DRAM device is usually a field effect transistor (FET), and the single capacitor in each cell is formed either in the semiconductor substrate as a trench capacitor, or is built over the FET in the cell area as a stacked capacitor.
  • FET field effect transistor
  • FET field effect transistor
  • the reduced charge also requires more frequent refresh cycles that periodically restore the charge on these volatile storage cells.
  • the capacitor area is limited to the cell size in order to accommodate the multitude of cells on the DRAM chip, it is necessary to explore alternative methods for increasing the capacitance while decreasing the lateral area that the capacitor occupies on the substrate surface.
  • the method of choice is to build stacked capacitors in the vertical direction over the access transistors within each cell area to increase the capacitance of the individual capacitors by increasing the capacitor area in the vertical direction.
  • these vertical stacked capacitors are formed by making bottom electrodes in recesses in an insulating layer (having dielectric constant k), the increase in parasitic capacitance between adjacent capacitors can adversely affect, the data retention.
  • a principal object of the present invention is to fabricate capacitor-over-bit line (COB) DRAM cells with closely spaced capacitors having reduced parasitic capacitance between closely spaced capacitors.
  • COB capacitor-over-bit line
  • Another object of this invention is to utilize an insulator having a low dielectric constant (low-k) between the closely spaced capacitors on adjacent memory cells to reduce the parasitic capacitance and to improve the charge retention time on the capacitors.
  • low-k low dielectric constant
  • Still another objective of this invention is to provide a very manufacturable process that allows openings to be etched selectively in the low-k insulator for forming the DRAM capacitors.
  • the method for making an array of closely spaced stacked capacitors with reduced parasitic capacitance between adjacent capacitors on a DRAM device begins by providing a semiconductor substrate.
  • the substrate is a single-crystal silicon substrate doped with a P type conductive dopant, such as boron (B).
  • a relatively thick Field OXide (FOX) is formed surrounding and electrically isolating an array of device areas on the substrate.
  • the field oxide is typically formed using the LOCal Oxidation of Silicon (LOCOS) method, in which a patterned silicon nitride (Si 3 N 4 ) layer is used to mask the device areas from oxidation while the silicon substrate in the FOX areas is thermally oxidized to the desired thickness.
  • LOC LOCal Oxidation of Silicon
  • a thin gate oxide is then formed in the device areas of the silicon substrate for making semiconductor devices such as field effect transistors (FETs).
  • FETs field effect transistors
  • a polycide (polysilicon/silicide) layer having a cap layer (optional) consisting of silicon oxide (SiO 2 ) and silicon nitride (Si 3 N 4 ) thereon, is patterned to form the FET gate electrodes and the interconnecting word lines for the array of memory cells on the DRAM device.
  • the lightly doped source/drain regions are formed adjacent to the FET gate electrodes using ion implantation.
  • a spacer silicon nitride (Si 3 N 4 ) layer is deposited and anisotropically etched back to form spacers on the sidewalls of the gate electrodes and completes the FETs for the memory cells.
  • a first insulating layer is deposited over the device areas and the FOX areas.
  • the first insulating layer is composed of SiO 2 and is deposited by low-pressure chemical vapor deposition (LPCVD).
  • LPCVD low-pressure chemical vapor deposition
  • the first insulating layer is then planarized, for example by chemical/mechanical polishing (CMP).
  • First contact openings for bit lines and for capacitor node contacts are etched in the first insulating layer to the source/drain areas.
  • the first contact openings are etched extending over the gate electrodes, and are etched selectively to the Si 3 N 4 cap layer and sidewall spacers to form self-aligned contacts (SAC).
  • a conductively doped first polysilicon layer is deposited by LPCVD on the first insulating layer and is sufficiently thick to fill the first openings.
  • the first polysilicon layer is etched back to the planar first insulating layer to form bit-line plugs to electrically contact the first source/drain areas, and to, concurrently form capacitor node contact plugs to electrically contact the second source/drain areas.
  • a SiO 2 second insulating layer is deposited over the first insulating layer and over the bit-line plugs and over the capacitor node contact plugs. Second openings for bit lines are etched in the second insulating layer to the bit-line plugs.
  • a polycide layer composed of a doped polysilicon layer and a refractory metal silicide layer, is deposited over the second insulating layer. The polycide layer is patterned to form the bit lines over the bit-line plugs.
  • a third insulating layer such as SiO 2 is deposited over the bit lines and is planarized by chemical-mechanical polishing (CMP).
  • CMP chemical-mechanical polishing
  • a Si 3 N 4 etch-stop layer is deposited by LPCVD on the third insulating layer. Third openings are etched in the etch-stop layer and in the third and second insulating layers to the capacitor node contact plugs.
  • a second conductively doped polysilicon layer is deposited sufficiently thick to fill the third openings and is chemically-mechanically polished or plasma etched back to the etch-stop layer to form polysilicon plugs in the third openings to the capacitor node contact plugs.
  • a key feature of this invention is to deposit a fourth insulating layer that has a low dielectric constant (low-k).
  • the low-k material can be a fluorosilicate glass (FSG), a fluorinated amorphous carbon (FLAC), a porous oxide such as nanofoams, and the like.
  • FSG fluorosilicate glass
  • FLAC fluorinated amorphous carbon
  • This low-k dielectric material reduces the parasitic capacitance between the closely spaced stacked capacitors and reduces the disturbance of the data retention (electrical charge on the capacitor) of the neighboring DRAM memory cells.
  • an array of recesses is etched in the fourth insulating layer over and to the polysilicon plugs contacting the capacitor node contact plugs.
  • a conformal first conducting layer such as a doped polysilicon, is deposited and polished back to the surface of the fourth insulating layer to form capacitor bottom electrodes in the recesses.
  • a second conducting layer, such as a doped polysilicon, is deposited and patterned to form capacitor top electrodes to complete the array of capacitors.
  • a fifth insulating layer is deposited to electrically insulate the array of capacitors on the DRAM device prior to subsequent processing to complete the DRAM device.
  • FIGS. 1 through 12 show schematic cross-sectional views for two adjacent memory cell regions on a DRAM device showing in detail the fabrication steps for making capacitor-over-bit line (COB) DRAM cells with closely spaced capacitors having reduced parasitic capacitance.
  • COB capacitor-over-bit line
  • CMOS Complementary Metal-Oxide-Semiconductor
  • FIG. 1 a schematic cross-sectional view of a portion of a semiconductor substrate 10 is shown having two partially completed DRAM cells.
  • the preferred substrate 10 is composed of a lightly doped P type single-crystal silicon having a ⁇ 100>crystallographic orientation.
  • a relatively thick Field OXide (FOX) 12 is formed to surround and electrically isolate the individual device regions in which the memory cells are built.
  • the field oxide 12 which is only partially shown in FIG. 1 between the two cell areas, is most commonly formed by the LOCal Oxidation of Silicon (LOCOS) method.
  • LOCS LOCal Oxidation of Silicon
  • the LOCOS method uses a thin SiO 2 (pad oxide) as a stress release layer, and a thicker silicon nitride (Si 3 N 4 ) layer that serves as an oxidation barrier layer on the pad oxide on the substrate surface (not shown in FIG. 1). Also not depicted in the drawings, conventional photolithographic techniques and etching are used to remove the Si 3 N 4 barrier layer in areas where a field oxide is required, while retaining the Si 3 N 4 over the active device areas to prevent oxidation.
  • the silicon substrate 10 is then thermally oxidized to form the field oxide areas 12 , as shown in FIG. 1.
  • the field oxide is usually grown to a thickness of between about 2000 and 5000 Angstroms.
  • the Si 3 N 4 barrier layer and pad oxide are removed in a wet etch, such as in a hot phosphoric acid (H 3 PO 4 ) etch for removing the Si 3 N 4 , and, in a dilute hydrofluoric acid and water (HF/H 2 O) solution for removing the pad oxide.
  • H 3 PO 4 hot phosphoric acid
  • HF/H 2 O dilute hydrofluoric acid and water
  • Other field oxide isolation methods can be used which allow even more closely spaced device areas and higher cell density. For example shallow trench isolation (STI) and the like can be used with this invention.
  • the semiconductor devices are then formed in the active device areas.
  • the most commonly used device for DRAMs is the field effect transistor (FET) having an oxide gate.
  • FET field effect transistor
  • These devices are formed by first thermally oxidizing the active device areas to form a thin gate oxide 14 having a preferred thickness of between about 50 and 100 Angstroms.
  • An appropriately doped polysilicon layer 16 and a refractory metal silicide layer 18 are deposited on the substrate 10 .
  • a cap layer, consisting of a SiO 2 layer 20 and a Si 3 N 4 layer 22 is deposited, and layers 22 , 20 , 18 , and 16 are patterned to form FET gate electrodes with the insulating cap layer ( 20 and 22 ).
  • the polysilicon layer 16 is deposited by low-pressure chemical vapor deposition (LPCVD) to a thickness of between about 500 and 2000 Angstroms, and is doped with arsenic or phosphorus for N-channel FETs.
  • the silicide layer 18 is typically tungsten silicide (WSi x ), deposited by CVD using tungsten hexafluoride (WF 6 ) and silane (SiH 4 ), and is deposited to a thickness of between about 500 and 2000 Angstroms.
  • the cap layer is formed by depositing an optional CVD SiO 2 layer 20 to a thickness of between about 100 and 300 Angstroms, and the Si 3 N 4 layer 22 is deposited by LPCVD to a thickness of between about 1000 and 2500 Angstroms.
  • lightly doped source/drain areas 17 are formed adjacent to the gate electrodes 1 by ion implanting an N type dopant such as arsenic (As 75 ) or phosphorus (p 31 )
  • sidewall spacers 24 are formed on the sidewalls of the polycide gate electrodes 1 by depositing a Si 3 N 4 , for example by LPCVD using SiCl 2 H 2 and NH 3 , and anisotropically etching back in a reactive ion etcher (RIE).
  • RIE reactive ion etcher
  • source/drain contact areas are formed in the peripheral area of the DRAM chip by using a block-out mask and a second ion
  • a first insulating layer 26 preferably composed of SiO 2 , is deposited over the device areas and the field oxide areas 12 .
  • layer 26 can be deposited by LPCVD using tetraethosiloxane (TEOS) as the reactant gas, and is deposited to a thickness of between about 3000 and 8000 Angstroms.
  • TEOS tetraethosiloxane
  • the first insulating layer 26 is then planarized.
  • One method of globally planarizing layer 26 is to use chemical/mechanical polishing (CMP) using an appropriate polishing slurry.
  • CMP chemical/mechanical polishing
  • first contact openings 2 for bit lines and for capacitor node contacts are etched in the first insulating layer 26 to the source/drain areas 17 (N ⁇ ) on the substrate.
  • the first contact openings are etched extending over the gate electrodes 1 .
  • the contact openings 2 are etched preferably using a high-density-plasma (HDP) etcher and an etchant gas mixture that etches the SiO 2 layer 26 selectively to the Si 3 N 4 cap layer 22 and to the Si 3 N 4 sidewall spacers 24 to form self-aligned contacts (SAC).
  • This selective etching is achieved using a fluorine-based etchant gas mixture such as C 4 F 8 and CH 2 F 2 that provides an etch-rate ratio of SiO 2 to Si 3 N 4 of about 10:1.
  • a conductively doped first polysilicon layer is deposited on the first insulating layer 26 , and is sufficiently thick to fill the first openings 2 .
  • the first polysilicon layer is then etched back or chem/mech polished back to the planar first insulating layer 26 to form bit-line plugs 28 to electrically contact the first source/drain areas 17 (N ⁇ ), and to concurrently form capacitor node contact plugs 29 to electrically contact, the second source/drain areas, also labeled 17 (N ⁇ ).
  • the first polysilicon layer is deposited preferably by LPCVD using SiH 4 as the reactant gas, and is in-situ doped with phosphorus to a concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm 3 using phosphine (PH 3 ) as the dopant gas.
  • the first polysilicon layer can be etched back using plasma etching and a chlorine-based (Cl 2 ) etchant gas that selectively etches the polysilicon back to the SiO 2 layer 26 .
  • a second insulating layer 30 is deposited over the first insulating layer 26 and over the bit-line plugs 28 and over the capacitor node contact plugs 29 .
  • Layer 30 is preferably SiO 2 , deposited by LPCVD to a thickness of between about 500 and 2000 Angstroms.
  • the SiO 2 layers 26 and 30 together are commonly referred to in the industry as an interpolysilicon oxide-1 (IPO-1) layer.
  • second openings 4 for bit lines are etched in the second insulating layer 30 to the bit-line plugs 28 .
  • Conventional photolithographic techniques and anisotropic plasma etching are used to etch the openings 4 .
  • the openings are etched using RIE and a fluorine-based etchant gas mixture.
  • the bit lines are formed by depositing a polycide layer composed of a doped polysilicon layer 32 and a refractory metal silicide layer 34 over the second insulating layer 30 .
  • the polysilicon layer 32 is deposited by LPCVD to a thickness of between about 300 and 1000 Angstroms and is doped with phosphorus to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm 3 .
  • the refractory metal silicide layer 34 preferably composed of tungsten silicide (WSi x ), is now deposited on the polysilicon layer 32 by LPCVD using tungsten hexafluoride (WF 6 ) and SiH 4 as the reactant gases.
  • Layer 34 is deposited to a thickness of between about 500 and 2000 Angstroms.
  • the polycide layer ( 32 and 34 ) is then patterned using a photoresist mask and RIE with an chlorine-based gas mixture to form the bit lines over the bit-line plugs 28 .
  • a third insulating layer 36 such as SiO 2
  • an etch-stop layer 38 is deposited on the third insulating layer 36 .
  • Layer 38 is preferably Si 3 N 4 , deposited by plasma-enhanced CVD using, for example, silane (SiH 4 ) and ammonia (NH 3 ) as the reactant gases, and at a deposition temperature of about 250 to 350° C.
  • the thickness of the etch-stop layer 38 is between about 40 and 100 Angstroms, and more specifically about 50 Angstroms.
  • openings 6 for contacts are etched in the etch-stop layer 38 and in the third and second insulating layers 36 and 30 to the capacitor node contact plugs 29 , which are also commonly referred to as landing pads.
  • Conventional photolithographic techniques and anisotropic etching are used to etch the openings 6 .
  • the etching can be carried out using RIE and a fluorine-based etchant gas mixture.
  • a second conductively doped polysilicon layer 40 is deposited sufficiently thick to fill the third openings 6 and is chem/mech polished or plasma etched back to the etch-stop layer 38 to form polysilicon plugs 40 in the third openings 6 to make electrical contact to the capacitor node contact plugs 29 .
  • Second polysilicon layer 40 is deposited by LPCVD and is in-situ doped with phosphorus to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm 3 .
  • the low-k material can be a fluorosilicate glass (FSG).
  • FSG fluorosilicate glass
  • the FSG can be deposited by LPCVD using TEOS and by introducing a fluorine-containing dopant gas such as carbon tetrafluoride (CF 4 ).
  • CF 4 carbon tetrafluoride
  • Another low-k material that can be used is a spin-on dielectric (SOD) material, such as hydrogen silsesquioxane (HSQ) that is baked and cured.
  • SOD spin-on dielectric
  • the low-k fourth insulating layer 42 is deposited to a thickness of between about 5000 and 12000 Angstroms, and more specifically to a thickness of about 10000 Angstroms.
  • an array of recesses 8 for crown capacitors is etched in the low-k fourth insulating layer 42 over and to the polysilicon plugs 40 contacting the capacitor node contact plugs 29 .
  • the recesses 8 are etched using a photoresist mask and anisotropic plasma etching.
  • the recesses are etched selectively to the etch-stop layer 38 using RIE and a fluorine-based etchant gas mixture.
  • a conformal first conducting layer 44 is deposited.
  • Layer 44 is preferably a doped polysilicon, deposited by LPCVD using, for example, SiH 4 as the reactant gas, and is doped in situ with phosphorus by adding a dopant gas such as phosphine (PH 3 ).
  • PH 3 phosphine
  • Layer 44 is deposited to a thickness of between about 500 and 1000 Angstroms, and is doped to a concentration of between about 1.0 E 19 and 1.0 E 22 atoms/cm 3 .
  • the first conducting layer 44 is polished back to the surface of the low-k fourth insulating layer 42 to define an array of capacitor bottom electrodes, also labeled 44 , in the recesses 8 .
  • the polishing is carried out using an appropriate polishing slurry and endpoint-detect to stop at layer 42 .
  • a thin interelectrode dielectric layer 46 that has a high dielectric constant (high-k), is formed on the array of bottom electrode 44 .
  • the dielectric layer 46 is preferably composed of layers of SiO 2 /Si 3 N 4 /SiO 2 (ONO).
  • the ONO dielectric layer can be formed by first growing a thin thermal oxide (e.g., 5 Angstroms) on the polysilicon bottom electrodes 44 .
  • a Si 3 N 4 layer is deposited by LPCVD.
  • a thin SiO 2 is formed using an oxidation furnace to partially reduce the Si 3 N 4 layer to form a top SiO 2 layer that provides a pin-hole-free ONO layer.
  • the effective thickness of the ONO is about 45 to 48 Angstroms.
  • the dielectric layer 46 can be other high-dielectric constant materials, such as tantalum pentoxide (Ta 2 O 5 ) or can be used in conjunction with ONO to form the high-dielectric-constant layer 46 .
  • Layer 48 is preferably an in-situ doped polysilicon layer and is deposited by LPCVD using a reactant gas such SiH 4 , and using a dopant gas such as PH 3 .
  • the polysilicon layer 48 is doped N + to a preferred concentration of between about 1.0 E 19 and 1.0 E 22 atoms/cm 3 .
  • the preferred thickness of the polysilicon layer 48 is between about 500 and 1000 Angstroms.
  • Layer 48 is then patterned to form the capacitor top electrodes.
  • a fifth insulating layer 50 is deposited sufficiently thick to electrically insulate the array of capacitors on the DRAM device prior to subsequent processing to complete the DRAM device.
  • Layer 50 is SiO 2 , deposited by LPCVD.
  • the parasitic capacitance between adjacent crown capacitors is substantially reduced by the low-k-dielectric-material insulating layer 42 .
  • the spacing d can be further reduced without increasing the parasitic capacitance. For example, if the dielectric constant k is reduced by 50 percent (e.g., k is reduced from 4 to 2), then the spacing d can also be decreased by 50 percent without increasing C p .

Abstract

A method for making DRAM devices having reduced parasitic capacitance between closely spaced capacitors is achieved. After forming FETs for the memory cells and bit lines having bit-line contacts, a planar insulating layer is formed having an etch-stop layer thereon. Contact openings are etched in the insulating layer and are filled with polysilicon to make contact to capacitor node contact plugs. A relatively thick insulating layer having a low dielectric constant (k) is deposited, and an array of recesses are etched over the node contact plugs for crown-shaped capacitors. A polysilicon layer and an interelectrode dielectric layer are formed in the array of recesses, and another polysilicon layer is patterned to complete the crown capacitors. The low-k insulator between adjacent capacitors reduces the parasitic capacitance and improves data retention of DRAM cells. Alternatively, higher density of memory cells can be formed without increasing parasitic capacitance.

Description

    BACKGROUND OF THE INVENTION
  • 1. (1) Field of the Invention
  • 2. The present invention relates to integrated circuits, and more particularly to a method for fabricating an array of DRAM cells with closely spaced capacitors having reduced parasitic capacitance between adjacent capacitors, and DRAM embedded in integrated circuits.
  • 3. (2) Description of the Prior Art
  • 4. Dynamic random access memory (DRAM) circuits (devices) are used extensively in the electronics industry, and more particularly in the computer industry for storing data in binary form (1s and 0s) as charge on a storage capacitor. These DRAM devices are made on semiconductor substrates (or wafers) and then the substrates are diced to form the individual DRAM circuits (or chips). Each DRAM circuit (chip) consists in part of an array of individual memory cells that store binary data (bits) as electrical charge on the storage capacitors. Further, the information is stored and retrieved from the storage capacitors by means of switching on or off a single access transistor (via word lines) in each memory cell using peripheral address circuits, while the charge stored on the capacitors is sensed via bit lines and by read/write circuits formed on the peripheral circuits of the DRAM chip.
  • 5. The access transistor for the DRAM device is usually a field effect transistor (FET), and the single capacitor in each cell is formed either in the semiconductor substrate as a trench capacitor, or is built over the FET in the cell area as a stacked capacitor. To maintain a reasonable DRAM chip size and improved circuit performance, it is necessary to further reduce the area occupied by the individual cells on the DRAM chip, and to move the adjacent capacitors on memory cells closer together. Unfortunately, as the cell size decreases, it becomes increasingly more difficult to fabricate stacked or trench storage capacitors with sufficient capacitance to store the necessary charge to provide an acceptable signal-to-noise level for the read circuits (sense amplifiers) to detect. The reduced charge also requires more frequent refresh cycles that periodically restore the charge on these volatile storage cells. This increase in refresh cycles further reduces the performance (speed) of the DRAM circuit. As cell density increases and cell area decreases, it is also necessary to make the capacitors closer together. This results in increased parasitic capacitance between adjacent capacitors and can disturb the data retention (charge) on the capacitor.
  • 6. Since the capacitor area is limited to the cell size in order to accommodate the multitude of cells on the DRAM chip, it is necessary to explore alternative methods for increasing the capacitance while decreasing the lateral area that the capacitor occupies on the substrate surface. In recent years the method of choice is to build stacked capacitors in the vertical direction over the access transistors within each cell area to increase the capacitance of the individual capacitors by increasing the capacitor area in the vertical direction. However, when these vertical stacked capacitors are formed by making bottom electrodes in recesses in an insulating layer (having dielectric constant k), the increase in parasitic capacitance between adjacent capacitors can adversely affect, the data retention.
  • 7. The unwanted parasitic capacitance Cp (Cp=kA/d) between capacitors increases because of their close proximity (decreasing spacing d), and because the effective area A also increases between adjacent capacitors.
  • 8. Several methods have been reported that increase the capacitance of the individual capacitors, but do not address the problem associated with the parasitic capacitance Cp due to the close proximity of adjacent capacitors. For example, in U.S. Pat. No. 5,811,331 to Ying et al., a method is taught for making cylindrical capacitors with improved void-free insulation and better photolithographic overlay tolerances. In U.S. Pat. No. 5,851,877 to Ho et al., a method of making crown-shaped capacitors is described in which the formation of a polymer residue on the sidewalls of the bottom electrodes during etching is utilized as an etch mask. In U.S. Pat. No. 5,858,829 to Chen, a method is described for making cylindrical-shaped capacitors self-aligned to bit lines formed from electrically conducting sidewall spacers (split bit line) that reduces cell area. Still another method for reducing RC time constant by reducing the capacitance C is taught in U.S. Pat. No. 5,858,869 to Chen et al., in which, a low-dielectric-constant (low-k) oxide and polymer are used between metal lines (interconnections).
  • 9. Although there has been considerable work done to increase the capacitor area on these miniature stacked capacitors, there is still a need to fabricate an array of DRAM cells with minimum parasitic capacitance between adjacent capacitors. This will become exceptionally important as the cell area decreases on future gigabit DRAM circuits anticipated for production after the year 2000.
  • SUMMARY OF THE INVENTION
  • 10. A principal object of the present invention is to fabricate capacitor-over-bit line (COB) DRAM cells with closely spaced capacitors having reduced parasitic capacitance between closely spaced capacitors.
  • 11. Another object of this invention is to utilize an insulator having a low dielectric constant (low-k) between the closely spaced capacitors on adjacent memory cells to reduce the parasitic capacitance and to improve the charge retention time on the capacitors.
  • 12. Still another objective of this invention is to provide a very manufacturable process that allows openings to be etched selectively in the low-k insulator for forming the DRAM capacitors.
  • 13. The method for making an array of closely spaced stacked capacitors with reduced parasitic capacitance between adjacent capacitors on a DRAM device begins by providing a semiconductor substrate. Typically the substrate is a single-crystal silicon substrate doped with a P type conductive dopant, such as boron (B). A relatively thick Field OXide (FOX) is formed surrounding and electrically isolating an array of device areas on the substrate. The field oxide is typically formed using the LOCal Oxidation of Silicon (LOCOS) method, in which a patterned silicon nitride (Si3N4) layer is used to mask the device areas from oxidation while the silicon substrate in the FOX areas is thermally oxidized to the desired thickness. Other field oxide isolations can also be used, such as shallow trench isolation (STI) and the like. A thin gate oxide is then formed in the device areas of the silicon substrate for making semiconductor devices such as field effect transistors (FETs). Typically a polycide (polysilicon/silicide) layer, having a cap layer (optional) consisting of silicon oxide (SiO2) and silicon nitride (Si3N4) thereon, is patterned to form the FET gate electrodes and the interconnecting word lines for the array of memory cells on the DRAM device. The lightly doped source/drain regions are formed adjacent to the FET gate electrodes using ion implantation. A spacer silicon nitride (Si3N4) layer is deposited and anisotropically etched back to form spacers on the sidewalls of the gate electrodes and completes the FETs for the memory cells.
  • 14. Continuing, a first insulating layer is deposited over the device areas and the FOX areas. The first insulating layer is composed of SiO2 and is deposited by low-pressure chemical vapor deposition (LPCVD). The first insulating layer is then planarized, for example by chemical/mechanical polishing (CMP).
  • 15. First contact openings for bit lines and for capacitor node contacts are etched in the first insulating layer to the source/drain areas. The first contact openings are etched extending over the gate electrodes, and are etched selectively to the Si3N4 cap layer and sidewall spacers to form self-aligned contacts (SAC). A conductively doped first polysilicon layer is deposited by LPCVD on the first insulating layer and is sufficiently thick to fill the first openings. The first polysilicon layer is etched back to the planar first insulating layer to form bit-line plugs to electrically contact the first source/drain areas, and to, concurrently form capacitor node contact plugs to electrically contact the second source/drain areas. A SiO2 second insulating layer is deposited over the first insulating layer and over the bit-line plugs and over the capacitor node contact plugs. Second openings for bit lines are etched in the second insulating layer to the bit-line plugs. Next, a polycide layer, composed of a doped polysilicon layer and a refractory metal silicide layer, is deposited over the second insulating layer. The polycide layer is patterned to form the bit lines over the bit-line plugs.
  • 16. A third insulating layer, such as SiO2, is deposited over the bit lines and is planarized by chemical-mechanical polishing (CMP). A Si3N4 etch-stop layer is deposited by LPCVD on the third insulating layer. Third openings are etched in the etch-stop layer and in the third and second insulating layers to the capacitor node contact plugs. A second conductively doped polysilicon layer is deposited sufficiently thick to fill the third openings and is chemically-mechanically polished or plasma etched back to the etch-stop layer to form polysilicon plugs in the third openings to the capacitor node contact plugs.
  • 17. Now, a key feature of this invention is to deposit a fourth insulating layer that has a low dielectric constant (low-k). For example, the low-k material can be a fluorosilicate glass (FSG), a fluorinated amorphous carbon (FLAC), a porous oxide such as nanofoams, and the like. This low-k dielectric material reduces the parasitic capacitance between the closely spaced stacked capacitors and reduces the disturbance of the data retention (electrical charge on the capacitor) of the neighboring DRAM memory cells. Next, an array of recesses is etched in the fourth insulating layer over and to the polysilicon plugs contacting the capacitor node contact plugs. A conformal first conducting layer, such as a doped polysilicon, is deposited and polished back to the surface of the fourth insulating layer to form capacitor bottom electrodes in the recesses. A thin interelectrode dielectric layer having a high dielectric constant (high-k), such as SiO2/Si3N4/SiO2 (ONO), is deposited on the bottom electrodes. A second conducting layer, such as a doped polysilicon, is deposited and patterned to form capacitor top electrodes to complete the array of capacitors. A fifth insulating layer is deposited to electrically insulate the array of capacitors on the DRAM device prior to subsequent processing to complete the DRAM device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • 18. The objects and advantages of this invention are best understood with reference to the attached drawings and the embodiment that follows.
  • 19.FIGS. 1 through 12 show schematic cross-sectional views for two adjacent memory cell regions on a DRAM device showing in detail the fabrication steps for making capacitor-over-bit line (COB) DRAM cells with closely spaced capacitors having reduced parasitic capacitance.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • 20. The method for making these DRAM devices having capacitor-over-bit line (COB) DRAM cells having reduced parasitic capacitance between adjacent capacitors is now described in detail. However, it should also be well understood by one skilled in the art that by including additional process steps, in addition to those described in this embodiment, other types of devices can also be included on the DRAM chip. For example, by forming N-well regions in a P doped substrate, P-channel FETs can also be provided from which Complementary Metal-Oxide-Semiconductor (CMOS) circuits can also be formed, such as are used for the peripheral circuits on the DRAM chip.
  • 21. Referring now to FIG. 1, a schematic cross-sectional view of a portion of a semiconductor substrate 10 is shown having two partially completed DRAM cells. The preferred substrate 10 is composed of a lightly doped P type single-crystal silicon having a <100>crystallographic orientation. A relatively thick Field OXide (FOX) 12 is formed to surround and electrically isolate the individual device regions in which the memory cells are built. The field oxide 12, which is only partially shown in FIG. 1 between the two cell areas, is most commonly formed by the LOCal Oxidation of Silicon (LOCOS) method. Briefly the LOCOS method uses a thin SiO2 (pad oxide) as a stress release layer, and a thicker silicon nitride (Si3N4) layer that serves as an oxidation barrier layer on the pad oxide on the substrate surface (not shown in FIG. 1). Also not depicted in the drawings, conventional photolithographic techniques and etching are used to remove the Si3N4 barrier layer in areas where a field oxide is required, while retaining the Si3N4 over the active device areas to prevent oxidation. The silicon substrate 10 is then thermally oxidized to form the field oxide areas 12, as shown in FIG. 1. The field oxide is usually grown to a thickness of between about 2000 and 5000 Angstroms. The Si3N4 barrier layer and pad oxide are removed in a wet etch, such as in a hot phosphoric acid (H3PO4) etch for removing the Si3N4, and, in a dilute hydrofluoric acid and water (HF/H2O) solution for removing the pad oxide. Other field oxide isolation methods can be used which allow even more closely spaced device areas and higher cell density. For example shallow trench isolation (STI) and the like can be used with this invention.
  • 22. Still referring to FIG. 1, the semiconductor devices are then formed in the active device areas. The most commonly used device for DRAMs is the field effect transistor (FET) having an oxide gate. These devices are formed by first thermally oxidizing the active device areas to form a thin gate oxide 14 having a preferred thickness of between about 50 and 100 Angstroms. An appropriately doped polysilicon layer 16 and a refractory metal silicide layer 18 are deposited on the substrate 10. A cap layer, consisting of a SiO2 layer 20 and a Si3N4 layer 22, is deposited, and layers 22, 20, 18, and 16 are patterned to form FET gate electrodes with the insulating cap layer (20 and 22). Typically the polysilicon layer 16 is deposited by low-pressure chemical vapor deposition (LPCVD) to a thickness of between about 500 and 2000 Angstroms, and is doped with arsenic or phosphorus for N-channel FETs. The silicide layer 18 is typically tungsten silicide (WSix), deposited by CVD using tungsten hexafluoride (WF6) and silane (SiH4), and is deposited to a thickness of between about 500 and 2000 Angstroms. The cap layer is formed by depositing an optional CVD SiO2 layer 20 to a thickness of between about 100 and 300 Angstroms, and the Si3N4 layer 22 is deposited by LPCVD to a thickness of between about 1000 and 2500 Angstroms. After forming the gate electrodes 1 by conventional photolithographic techniques and anisotropic plasma etching, lightly doped source/drain areas 17(N) are formed adjacent to the gate electrodes 1 by ion implanting an N type dopant such as arsenic (As75) or phosphorus (p31) Next, sidewall spacers 24 are formed on the sidewalls of the polycide gate electrodes 1 by depositing a Si3N4, for example by LPCVD using SiCl2H2 and NH3, and anisotropically etching back in a reactive ion etcher (RIE). Typically, source/drain contact areas are formed in the peripheral area of the DRAM chip by using a block-out mask and a second ion implantation. However, they do not appear in the memory cell areas, and therefore are not shown in the Figures.
  • 23. The remainder of this embodiment relates more specifically to the invention. Continuing with FIG. 1, a first insulating layer 26, preferably composed of SiO2, is deposited over the device areas and the field oxide areas 12. For example, layer 26 can be deposited by LPCVD using tetraethosiloxane (TEOS) as the reactant gas, and is deposited to a thickness of between about 3000 and 8000 Angstroms. The first insulating layer 26 is then planarized. One method of globally planarizing layer 26 is to use chemical/mechanical polishing (CMP) using an appropriate polishing slurry.
  • 24. Referring to FIG. 2, first contact openings 2 for bit lines and for capacitor node contacts are etched in the first insulating layer 26 to the source/drain areas 17(N) on the substrate. The first contact openings are etched extending over the gate electrodes 1. The contact openings 2 are etched preferably using a high-density-plasma (HDP) etcher and an etchant gas mixture that etches the SiO2 layer 26 selectively to the Si3N4 cap layer 22 and to the Si3N4 sidewall spacers 24 to form self-aligned contacts (SAC). This selective etching is achieved using a fluorine-based etchant gas mixture such as C4F8 and CH2F2 that provides an etch-rate ratio of SiO2 to Si3N4 of about 10:1.
  • 25. Still referring to FIG. 2, a conductively doped first polysilicon layer is deposited on the first insulating layer 26, and is sufficiently thick to fill the first openings 2. The first polysilicon layer is then etched back or chem/mech polished back to the planar first insulating layer 26 to form bit-line plugs 28 to electrically contact the first source/drain areas 17(N), and to concurrently form capacitor node contact plugs 29 to electrically contact, the second source/drain areas, also labeled 17(N). The first polysilicon layer is deposited preferably by LPCVD using SiH4 as the reactant gas, and is in-situ doped with phosphorus to a concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm3 using phosphine (PH3) as the dopant gas. The first polysilicon layer can be etched back using plasma etching and a chlorine-based (Cl2) etchant gas that selectively etches the polysilicon back to the SiO2 layer 26.
  • 26. Referring to FIG. 3, a second insulating layer 30 is deposited over the first insulating layer 26 and over the bit-line plugs 28 and over the capacitor node contact plugs 29. Layer 30 is preferably SiO2, deposited by LPCVD to a thickness of between about 500 and 2000 Angstroms. The SiO2 layers 26 and 30 together are commonly referred to in the industry as an interpolysilicon oxide-1 (IPO-1) layer.
  • 27. Referring to FIG. 4, second openings 4 for bit lines are etched in the second insulating layer 30 to the bit-line plugs 28. Conventional photolithographic techniques and anisotropic plasma etching are used to etch the openings 4. The openings are etched using RIE and a fluorine-based etchant gas mixture.
  • 28. Referring to FIG. 5, the bit lines are formed by depositing a polycide layer composed of a doped polysilicon layer 32 and a refractory metal silicide layer 34 over the second insulating layer 30. The polysilicon layer 32 is deposited by LPCVD to a thickness of between about 300 and 1000 Angstroms and is doped with phosphorus to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm3. The refractory metal silicide layer 34, preferably composed of tungsten silicide (WSix), is now deposited on the polysilicon layer 32 by LPCVD using tungsten hexafluoride (WF6) and SiH4 as the reactant gases. Layer 34 is deposited to a thickness of between about 500 and 2000 Angstroms. The polycide layer (32 and 34) is then patterned using a photoresist mask and RIE with an chlorine-based gas mixture to form the bit lines over the bit-line plugs 28.
  • 29. Referring to FIG. 6, a third insulating layer 36, such as SiO2, is deposited over the bit lines and is planarized by chem/mech polishing to provide a globally planar surface having a thickness of between about 3000 and 6000 Angstroms over the bit lines. Next, an etch-stop layer 38 is deposited on the third insulating layer 36. Layer 38 is preferably Si3N4, deposited by plasma-enhanced CVD using, for example, silane (SiH4) and ammonia (NH3) as the reactant gases, and at a deposition temperature of about 250 to 350° C. The thickness of the etch-stop layer 38 is between about 40 and 100 Angstroms, and more specifically about 50 Angstroms.
  • 30. Referring to FIG. 7, openings 6 for contacts are etched in the etch-stop layer 38 and in the third and second insulating layers 36 and 30 to the capacitor node contact plugs 29, which are also commonly referred to as landing pads. Conventional photolithographic techniques and anisotropic etching are used to etch the openings 6. The etching can be carried out using RIE and a fluorine-based etchant gas mixture.
  • 31. Still referring to FIG. 7, a second conductively doped polysilicon layer 40 is deposited sufficiently thick to fill the third openings 6 and is chem/mech polished or plasma etched back to the etch-stop layer 38 to form polysilicon plugs 40 in the third openings 6 to make electrical contact to the capacitor node contact plugs 29. Second polysilicon layer 40 is deposited by LPCVD and is in-situ doped with phosphorus to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm3.
  • 32. Referring now to FIG. 8, and a key feature of this invention is to deposit a relatively thick fourth insulating layer 42 that has a low dielectric constant (low-k) on the etch-stop layer 38 and over the polysilicon contacts 40. The low-k material can be a fluorosilicate glass (FSG). For example, the FSG can be deposited by LPCVD using TEOS and by introducing a fluorine-containing dopant gas such as carbon tetrafluoride (CF4). Another low-k material that can be used is a spin-on dielectric (SOD) material, such as hydrogen silsesquioxane (HSQ) that is baked and cured. The low-k fourth insulating layer 42 is deposited to a thickness of between about 5000 and 12000 Angstroms, and more specifically to a thickness of about 10000 Angstroms.
  • 33. Referring to FIG. 9, an array of recesses 8 for crown capacitors is etched in the low-k fourth insulating layer 42 over and to the polysilicon plugs 40 contacting the capacitor node contact plugs 29. The recesses 8 are etched using a photoresist mask and anisotropic plasma etching. The recesses are etched selectively to the etch-stop layer 38 using RIE and a fluorine-based etchant gas mixture.
  • 34. Referring to FIG. 10, a conformal first conducting layer 44 is deposited. Layer 44 is preferably a doped polysilicon, deposited by LPCVD using, for example, SiH4 as the reactant gas, and is doped in situ with phosphorus by adding a dopant gas such as phosphine (PH3). Layer 44 is deposited to a thickness of between about 500 and 1000 Angstroms, and is doped to a concentration of between about 1.0 E 19 and 1.0 E 22 atoms/cm3.
  • 35. Referring to FIG. 11, the first conducting layer 44 is polished back to the surface of the low-k fourth insulating layer 42 to define an array of capacitor bottom electrodes, also labeled 44, in the recesses 8. The polishing is carried out using an appropriate polishing slurry and endpoint-detect to stop at layer 42.
  • 36. Referring still to FIG. 11, a thin interelectrode dielectric layer 46, that has a high dielectric constant (high-k), is formed on the array of bottom electrode 44. The dielectric layer 46 is preferably composed of layers of SiO2/Si3N4/SiO2 (ONO). The ONO dielectric layer can be formed by first growing a thin thermal oxide (e.g., 5 Angstroms) on the polysilicon bottom electrodes 44. A Si3N4 layer is deposited by LPCVD. Then a thin SiO2 is formed using an oxidation furnace to partially reduce the Si3N4 layer to form a top SiO2 layer that provides a pin-hole-free ONO layer. The effective thickness of the ONO is about 45 to 48 Angstroms. Alternatively, the dielectric layer 46 can be other high-dielectric constant materials, such as tantalum pentoxide (Ta2O5) or can be used in conjunction with ONO to form the high-dielectric-constant layer 46.
  • 37. Referring to FIG. 12, the array of crown capacitors is now completed by depositing a conformal second, conducting layer 48. Layer 48 is preferably an in-situ doped polysilicon layer and is deposited by LPCVD using a reactant gas such SiH4, and using a dopant gas such as PH3. The polysilicon layer 48 is doped N+to a preferred concentration of between about 1.0 E 19 and 1.0 E 22 atoms/cm3. The preferred thickness of the polysilicon layer 48 is between about 500 and 1000 Angstroms. Layer 48 is then patterned to form the capacitor top electrodes. A fifth insulating layer 50 is deposited sufficiently thick to electrically insulate the array of capacitors on the DRAM device prior to subsequent processing to complete the DRAM device. Layer 50 is SiO2, deposited by LPCVD.
  • 38. Still referring to FIG. 12, and to better appreciate the advantages of this invention, the parasitic capacitance between adjacent crown capacitors is substantially reduced by the low-k-dielectric-material insulating layer 42. In future products having minimum feature sizes of about 0.25 micrometers (um) and is expected to further decrease to about 0.18 um and even smaller, the spacings d (FIG. 12) between adjacent capacitors will also decrease. Since the parasitic capacitance Cp varies inversely with d, as described above in the prior art (Cp=kA/d), when d decreases for a constant k, the Cp increases. By the method of this invention, by reducing the dielectric constant k of insulating layer 42, the spacing d can be further reduced without increasing the parasitic capacitance. For example, if the dielectric constant k is reduced by 50 percent (e.g., k is reduced from 4 to 2), then the spacing d can also be decreased by 50 percent without increasing Cp.
  • 39. While the invention has been particularly shown and described with reference to the preferred embodiment thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention.

Claims (32)

What is claimed is:
1. A method for fabricating an array of capacitors on a dynamic random access memory (DRAM) device comprising the steps of:
providing a semiconductor substrate having field oxide areas surrounding device areas and said device areas having semiconductor devices;
depositing a first insulating layer over said device areas and said field oxide areas;
planarizing said first insulating layer;
etching first contact openings for bit lines and for an array of capacitor node contacts in said first insulating layer to said device areas;
depositing a first polysilicon layer on said first insulating layer sufficiently thick to fill said first openings and etching back said first polysilicon layer to said first insulating layer to form bit-line plugs and capacitor node contact plugs in said first openings;
depositing a second insulating layer over said first insulating layer and over said bit-line plugs and said capacitor node contact plugs;
etching second openings in said second insulating layer to said bit-line plugs for bit lines;
depositing a polycide layer over said second insulating layer and patterning to form said bit lines over said bit-line plugs;
forming a planar third insulating layer over said bit lines;
depositing an etch-stop layer on said third insulating layer;
etching third openings in said etch-stop layer and in said third and said second insulating layers to said capacitor node contact plugs;
depositing a second polysilicon layer sufficiently thick to fill said third openings and etching back said second polysilicon layer to said etch-stop layer to form polysilicon plugs to said capacitor node contact plugs;
depositing a fourth insulating layer on said etch-stop layer, wherein said fourth insulating layer is a low-dielectric material;
forming an array of recesses in said fourth insulating layer aligned over and to said polysilicon plugs that contact said array of capacitor node contacts plugs;
depositing a conformal first conducting layer and polishing back to form capacitor bottom electrodes in said recesses;
forming an interelectrode dielectric layer on said bottom electrodes;
depositing and patterning a second conducting layer to form capacitor top electrodes;
depositing a fifth insulating layer to electrically insulate and to complete said array of capacitors on said DRAM device.
2. The method of
claim 1
, wherein said first insulating layer is composed of silicon oxide and is deposited to a thickness of between about 3000 and 8000 Angstroms.
3. The method of
claim 1
, wherein said first polysilicon layer is conductively doped to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm3.
4. The method of
claim 1
, wherein said second insulating layer is silicon oxide deposited to a thickness of between about 500 and 2000 Angstroms.
5. The method of
claim 1
, wherein said polycide layer is composed of a conductively doped polysilicon layer having a thickness of between about 300 and 1000 Angstroms, and an upper refractory metal silicide layer having a thickness of between about 500 and 2000 Angstroms.
6. The method of
claim 1
, wherein said third insulating layer is silicon oxide deposited to a thickness of between about 3000 and 6000 Angstroms.
7. The method of
claim 1
, wherein said etch-stop layer is silicon nitride and is deposited to a thickness of between about 40 and 100 Angstroms.
8. The method of
claim 1
, wherein said second polysilicon layer is conductively doped to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm3.
9. The method of
claim 1
, wherein said low-dielectric material has a dielectric constant between about 2 and 4, and is deposited to a thickness of between about 5000 and 12000 Angstroms.
10. The method of
claim 9
, wherein said low-dielectric material is a fluorosilicate glass.
11. The method of
claim 1
, wherein said first conducting layer is a doped polysilicon layer deposited to a thickness of between about 2000 and 5000 Angstroms, and wherein said interelectrode dielectric layer is composed of silicon oxide/silicon nitride/silicon oxide (ONO) and has an effective thickness of between about 35 and 50 Angstroms.
12. The method of
claim 1
, wherein said second conducting layer is a doped polysilicon deposited to a thickness of between about 2000 and 5000 Angstroms.
13. The method of
claim 1
, wherein said fifth insulating layer is silicon oxide deposited to a thickness of between about 3000 and 5000 Angstroms.
14. A method for fabricating an array of closely spaced capacitors on a dynamic random access memory (DRAM) device comprising the steps of:
providing a semiconductor substrate having field oxide areas surrounding and electrically isolating an array of device areas, each of said device areas having a field effect transistor (FET) having a gate electrode, and having a first and second source/drain area, one on each side and adjacent to said gate electrode;
depositing a first insulating layer over said device areas and said field oxide areas;
planarizing said first insulating layer;
etching first contact openings for bit lines and for capacitor node contacts in said first insulating layer to said source/drain areas in said device areas, wherein said first contact openings are self-aligned to said gate electrodes;
depositing a first polysilicon layer on said first insulating layer sufficiently thick to fill said first openings and etching back said first polysilicon layer to said first insulating layer to form bit-line plugs to said first source/drain areas, and to form capacitor node contact plugs to said second source/drain areas;
depositing a second insulating layer over said first insulating layer and over said bit-line plugs and said capacitor node contact plugs;
etching second openings in said second insulating layer to said bit-line plugs for bit lines;
depositing a polycide layer over said second insulating layer and patterning to form said bit lines over said bit-line plugs;
forming a planar third insulating layer over said bit lines;
depositing an etch-stop layer on said third insulating layer;
etching third openings in said etch-stop layer and in said third and said second insulating layers to said capacitor node contact plugs;
depositing a second polysilicon layer sufficiently thick to fill said third openings and etching back said second polysilicon layer to said etch-stop layer to form polysilicon plugs to said capacitor node contact plugs;
depositing a fourth insulating layer, having a low dielectric constant, on said etch-stop layer;
forming an array of recesses in said fourth insulating layer aligned over and to said polysilicon plugs that contact said array of capacitor node contacts plugs;
depositing a conformal first conducting layer and polishing back to form capacitor bottom electrodes in said recesses;
forming an interelectrode dielectric layer on said bottom electrodes;
depositing and patterning a second conducting layer to form capacitor top electrodes;
depositing a fifth insulating layer to electrically insulate said array of capacitors on said DRAM device.
15. The method of
claim 14
, wherein said first insulating layer is composed of silicon oxide and is deposited to a thickness of between about 3000 and 8000 Angstroms.
16. The method of
claim 14
, wherein said first polysilicon layer is conductively doped to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm3.
17. The method of
claim 14
, wherein said second insulating layer is silicon oxide deposited to a thickness of between about 500 and 2000 Angstroms.
18. The method of
claim 14
, wherein said polycide layer is composed of a conductively doped polysilicon layer having a thickness of between about 300 and 1000 Angstroms, and an upper refractory metal silicide layer having a thickness of between about 500 and 2000 Angstroms.
19. The method of
claim 14
, wherein said third insulating layer is silicon oxide deposited to a thickness of between about 3000 and 6000 Angstroms.
20. The method of
claim 14
, wherein said etch-stop layer is silicon nitride and is deposited to a thickness of between about 40 and 100 Angstroms.
21. The method of
claim 14
, wherein said second polysilicon layer is conductively doped to a concentration of between about 1.0 E 19 and 1.0 E 23 atoms/cm3.
22. The method of
claim 14
, wherein said fourth insulating layer is a material having a low-dielectric constant between about 2 and 4, and is deposited to a thickness of between about 5000 and 12000 Angstroms.
23. The method of
claim 22
, wherein said low-dielectric material is a fluorosilicate glass.
24. The method of
claim 14
, wherein said first conducting layer is a doped polysilicon layer deposited to a thickness of between about 2000 and 6000 Angstroms, and wherein said interelectrode dielectric layer is composed of silicon oxide/silicon nitride/silicon oxide (ONO) and has an effective thickness of between about 35 and 50 Angstroms.
25. The method of
claim 14
, wherein said second conducting layer is a doped polysilicon deposited to a thickness of between about 2000 and 6000 Angstroms.
26. The method of
claim 14
, wherein said fifth insulating layer is silicon oxide deposited to a thickness of between about 3000 and 5000 Angstroms.
27. An array of capacitors on a dynamic random access memory (DRAM) device comprised of:
a semiconductor substrate having field oxide areas surrounding device areas and said device areas having semiconductor devices;
a planar first insulating layer over said device areas and said field oxide areas;
an array of polysilicon bit-line contact plugs and an array of capacitor node contact plugs in said first insulating layer to said device areas;
a second insulating layer over said first insulating layer and over said polysilicon bit-line contact plugs and said capacitor node contact plugs, said second insulating layer with second openings to said bit-line contact plugs for bit lines;
a patterned polycide layer over said second insulating layer for said bit lines in said second openings to said bit-line contact plugs;
a planar third insulating layer over said bit lines;
an etch-stop layer on said third insulating layer;
polysilicon plugs extending through said etch-stop layer and said third and said second insulating layers to said capacitor node contact plugs;
a fourth insulating layer composed of a low-dielectric-constant material having an array of recesses aligned over said capacitor node contact plugs and capacitors in said recesses, said capacitors having bottom electrodes formed from a first conducting layer, an interelectrode dielectric layer on said bottom electrodes, and a second conducting layer to form capacitor top electrodes, wherein said low-dielectric material between said capacitors reduces the parasitic capacitance between said capacitors.
28. The structure of
claim 27
, wherein said low-dielectric material has a dielectric constant between about 2 and 4, and is deposited to a thickness of between about 5000 and 12000 Angstroms.
29. The structure of
claim 27
, wherein said low-dielectric material is a fluorosilicate glass.
30. The structure of
claim 27
, wherein said low-dielectric material is hydrogen silsesquioxane.
31. The structure of
claim 27
, wherein said first conducting layer is a doped polysilicon layer deposited to a thickness of between about 2000 and 6000 Angstroms, and wherein said interelectrode dielectric layer is composed of silicon oxide/silicon nitride/silicon oxide (ONO) and has an effective thickness of between about 35 and 50 Angstroms.
32. The structure of
claim 27
, wherein said second conducting layer is a doped polysilicon deposited to a thickness of between about 2000 and 6000 Angstroms.
US09/726,661 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device Expired - Lifetime US6617631B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/726,661 US6617631B2 (en) 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US09/726,661 US20010003663A1 (en) 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/325,954 US6187624B1 (en) 1999-06-04 1999-06-04 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US09/726,661 US6617631B2 (en) 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/325,954 Division US6187624B1 (en) 1999-06-04 1999-06-04 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device

Publications (2)

Publication Number Publication Date
US20010000242A1 true US20010000242A1 (en) 2001-04-12
US6617631B2 US6617631B2 (en) 2003-09-09

Family

ID=23270158

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/325,954 Expired - Lifetime US6187624B1 (en) 1999-06-04 1999-06-04 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US09/726,661 Granted US20010003663A1 (en) 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US09/726,661 Expired - Lifetime US6617631B2 (en) 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/325,954 Expired - Lifetime US6187624B1 (en) 1999-06-04 1999-06-04 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US09/726,661 Granted US20010003663A1 (en) 1999-06-04 2000-11-30 Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device

Country Status (1)

Country Link
US (3) US6187624B1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6653202B1 (en) * 2003-01-17 2003-11-25 Advanced Micro Devices, Inc. Method of shallow trench isolation (STI) formation using amorphous carbon
US20070069262A1 (en) * 2003-11-14 2007-03-29 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7273775B1 (en) * 2005-10-04 2007-09-25 Spansion Llc Reliable and scalable virtual ground memory array formed with reduced thermal cycle
US20080029901A1 (en) * 2003-06-30 2008-02-07 Cleeves James M Post vertical interconnects formed with silicide etch stop and method of making
US20110095396A1 (en) * 2009-10-23 2011-04-28 Semiconductor Manufacturing International (Shanghai) Corporation Method and structure for silicon nanocrystal capacitor devices for integrated circuits
US20110140067A1 (en) * 2009-12-14 2011-06-16 Industrial Technology Research Institute Resistance switching memory
US20190333824A1 (en) * 2017-11-28 2019-10-31 International Business Machines Corporation Homogeneous densification of fill layers for controlled reveal of vertical fins
CN111261616A (en) * 2018-11-30 2020-06-09 南亚科技股份有限公司 Electronic device and method for manufacturing the same

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174767B1 (en) * 1998-05-11 2001-01-16 Vanguard International Semiconductor Corporation Method of fabrication of capacitor and bit-line at same level for 8F2 DRAM cell with minimum bit-line coupling noise
GB2349507A (en) * 1999-01-13 2000-11-01 Lucent Technologies Inc A semiconductor device having a metal barrier layer for a dielectric material having a high dielectric constant and a method of manufacture thereof
JP2000286254A (en) * 1999-03-31 2000-10-13 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
EP1067605A1 (en) * 1999-07-05 2001-01-10 STMicroelectronics S.r.l. Ferroelectric memory cell and corresponding manufacturing method
KR100307533B1 (en) * 1999-09-03 2001-11-05 김영환 Fabrication method of dram cell
KR100331554B1 (en) * 1999-09-27 2002-04-06 윤종용 Capacitor array preventing crosstalk between adjacent capacitors in semiconductor device and method for fabricating the same
KR100330714B1 (en) * 1999-10-13 2002-04-03 윤종용 Buried contact structure and method of making the same in semiconductor device
US6232168B1 (en) * 2000-08-25 2001-05-15 Micron Technology, Inc. Memory circuitry and method of forming memory circuitry
JP2002076297A (en) * 2000-08-28 2002-03-15 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
WO2002021586A1 (en) * 2000-09-07 2002-03-14 Daikin Industries, Ltd. Dry etching gas and method for dry etching
US6835645B2 (en) * 2000-11-29 2004-12-28 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6709945B2 (en) * 2001-01-16 2004-03-23 Micron Technology, Inc. Reduced aspect ratio digit line contact process flow used during the formation of a semiconductor device
US6710389B2 (en) * 2001-02-09 2004-03-23 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device with trench-type stacked cell capacitors and method for manufacturing the same
US6887753B2 (en) * 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
US6410955B1 (en) * 2001-04-19 2002-06-25 Micron Technology, Inc. Comb-shaped capacitor for use in integrated circuits
US6696336B2 (en) * 2001-05-14 2004-02-24 Micron Technology, Inc. Double sided container process used during the manufacture of a semiconductor device
US6888217B2 (en) * 2001-08-30 2005-05-03 Micron Technology, Inc. Capacitor for use in an integrated circuit
US6528418B1 (en) * 2001-09-20 2003-03-04 Hynix Semiconductor Inc. Manufacturing method for semiconductor device
US7081398B2 (en) 2001-10-12 2006-07-25 Micron Technology, Inc. Methods of forming a conductive line
US6656844B1 (en) 2001-10-18 2003-12-02 Taiwan Semiconductor Manufacturing Company Method of forming a protected crown capacitor structure utilizing the outside crown surface to increase capacitance
KR100437616B1 (en) * 2001-12-28 2004-06-30 주식회사 하이닉스반도체 Method for fabricating capacitor of semiconductor device
US7091131B2 (en) * 2002-03-21 2006-08-15 Micron Technology, Inc. Method of forming integrated circuit structures in silicone ladder polymer
US6756619B2 (en) * 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
JP3596616B2 (en) * 2002-09-25 2004-12-02 沖電気工業株式会社 Method for manufacturing semiconductor device
TWI222212B (en) * 2003-03-17 2004-10-11 Taiwan Semiconductor Mfg Crown-type capacitor and its manufacturing method
JP2004289046A (en) * 2003-03-25 2004-10-14 Renesas Technology Corp Manufacturing method of semiconductor device with capacitor
US20050070103A1 (en) * 2003-09-29 2005-03-31 Applied Materials, Inc. Method and apparatus for endpoint detection during an etch process
US7118966B2 (en) * 2004-08-23 2006-10-10 Micron Technology, Inc. Methods of forming conductive lines
JP4798979B2 (en) * 2004-09-28 2011-10-19 Okiセミコンダクタ株式会社 Manufacturing method of ferroelectric memory
US20060148168A1 (en) * 2005-01-06 2006-07-06 Sheng-Chin Li Process for fabricating dynamic random access memory
KR100701697B1 (en) * 2005-06-29 2007-03-29 주식회사 하이닉스반도체 Method of manufacturing cmos device with dual polycide gate
JP4221429B2 (en) * 2005-11-04 2009-02-12 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
FR2897467B1 (en) * 2006-02-15 2009-04-03 St Microelectronics Crolles 2 MIM CAPACITOR
JP4337870B2 (en) * 2006-12-15 2009-09-30 セイコーエプソン株式会社 MEMS resonator and method for manufacturing MEMS resonator
KR101096244B1 (en) * 2009-01-28 2011-12-22 주식회사 하이닉스반도체 Method for fabricating semiconductor memory device
KR101557871B1 (en) * 2009-03-26 2015-10-06 삼성전자주식회사 Semiconductor device and method of manufacturing the semiconductor device
JP5863381B2 (en) * 2011-10-17 2016-02-16 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
KR102110463B1 (en) 2013-07-11 2020-05-13 에스케이하이닉스 주식회사 Semiconductor device and method for fabricating the same
KR20160133031A (en) * 2015-05-11 2016-11-22 에스케이하이닉스 주식회사 Semiconductor device comprising capacitor and method of manufacturing the same
WO2019132900A1 (en) * 2017-12-27 2019-07-04 Intel Corporation Self-aligned capacitors in embedded dynamic random access memory (edram) one transistor-one capacitor (1t-1c) unit cells
TWI675453B (en) * 2019-02-15 2019-10-21 力晶積成電子製造股份有限公司 Memory device and manufacturing method thereof
CN114188282B (en) * 2020-09-14 2022-10-28 长鑫存储技术有限公司 Semiconductor device and method for manufacturing the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0714993A (en) * 1993-06-18 1995-01-17 Mitsubishi Electric Corp Semiconductor device and manufacturing thereof
US6060352A (en) * 1996-08-09 2000-05-09 Hitachi, Ltd. Method of manufacturing semiconductor device with increased focus margin
US5811331A (en) 1996-09-24 1998-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Formation of a stacked cylindrical capacitor module in the DRAM technology
US5858869A (en) 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
US5851877A (en) 1998-01-06 1998-12-22 Vanguard International Semiconductor Corporation Method of forming a crown shape capacitor
TW377514B (en) * 1998-04-18 1999-12-21 United Microelectronics Corp Method of manufacturing memory capacitors of DRAM
US6077743A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having brush-shaped stacked capacitors patterned from a hemispherical grain hard mask
US5858829A (en) 1998-06-29 1999-01-12 Vanguard International Semiconductor Corporation Method for fabricating dynamic random access memory (DRAM) cells with minimum active cell areas using sidewall-spacer bit lines
US6072210A (en) * 1998-12-24 2000-06-06 Lucent Technologies Inc. Integrate DRAM cell having a DRAM capacitor and a transistor
US6365453B1 (en) * 1999-06-16 2002-04-02 Micron Technology, Inc. Method and structure for reducing contact aspect ratios
US6159818A (en) * 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6653202B1 (en) * 2003-01-17 2003-11-25 Advanced Micro Devices, Inc. Method of shallow trench isolation (STI) formation using amorphous carbon
US20080029901A1 (en) * 2003-06-30 2008-02-07 Cleeves James M Post vertical interconnects formed with silicide etch stop and method of making
US7768038B2 (en) * 2003-06-30 2010-08-03 Sandisk 3D Llc Post vertical interconnects formed with silicide etch stop and method of making
US20070069262A1 (en) * 2003-11-14 2007-03-29 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7642651B2 (en) * 2003-11-14 2010-01-05 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7273775B1 (en) * 2005-10-04 2007-09-25 Spansion Llc Reliable and scalable virtual ground memory array formed with reduced thermal cycle
US20110095396A1 (en) * 2009-10-23 2011-04-28 Semiconductor Manufacturing International (Shanghai) Corporation Method and structure for silicon nanocrystal capacitor devices for integrated circuits
US20110140067A1 (en) * 2009-12-14 2011-06-16 Industrial Technology Research Institute Resistance switching memory
US8198620B2 (en) 2009-12-14 2012-06-12 Industrial Technology Research Institute Resistance switching memory
US20190333824A1 (en) * 2017-11-28 2019-10-31 International Business Machines Corporation Homogeneous densification of fill layers for controlled reveal of vertical fins
US11342230B2 (en) * 2017-11-28 2022-05-24 Tessera, Inc. Homogeneous densification of fill layers for controlled reveal of vertical fins
CN111261616A (en) * 2018-11-30 2020-06-09 南亚科技股份有限公司 Electronic device and method for manufacturing the same
TWI701765B (en) * 2018-11-30 2020-08-11 南亞科技股份有限公司 Electronic device and method of manufacturing the same
CN111261616B (en) * 2018-11-30 2022-03-25 南亚科技股份有限公司 Electronic device and method for manufacturing the same
US11574880B2 (en) 2018-11-30 2023-02-07 Nanya Technology Corporation Electronic device with an integral filtering component

Also Published As

Publication number Publication date
US6617631B2 (en) 2003-09-09
US6187624B1 (en) 2001-02-13
US20010003663A1 (en) 2001-06-14

Similar Documents

Publication Publication Date Title
US6617631B2 (en) Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US5780338A (en) Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6077742A (en) Method for making dynamic random access memory (DRAM) cells having zigzag-shaped stacked capacitors with increased capacitance
US6136643A (en) Method for fabricating capacitor-over-bit-line dynamic random access memory (DRAM) using self-aligned contact etching technology
US6037213A (en) Method for making cylinder-shaped capacitors for dynamic random access memory
US5943581A (en) Method of fabricating a buried reservoir capacitor structure for high-density dynamic random access memory (DRAM) circuits
US5858829A (en) Method for fabricating dynamic random access memory (DRAM) cells with minimum active cell areas using sidewall-spacer bit lines
US5792689A (en) Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US5688713A (en) Method of manufacturing a DRAM cell having a double-crown capacitor using polysilicon and nitride spacers
US5843820A (en) Method of fabricating a new dynamic random access memory (DRAM) cell having a buried horizontal trench capacitor
US6472266B1 (en) Method to reduce bit line capacitance in cub drams
US6262449B1 (en) High density dynamic random access memory cell structure having a polysilicon pillar capacitor
US5893734A (en) Method for fabricating capacitor-under-bit line (CUB) dynamic random access memory (DRAM) using tungsten landing plug contacts
US5854105A (en) Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5643819A (en) Method of fabricating fork-shaped stacked capacitors for DRAM cells
US6709919B2 (en) Method for making auto-self-aligned top electrodes for DRAM capacitors with improved capacitor-to-bit-line-contact overlay margin
US6642097B2 (en) Structure for capacitor-top-plate to bit-line-contact overlay margin
US5545585A (en) Method of making a dram circuit with fin-shaped stacked capacitors
US5766994A (en) Dynamic random access memory fabrication method having stacked capacitors with increased capacitance
US5731130A (en) Method for fabricating stacked capacitors on dynamic random access memory cells
US6251726B1 (en) Method for making an enlarged DRAM capacitor using an additional polysilicon plug as a center pillar
US6168989B1 (en) Process for making new and improved crown-shaped capacitors on dynamic random access memory cells
US6077743A (en) Method for making dynamic random access memory cells having brush-shaped stacked capacitors patterned from a hemispherical grain hard mask
US6403416B1 (en) Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
US5795804A (en) Method of fabricating a stack/trench capacitor for a dynamic random access memory (DRAM)

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12