US20010000866A1 - Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition - Google Patents

Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition Download PDF

Info

Publication number
US20010000866A1
US20010000866A1 US09/727,978 US72797800A US2001000866A1 US 20010000866 A1 US20010000866 A1 US 20010000866A1 US 72797800 A US72797800 A US 72797800A US 2001000866 A1 US2001000866 A1 US 2001000866A1
Authority
US
United States
Prior art keywords
cvd
precursor
reaction
ald
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/727,978
Other versions
US6451119B2 (en
Inventor
Ofer Sneh
Carl Galewski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eugenus Inc
Original Assignee
Ofer Sneh
Galewski Carl J.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/267,953 external-priority patent/US6200893B1/en
Priority to US09/727,978 priority Critical patent/US6451119B2/en
Application filed by Ofer Sneh, Galewski Carl J. filed Critical Ofer Sneh
Publication of US20010000866A1 publication Critical patent/US20010000866A1/en
Priority to US10/186,071 priority patent/US6540838B2/en
Publication of US6451119B2 publication Critical patent/US6451119B2/en
Application granted granted Critical
Priority to US10/401,646 priority patent/US20030183171A1/en
Assigned to AIXTRON, INC. reassignment AIXTRON, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: GENUS, INC.
Assigned to EUGENE TECHNOLOGY, INC. reassignment EUGENE TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIXTRON, INC.
Assigned to EUGENUS, INC. reassignment EUGENUS, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: EUGENE TECHNOLOGY, INC.
Assigned to EUGENUS, INC. reassignment EUGENUS, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: EUGENE TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Definitions

  • the present invention is in the area of chemical vapor deposition, and pertains more particularly to new methods and apparatus for depositing films by atomic layer deposition. This invention is an extension of these new methods and particularly covers a method for preventing parasitic chemical vapor deposition and the resultant contamination.
  • CVD chemical vapor deposition
  • ALD Atomic Layer Deposition
  • ALD is a process wherein conventional CVD processes are divided into single-monolayer deposition steps, wherein each separate deposition step theoretically goes to saturation at a single molecular or atomic monolayer thickness, and self-terminates.
  • the deposition is the outcome of chemical reactions between reactive molecular precursors and the substrate.
  • elements composing the film are delivered as molecular precursors.
  • the net reaction must deposit the pure desired film and eliminate the “extra” atoms that compose the molecular precursors (ligands).
  • the molecular precursors are fed simultaneously into the CVD reactor.
  • a substrate is kept at temperature that is optimized to promote chemical reaction between the molecular precursors concurrent with efficient desorption of byproducts. Accordingly, the reaction proceeds to deposit the desired pure film.
  • M Al, W, Ta, Si etc.
  • This purge step (or sometimes a pump-down step) is key for ALD films without the undesired CVD component.
  • the last used chemical is removed from the chamber and gas introduction lines, enabling introduction of a different chemical.
  • An ALD reaction will take place only if the surface is prepared to react directly with the molecular precursor. Accordingly the surface is typically prepared to include hydrogen-containing ligands ⁇ AH that are reactive with the metal precursor. Surface-molecule reactions can proceed to react with all the ligands on the surface and deposit a monolayer of the metal with its passivating ligand: substrate —AH+ML x ⁇ substrate ⁇ AML y +HL, where HL is the exchange reaction by-product.
  • the reaction self-saturates when all the initial ligands are replaced with ⁇ ML y species.
  • the second type of precursor is used to restore the surface reactivity towards the metal precursor, i.e. eliminating the L ligands and redepositing AH ligands.
  • the second precursor is composed of a desired (usually nonmetallic) element—A (i.e. O, N, S), and hydrogen using, for example H 2 O, NH 3 , or H 2 S.
  • A i.e. O, N, S
  • the desired additional element—A is deposited and the ligands L are eliminated as volatile by-product. Again, the reaction consumes the reactive sites (this time the L terminated sites) and self-saturates when the reactive sites are entirely depleted.
  • ALD deposition cycle The sequence of surface reactions that restores the surface to the initial point is called the ALD deposition cycle. Restoration to the initial surface is the keystone of ALD. It implies that films can be layered down in equal metered sequences that are all identical in chemical kinetics, deposition per cycle, composition and thickness. Self-saturating surface reactions make ALD insensitive to transport nonuniformity either from flow engineering or surface topography (i.e. deposition into high aspect ratio structures). Non uniform flux can only result in different completion time at different areas. However, if each of the reactions is allowed to complete on the entire area, the different completion kinetics bear no penalty.
  • ALD has a serious fundamental problem. Unlike CVD reactions that are of a continuous steady state nature, ALD reactions follow kinetics of molecular-surface interaction. Kinetics of molecular-surface reactions depends on the individual reaction rate between a molecular precursor and a surface reactive site and the number of available reactive sites. As the reaction proceeds to completion, the surface is converted from being reactive to non-reactive. As a result the reaction rate is slowing down during the deposition.
  • ALD chemicals such as the ML x and AH z in the above example are typically extremely reactive, and will lead to extensive undesired CVD side reactions if they coexist in the chamber even at trace levels. Since CVD is a very undesirable companion, fast and efficient purge has been the most difficult and challenging aspect of engineering high throughput ALD apparatuses.
  • Our invention which provides the clear and present need, provides an ALD Pre-Reactor as an apparatus and process that eliminates trace amounts of chemical mixing without CVD contribution to the ALD film on the substrates.
  • a method for minimizing parasitic chemical vapor deposition during an atomic layer deposition process comprising steps of (a) imposing a pre-reaction chamber between gas sources and a substrate to be coated; and (b) heating a surface in the pre-reaction chamber to a temperature sufficient to cause contaminant elements to deposit by CVD reaction on the heated surface.
  • a pre-reaction chamber for an atomic layer deposition system comprising a passage for delivery of gases in alternating, incremental fashion from a gas source to a gas distribution apparatus; and a heated surface within the pre-reaction chamber for causing contaminant elements to deposit prior to the gases entering the gas distribution apparatus.
  • FIG. 1 is a generalized diagram of a reactor and associated apparatus for practicing a radical-assisted sequential CVD process according to an embodiment of the present invention.
  • FIG. 2 is a step diagram illustrating the essential steps of an atomic layer deposition process.
  • FIG. 3 is a step diagram illustrating steps in a radical-assisted CVD process according to an embodiment of the present invention.
  • FIG. 4 illustrates a typical time dependent chemical precursor partial pressure curve for systems with well designed gas flow source and pulsing subsystems.
  • FIG. 5 represents a time dependent chemical precursor partial pressure curve where sharply defined “flow off” conditions are achieved as a result of practicing an embodiment of the present invention.
  • FIG. 6 is a generalized diagram of a reactor and associated apparatus for achieving radical assisted sequential CVD according to an improved embodiment of the present invention which eliminates undesired CVD side reactions.
  • FIG. 7 illustrates a second implementation of the reactor in FIG. 6.
  • FIG. 8 illustrates a third implementation of the reactor in FIG. 6
  • FIG. 9 illustrates a fourth implementation of the reactor in FIG. 6.
  • the inventor has developed an enhanced variation of ALD which alters the conventional surface preparation steps of ALD and overcomes the problems of conventional ALD, producing high throughput without compromising quality.
  • the inventor terms the new and unique process Radical-Assisted Sequential CVD (RAS-CVD).
  • FIG. 1 is a generalized diagram of a system 11 for practicing RAS-CVD according to an embodiment of the present invention.
  • a deposition chamber 13 has a heatable hearth for supporting and heating a substrate 19 to be coated, and a gas distribution apparatus, such as a showerhead 15 , for delivering gaseous species to the substrate surface to be coated.
  • Substrates are introduced and removed from chamber 13 via a valve 21 and substrate-handling apparatus not shown.
  • Gases are supplied from a gas sourcing and pulsing apparatus 23 , which includes metering and valving apparatus for sequentially providing gaseous materials.
  • An optional treatment apparatus 25 is provided for producing gas radicals from gases supplied from apparatus 23 .
  • radicals are well-known and understood in the art, but will be qualified again here to avoid confusion.
  • a radical is meant an unstable species.
  • oxygen is stable in diatomic form, and exists principally in nature in this form.
  • Diatomic oxygen may, however, be caused to split to monatomic form, or to combine with another atom to produce ozone, a molecule with three atoms.
  • Both monatomic oxygen and ozone are radical forms of oxygen, and are more reactive than diatomic oxygen.
  • the radicals produced and used are single atom forms of various gases, such as oxygen, hydrogen, and nitrogen, although the invention is not strictly limited to monatomic gases.
  • FIG. 2 is a step diagram of a conventional Atomic Layer Deposition process, and is presented here as contrast and context for the present invention.
  • a first molecular precursor is pulsed in to a reactor chamber, and reacts with the surface to produce (theoretically) a monolayer of a desired material.
  • the precursor is a metal-bearing gas, and the material deposited is the metal; Tantalum from TaCl 5 , for example.
  • step 33 in the conventional process an inert gas is pulsed into the reactor chamber to sweep excess first precursor from the chamber.
  • a second precursor typically non-metallic
  • the primary purpose of this second precursor is to condition the substrate surface back toward reactivity with the first precursor.
  • the second precursor also provides material from the molecular gas to combine with metal at the surface, forming compounds such as an oxide or a nitride with the freshly-deposited metal.
  • step 37 the reactor chamber is purged again to remove excess of the second precursor, and then step 31 is repeated.
  • the cycle is repeated as many times as is necessary to establish a desired film.
  • FIG. 3 is a step diagram illustrating steps in a radical-assisted CVD process according to an embodiment of the present invention.
  • the first steps, steps 41 and 43 are the same as in the conventional process.
  • a first precursor is pulsed in step 41 to react with the substrate surface forming a monolayer of deposit, and the chamber is purges in step 43 .
  • the next step is unique.
  • single or multiple radical species are pulsed to the substrate surface to optionally provide second material to the surface and to condition the surface toward reactivity with the first molecular precursor in a subsequent step.
  • step 41 is repeated. There is no need for a second purge, and the cycle is repeated as often as necessary to accomplish the desired film.
  • Step 45 may be a single step involving a single radical species.
  • the first precursor may deposit a metal, such as in W from WF 6
  • the radical species in step 45 may be atomic hydrogen.
  • the atomic hydrogen very quickly and effectively neutralizes any remaining F to HF, and terminates the surface with atomic hydrogen, providing reactive surface for the next pulse of WF 6 .
  • step 45 will be a compound step comprising substeps involving different radical species.
  • a good example is a sequence of atomic hydrogen followed by atomic oxygen, followed by atomic hydrogen again. The first hydrogen step neutralizes Cl or other remaining ligand, the atomic oxygen provides an oxide of the freshly-deposited metal, and the second atomic hydrogen terminated the surface with (OH) in preparation for the next metal precursor step.
  • step 45 There are a broad variety of materials and combinations in step 45 , and many are disclosed in more detail below, along with a more complete explanation of process chemistry.
  • Radical species are reactive atoms or molecular fragments that are chemically unstable and therefore are extremely reactive. In addition, radicals chemisorb to surfaces with virtually 100% efficiency. Radicals may be created in a number of ways, and plasma generation has been found to be an efficient and compatible means of preparation.
  • RAS-CVD processes use only a single molecular precursor, in many cases a metal precursor.
  • Surface preparation as well as the deposition of nonmetallic elements are accomplished by atom-surface reactions. Following the metal precursor reaction, The ⁇ ML terminated surface is reacted with hydrogen atoms to convert the surface into ⁇ MH and eliminate HL by-product.
  • atom-surface reactions do not depend on the number density of reactive sites. Most atoms (except for noble gases) stick very efficiently to surfaces in an irreversible process because atomic desorption is usually unfavorable. The atoms are highly mobile on non-reactive sites and very reactive at reactive sites. Consequently, atom-surface reactions have linear exposure dependence, as well as high rates.
  • the ⁇ MH surface can be reacted with A atoms to yield a -M-A-surface. In this case some of the H ligands can be eliminated as AH y .
  • the ⁇ MH surface can be reacted with oxygen atoms to deposit oxide compound.
  • ⁇ MH surface can be reacted again with ML x for atomic layer controlled deposition of M metal films.
  • A is atomic nitrogen.
  • the surface after the A atomic reaction is terminated with A- and AH. At this point an additional atomic reaction with hydrogen converts the surface to the desired AH ligands that are reactive towards the metal precursor.
  • the MH surface can be reacted with a mixture of A and H atoms to convert the surface into ⁇ AH terminated surface with one less step. All the above described reactions are radical-surface reactions that are fast and efficient and depend linearly on exposure. In addition, the final hydrogen reaction results in a complete restoration to the initial surface without any incorporation of impurities.
  • RAS-CVD Another throughput benefit of RAS-CVD is that a single purge step after the metal precursor step is needed, rather than the two purge steps needed in the conventional process. Purge steps are expected by most researchers to be the most significant throughput-limiting step in ALD processes. Another advantage is that RAS-CVD promises longer system uptime and reduced maintenance. This is because atomic species can be efficiently quenched on aluminum walls of the deposition module. Downstream deposition on the chamber and pumping lines is therefore virtually eliminated. RAS-CVD eliminates the use of H 2 O and NH 3 that are commonly applied for oxides and nitrides deposition (respectively) in the prior art. These precursors are notorious to increase maintenance and downtime of vacuum systems.
  • Atomic hydrogen step evaporates the ligands L by HL desorption and terminates the surface with hydrogen.
  • Atomic oxygen step reacts with monolayer of metal to form oxide. Atomic hydrogen again to leave hydroxyl saturated surface for next metal precursor step.
  • Al 2 O 3 RAS-CVD can be realized from trimethylaluminum Al(CH 3 ) 3 , hydrogen and oxygen exposures.
  • Al(CH 3 ) 3 reacting with —OH terminated surface will deposit —OAl(CH 3 ) x concurrent with the desorption of methane (CH 4 ).
  • This surface after consecutive (or concurrent) reaction with O atoms and H atoms will be terminated —OAl—OH which is the restoration state.
  • the RAS-CVD process can proceed by applying another Al(CH 3 ) 3 reaction.
  • the —OAl—OH surface can be exposed to another cycles of O and H atoms.
  • this process will exchange OH groups and Al—O—Al bridge sites and the resulted OAl—OH surface will be more thermodynamically favorable than the beginning surface, because the process eliminates the more strained (Al—O—) n ring structures as well as titrating away defects and broken bonds). Since the atomic reactions are rather fast, these quality improvements are not expected to be a major throughput concern. In fact, ultimate quality may be achieved by applying the O, H cycles for several times. Following, a given number of O, H atomic reactions the sequence will continue with the next Al(CH 3 ) 3 reaction.
  • atomic nitrogen is substituted for oxygen.
  • oxygen/nitrogen step may be eliminated in favor of a single atomic hydrogen step, such as for tungsten films.
  • the hydrogen saturated surface after the first atomic hydrogen step is reactive with WF 6 to produce the pure metal.
  • RAS-CVD is advantageous for multiple layer combination films of different oxides, different nitrides, oxides with nitrides, different metals and metals with compound films.
  • the WN process may be combined with the pure W process to produce alternating W and WN layers in a variety of schemes to suppress polycrystallization and to reduce the resistivity of the barrier layer.
  • Other properties, such as electromigration may be controlled by an ability to provide a graded layer of WN with reduced nitrogen content at the copper interface for such applications.
  • Titanium from titanium tetrachloride or titanium tetraiodide 60. 4. Titanium from titanium tetrachloride or titanium tetraiodide.
  • Tantalum pentoxide from tantalum pentachloride 1. Tantalum pentoxide from tantalum pentachloride.
  • Titanium oxide from titanium tetrachloride or titanium tetraiodide.
  • Niobium pentoxide from niobium pentachloride 70. 4. Niobium pentoxide from niobium pentachloride.
  • Silicon dioxide from silicon tetrachloride 79. 13. Silicon dioxide from silicon tetrachloride.
  • Tantalum nitride from tantalum pentachloride
  • Titanium nitride from titanium tetrachloride 4. Titanium nitride from titanium tetrachloride.
  • RAS-CVD is compatible in most cases with ALD process hardware.
  • the significant difference is in production of atomic species and/or other radicals, and in the timing and sequence of gases to the process chamber.
  • Production of the atomic species can be done in several ways, such as (1) in-situ plasma generation, (2) intra-showerhead plasma generation, and (3) external generation by a high-density remote plasma source or by other means such as UV dissociation or dissociation of metastable molecules. referring again to FIG. 1, these methods and apparatus are collectively represented by apparatus 25 .
  • Intra-showerhead generation has been shown to have an advantage of separating the atomic specie generation from the ALD space.
  • the preferable method at the time of this specification is remote generation by a high-density source, as this is the most versatile method.
  • the radicals are generated in a remote source and delivered to the ALD volume, distributed by a showerhead over the wafer in process.
  • radicals of the needed species such as hydrogen, oxygen, nitrogen, may be generated in several ways and delivered in the process steps.
  • ALD chambers, gas distribution, valving, timing and the like may vary in many particulars.
  • metals, oxides nitrides and the like may be produced, and process steps may be altered and interleaved to create graded and alternating films.
  • FIG. 4 is a generalized chemical precursor partial pressure vs. time curve 46 for a well behaved system using rapid pulsing of the chemical precursor species and purge steps.
  • the partial pressure 47 of each active chemical precursor is qualitatively shown on the Y axis of the diagram against time on the X axis.
  • the partial pressure of precursor “A” 49 and precursor “B” 50 are shown for convenience. Systems with more than two precursors would behave similarly with distinct partial pressure peaks for each chemical precursor.
  • FIG. 5 is an idealized chemical precursor partial pressure vs. time curve 51 for a well behaved system using rapid pulsing of the chemical precursor species, purge steps, and the innovative Pre-Reactor invention embodied in this patent application.
  • the partial pressure 52 of each active chemical precursor is qualitatively shown on the Y axis of the diagram against time on the X axis.
  • the partial pressure of precursor “A” 54 and precursor “B” 55 are shown for convenience. Systems with more than two precursors would behave similarly with distinct partial pressure peaks for each chemical precursor.
  • FIG. 6 is a generalized diagram of a system 56 for practicing RAS-CVD according to an additional embodiment of the present invention.
  • RAS-CVD is used as an example, the inventor intends it to be clear that the apparatus and methods of the present invention are not limited to RAS-CVD, but applicable in general to all sorts of ALD and many other sequential CVD processes.
  • a deposition chamber 59 has a heatable hearth for supporting and heating a substrate 61 to be coated, and a gas distribution apparatus, such as a showerhead 60 , for delivering gaseous species to the substrate surface to be coated.
  • Substrates are introduced and removed (item 65 ) from chamber 59 via a valve 64 and substrate-handling apparatus not shown.
  • Gases are supplied from a gas sourcing and pulsing apparatus 57 , which includes metering and valving apparatus for sequentially providing gaseous materials.
  • An optional treatment apparatus 58 is provided for producing gas radicals from gases supplied from apparatus 57 .
  • a Pre-Reactor 66 has been added to this system to provide improved control of unwanted CVD side reactions.
  • the pre-reactor may take various forms, and some of the possible variations are shown in FIG. 6, 7, 8 and 9 , described in more detail below. All of the figures commonly utilize the gas sourcing and pulsing apparatus 57 , the optional treatment apparatus for creating radicals 58 , the gas distribution apparatus 60 , the deposition chamber 59 , a heating hearth 62 for heating substrate 61 , a spent chemical effluent system 63 , a substrate entry and removal 65 valve 64 . These items are common in this exemplary system. In addition, some but not all implementations of the Pre-Reactor are shown. For example, in one embodiment, the gas distribution apparatus, such as a showerhead, may serve double duty, and be the pre-reactor chamber as well.
  • the Pre-Reactor 66 is shown as a physically separate chamber which is placed in the process gas pathway between the Optional Treatment Apparatus Producing Gas Radicals and the Gas Distribution showerhead.
  • the Pre-Reaction process may take place on any surface with sufficient activation energy supplied either by thermal heating, RF plasma, UV or by other means.
  • FIG. 7 is a generalized diagram of a system 67 for practicing RAS-CVD in a further embodiment of the present invention.
  • two embodiments of the Pre-Reactor 68 are shown.
  • the first is the incorporation of the Pre-Reactor 68 into the Gas Distribution showerhead 60 .
  • the undesired CVD side reactions are caused to occur on a free-standing, thermally heated surface inside the Gas Distribution showerhead 68 .
  • Such a thermally-heated surface may be provided in a wide variety of ways, and the form of the pre-reactor chamber can take a wide variety of forms as well, such as, for example, a long, coiled, heated conduit.
  • the necessary thermal input for the pre-reaction is provided by proximity of the showerhead apparatus to substrate 61 , with heat transfer from the hearth and the substrate.
  • FIG. 8 is a generalized diagram of a further embodiment of the present invention providing system 69 for practicing RAS-CVD.
  • two embodiments of the Pre-Reactor 70 are shown.
  • the first is the incorporation of the Pre-Reactor 70 into the Gas Distribution showerhead 60 which is conceptually similar to FIG. 7.
  • the undesired CVD side reactions are caused to occur on the heated surface of the Gas Distribution showerhead 68 itself, which is heated in this embodiment by hearth 62 and substrate 61 by virtue of near proximity of these elements to showerhead 60 .
  • the rapid depletion of the undesired chemical “tail” eliminates the possibility that the side reaction will occur on the substrate allowing a decrease in the time between each chemical reactant entering the system.
  • FIG. 9 is a generalized diagram for a system 71 for practicing RAS-CVD in yet a further embodiment of the present invention.
  • two embodiments of the Pre-Reactor 72 are shown. The first is the incorporation of the Pre-Reactor 72 into the Gas Distribution showerhead 60 which is conceptually similar to FIG. 7.
  • the undesired CVD side reactions are caused to occur within the combination Gas Distribution showerhead 68 and Pre-Reactor 72 by activating the undesired CVD side reaction using an RF plasma generated within the showerhead. This process causes rapid depletion of the undesired chemical “tail” and eliminates the possibility that the side reaction will occur on the substrate allowing a decrease in the time between each chemical reactant entering the system
  • pre-reaction chamber aspects of the invention, it will be apparent to the skilled artisan that various deviations from the embodiments described will still fall within the spirit and scope of the present invention, and, in addition, many combinations of embodiments and variations may be made.
  • a plasma activation may readily be used in a pre-reaction chamber within the scope of the invention, wherein the chamber itself may assume any of a wide variety of forms.

Abstract

A new method and apparatus for avoiding contamination of films deposited in layered depositions, such as Atomic Layer Deposition (ALD) and other sequential chemical vapor deposition (CVD) processes, is taught, wherein CVD-deposited contamination of ALD films is prevented by use of a pre-reaction chamber that effectively causes otherwise-contaminating gaseous constituents to deposit on wall elements of gas-delivery apparatus prior to entering the ALD chamber.

Description

    FIELD OF THE INVENTION
  • 1. The present invention is in the area of chemical vapor deposition, and pertains more particularly to new methods and apparatus for depositing films by atomic layer deposition. This invention is an extension of these new methods and particularly covers a method for preventing parasitic chemical vapor deposition and the resultant contamination.
  • BACKGROUND OF THE INVENTION
  • 2. In the manufacture of integrated circuits, deposition of thin films of many pure and compound materials is necessary, and many techniques have been developed to accomplish such depositions. In recent years the dominant technique for deposition of thin films in the art has been chemical vapor deposition (CVD), which has proven to have superior ability to provide uniform even coatings, and to coat relatively conformally into vias and over other high-aspect and uneven features in wafer topology. As device density has continued to increase and geometry has continued to become more complicated, even the superior conformal coating of CVD techniques has been challenged, and new and better techniques are needed.
  • 3. The approach of a variant of CVD, Atomic Layer Deposition has been considered for improvement in uniformity and conformality, especially for low temperature deposition. However the practical implementation of this technology requires a solution to higher purity and higher throughput. This patent addresses these requirements.
  • 4. Atomic Layer Deposition
  • 5. In the field of CVD a process known as Atomic Layer Deposition (ALD) has emerged as a promising candidate to extend the abilities of CVD techniques, and is under rapid development by semiconductor equipment manufacturers to farther improve characteristics of chemical vapor deposition. ALD is a process originally termed Atomic Layer Epitaxy, for which a competent reference is: Atomic Layer Epitaxy, edited by T. Suntola and M. Simpson, published by Blackie, Glasgo and London in 1990. This publication is incorporated herein by reference.
  • 6. Generally ALD is a process wherein conventional CVD processes are divided into single-monolayer deposition steps, wherein each separate deposition step theoretically goes to saturation at a single molecular or atomic monolayer thickness, and self-terminates.
  • 7. The deposition is the outcome of chemical reactions between reactive molecular precursors and the substrate. In similarity to CVD, elements composing the film are delivered as molecular precursors. The net reaction must deposit the pure desired film and eliminate the “extra” atoms that compose the molecular precursors (ligands). In the case of CVD the molecular precursors are fed simultaneously into the CVD reactor. A substrate is kept at temperature that is optimized to promote chemical reaction between the molecular precursors concurrent with efficient desorption of byproducts. Accordingly, the reaction proceeds to deposit the desired pure film.
  • 8. For ALD applications, the molecular precursors are introduced into the ALD reactor separately. This is practically done by flowing one precursor at a time, i.e. a metal precursor—MLx (M=Al, W, Ta, Si etc.) that contains a metal element—M which is bonded to atomic or molecular ligands—L to make a volatile molecule. The metal precursor reaction is typically followed by inert gas purging to eliminate this precursor from the chamber prior to the separate introduction of the other precursor.
  • 9. This purge step (or sometimes a pump-down step) is key for ALD films without the undesired CVD component. During the purge (evacuation) step the last used chemical is removed from the chamber and gas introduction lines, enabling introduction of a different chemical. An ALD reaction will take place only if the surface is prepared to react directly with the molecular precursor. Accordingly the surface is typically prepared to include hydrogen-containing ligands −AH that are reactive with the metal precursor. Surface-molecule reactions can proceed to react with all the ligands on the surface and deposit a monolayer of the metal with its passivating ligand: substrate —AH+MLx→substrate−AMLy+HL, where HL is the exchange reaction by-product. During the reaction the initial surface ligands—AH are consumed and the surface becomes covered with L ligands, that cannot further react with the metal precursor —MLx. Therefore, the reaction self-saturates when all the initial ligands are replaced with −MLy species.
  • 10. After completing the metal precursor reaction all of the metal precursor is typically removed from the reactor prior to the introduction of another precursor. The second type of precursor is used to restore the surface reactivity towards the metal precursor, i.e. eliminating the L ligands and redepositing AH ligands.
  • 11. Most ALD processes have been applied to deposit compound films. In this case the second precursor is composed of a desired (usually nonmetallic) element—A (i.e. O, N, S), and hydrogen using, for example H2O, NH3, or H2S. The reaction: −ML+AHz→−M—AH+HL (for the sake of simplicity the chemical reactions are not balanced) converts the surface back to be AH-covered. The desired additional element—A is deposited and the ligands L are eliminated as volatile by-product. Again, the reaction consumes the reactive sites (this time the L terminated sites) and self-saturates when the reactive sites are entirely depleted.
  • 12. The sequence of surface reactions that restores the surface to the initial point is called the ALD deposition cycle. Restoration to the initial surface is the keystone of ALD. It implies that films can be layered down in equal metered sequences that are all identical in chemical kinetics, deposition per cycle, composition and thickness. Self-saturating surface reactions make ALD insensitive to transport nonuniformity either from flow engineering or surface topography (i.e. deposition into high aspect ratio structures). Non uniform flux can only result in different completion time at different areas. However, if each of the reactions is allowed to complete on the entire area, the different completion kinetics bear no penalty.
  • 13. As is often the case with process development, the initial promised advantages of a new technique do not, in the end, attain their full initial promise. Unfortunately, ALD has a serious fundamental problem. Unlike CVD reactions that are of a continuous steady state nature, ALD reactions follow kinetics of molecular-surface interaction. Kinetics of molecular-surface reactions depends on the individual reaction rate between a molecular precursor and a surface reactive site and the number of available reactive sites. As the reaction proceeds to completion, the surface is converted from being reactive to non-reactive. As a result the reaction rate is slowing down during the deposition. In the simplest case the rate, dN/dt is proportional to the number of reactive sites, dN/dt=−kN, where N is the number of reactive sites and k is the (single site) reaction rate. Eliminating reactive sites (or growing of the already-reacted sites) follows an exponential time dependence kN(t)=kN0exp(−kt). This fundamental property of molecule-surface kinetics was named after the great scientist Langmuir, and is quite well-known in the art.
  • 14. The interpretation of Langmuirian kinetics limitations illustrates a serious drawback of ALD and a severe deviation from the ideal picture. Accordingly, the self-terminating reactions never really self-terminate (they would require an infinite time because the rate is exponentially decreasing). It means that under practical conditions the surface is never entirely reacted to completion after a deposition cycle. If the surface is not completely reacted there are leftover undesired elements in the film. For example, if the ML, reaction cannot totally consume the surface −AH sites, the film will have H incorporation. Likewise, if the AHy reaction is not carried to completion, undesired L incorporation is inevitable. Clearly, the quality of the film depends on the impurity levels. The throughput-quality tradeoff is of particular concern because it carries an exponential throughput penalty to attain a reduction of impurity levels.
  • 15. In conventional atomic layer deposition one must accept low throughput to attain high-purity film, or accept lower-purity films for higher throughput. What is clearly needed is an apparatus and methods which not only overcome the Langmuirian limitations but simultaneously provide higher-purity films than have been available in the prior art methods. Such apparatus and methods are provided in embodiments of the present invention, taught in enabling detail below.
  • 16. In addition to the above ideal situation, ALD chemicals, such as the MLx and AHz in the above example are typically extremely reactive, and will lead to extensive undesired CVD side reactions if they coexist in the chamber even at trace levels. Since CVD is a very undesirable companion, fast and efficient purge has been the most difficult and challenging aspect of engineering high throughput ALD apparatuses.
  • 17. Chemical delivery lines must be short and free of trapped volume to facilitate efficient purging of chemicals. However, some limitation on efficient purge come from line surface outgassing that is difficult to avoid. Accordingly, some trace of chemical mixing is impossible to suppress with throughput limited short purge times. What is needed is a rapid method of removing trace quantities of the previously used chemical precursor prior to introduction of the desired new chemical precursor.
  • 18. Our invention, which provides the clear and present need, provides an ALD Pre-Reactor as an apparatus and process that eliminates trace amounts of chemical mixing without CVD contribution to the ALD film on the substrates.
  • SUMMARY OF THE INVENTION
  • 19. In a preferred embodiment of the present invention a method for minimizing parasitic chemical vapor deposition during an atomic layer deposition process is provided, comprising steps of (a) imposing a pre-reaction chamber between gas sources and a substrate to be coated; and (b) heating a surface in the pre-reaction chamber to a temperature sufficient to cause contaminant elements to deposit by CVD reaction on the heated surface.
  • 20. In an alternative embodiment a pre-reaction chamber for an atomic layer deposition system is provided, comprising a passage for delivery of gases in alternating, incremental fashion from a gas source to a gas distribution apparatus; and a heated surface within the pre-reaction chamber for causing contaminant elements to deposit prior to the gases entering the gas distribution apparatus.
  • 21. In the embodiments of the invention taught in enabling detail below, for the first time a method and apparatus is provided that effectively removes contaminant gases in atomic layer deposition processes, and allows cycle times to be significantly increased as a result.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • 22.FIG. 1 is a generalized diagram of a reactor and associated apparatus for practicing a radical-assisted sequential CVD process according to an embodiment of the present invention.
  • 23.FIG. 2 is a step diagram illustrating the essential steps of an atomic layer deposition process.
  • 24.FIG. 3 is a step diagram illustrating steps in a radical-assisted CVD process according to an embodiment of the present invention.
  • 25.FIG. 4 illustrates a typical time dependent chemical precursor partial pressure curve for systems with well designed gas flow source and pulsing subsystems.
  • 26.FIG. 5 represents a time dependent chemical precursor partial pressure curve where sharply defined “flow off” conditions are achieved as a result of practicing an embodiment of the present invention.
  • 27.FIG. 6 is a generalized diagram of a reactor and associated apparatus for achieving radical assisted sequential CVD according to an improved embodiment of the present invention which eliminates undesired CVD side reactions.
  • 28.FIG. 7 illustrates a second implementation of the reactor in FIG. 6.
  • 29.FIG. 8 illustrates a third implementation of the reactor in FIG. 6
  • 30.FIG. 9 illustrates a fourth implementation of the reactor in FIG. 6.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • 31. The inventor has developed an enhanced variation of ALD which alters the conventional surface preparation steps of ALD and overcomes the problems of conventional ALD, producing high throughput without compromising quality. The inventor terms the new and unique process Radical-Assisted Sequential CVD (RAS-CVD).
  • 32.FIG. 1 is a generalized diagram of a system 11 for practicing RAS-CVD according to an embodiment of the present invention. In this exemplary system a deposition chamber 13 has a heatable hearth for supporting and heating a substrate 19 to be coated, and a gas distribution apparatus, such as a showerhead 15, for delivering gaseous species to the substrate surface to be coated. Substrates are introduced and removed from chamber 13 via a valve 21 and substrate-handling apparatus not shown. Gases are supplied from a gas sourcing and pulsing apparatus 23, which includes metering and valving apparatus for sequentially providing gaseous materials. An optional treatment apparatus 25 is provided for producing gas radicals from gases supplied from apparatus 23.
  • 33. The term radicals is well-known and understood in the art, but will be qualified again here to avoid confusion. By a radical is meant an unstable species. For example, oxygen is stable in diatomic form, and exists principally in nature in this form. Diatomic oxygen may, however, be caused to split to monatomic form, or to combine with another atom to produce ozone, a molecule with three atoms. Both monatomic oxygen and ozone are radical forms of oxygen, and are more reactive than diatomic oxygen. In many cases in embodiments of the present invention the radicals produced and used are single atom forms of various gases, such as oxygen, hydrogen, and nitrogen, although the invention is not strictly limited to monatomic gases.
  • 34.FIG. 2 is a step diagram of a conventional Atomic Layer Deposition process, and is presented here as contrast and context for the present invention. In conventional ALD, as shown in FIG. 2, in step 31 a first molecular precursor is pulsed in to a reactor chamber, and reacts with the surface to produce (theoretically) a monolayer of a desired material. Often in these processes the precursor is a metal-bearing gas, and the material deposited is the metal; Tantalum from TaCl5, for example.
  • 35. In step 33 in the conventional process an inert gas is pulsed into the reactor chamber to sweep excess first precursor from the chamber.
  • 36. In step 35 in the conventional system a second precursor, typically non-metallic, is pulsed into the reactor. The primary purpose of this second precursor is to condition the substrate surface back toward reactivity with the first precursor. In many cases the second precursor also provides material from the molecular gas to combine with metal at the surface, forming compounds such as an oxide or a nitride with the freshly-deposited metal.
  • 37. At step 37 the reactor chamber is purged again to remove excess of the second precursor, and then step 31 is repeated. The cycle is repeated as many times as is necessary to establish a desired film.
  • 38.FIG. 3 is a step diagram illustrating steps in a radical-assisted CVD process according to an embodiment of the present invention. In the unique process illustrated by FIG. 3 the first steps, steps 41 and 43, are the same as in the conventional process. A first precursor is pulsed in step 41 to react with the substrate surface forming a monolayer of deposit, and the chamber is purges in step 43. The next step is unique. In step 45 single or multiple radical species are pulsed to the substrate surface to optionally provide second material to the surface and to condition the surface toward reactivity with the first molecular precursor in a subsequent step. Then step 41 is repeated. There is no need for a second purge, and the cycle is repeated as often as necessary to accomplish the desired film.
  • 39. Step 45 may be a single step involving a single radical species. For example, the first precursor may deposit a metal, such as in W from WF6, and the radical species in step 45 may be atomic hydrogen. The atomic hydrogen very quickly and effectively neutralizes any remaining F to HF, and terminates the surface with atomic hydrogen, providing reactive surface for the next pulse of WF6.
  • 40. In many cases step 45 will be a compound step comprising substeps involving different radical species. A good example is a sequence of atomic hydrogen followed by atomic oxygen, followed by atomic hydrogen again. The first hydrogen step neutralizes Cl or other remaining ligand, the atomic oxygen provides an oxide of the freshly-deposited metal, and the second atomic hydrogen terminated the surface with (OH) in preparation for the next metal precursor step.
  • 41. There are a broad variety of materials and combinations in step 45, and many are disclosed in more detail below, along with a more complete explanation of process chemistry.
  • 42. In RAS-CVD, following the metal precursor reaction, highly reactive radical species are introduced to quickly react with products of the metal precursor reaction and to prepare the surface for the next metal precursor reaction. Radical species, as introduced above, are reactive atoms or molecular fragments that are chemically unstable and therefore are extremely reactive. In addition, radicals chemisorb to surfaces with virtually 100% efficiency. Radicals may be created in a number of ways, and plasma generation has been found to be an efficient and compatible means of preparation.
  • 43. RAS-CVD processes use only a single molecular precursor, in many cases a metal precursor. Surface preparation as well as the deposition of nonmetallic elements are accomplished by atom-surface reactions. Following the metal precursor reaction, The −ML terminated surface is reacted with hydrogen atoms to convert the surface into −MH and eliminate HL by-product. Unlike molecule-surface reactions, atom-surface reactions do not depend on the number density of reactive sites. Most atoms (except for noble gases) stick very efficiently to surfaces in an irreversible process because atomic desorption is usually unfavorable. The atoms are highly mobile on non-reactive sites and very reactive at reactive sites. Consequently, atom-surface reactions have linear exposure dependence, as well as high rates.
  • 44. The −MH surface can be reacted with A atoms to yield a -M-A-surface. In this case some of the H ligands can be eliminated as AHy. For example the −MH surface can be reacted with oxygen atoms to deposit oxide compound. Alternatively, −MH surface can be reacted again with MLx for atomic layer controlled deposition of M metal films. For the deposition of nitride compound films, A is atomic nitrogen. The surface after the A atomic reaction is terminated with A- and AH. At this point an additional atomic reaction with hydrogen converts the surface to the desired AH ligands that are reactive towards the metal precursor. Alternatively, the MH surface can be reacted with a mixture of A and H atoms to convert the surface into −AH terminated surface with one less step. All the above described reactions are radical-surface reactions that are fast and efficient and depend linearly on exposure. In addition, the final hydrogen reaction results in a complete restoration to the initial surface without any incorporation of impurities.
  • 45. Another throughput benefit of RAS-CVD is that a single purge step after the metal precursor step is needed, rather than the two purge steps needed in the conventional process. Purge steps are expected by most researchers to be the most significant throughput-limiting step in ALD processes. Another advantage is that RAS-CVD promises longer system uptime and reduced maintenance. This is because atomic species can be efficiently quenched on aluminum walls of the deposition module. Downstream deposition on the chamber and pumping lines is therefore virtually eliminated. RAS-CVD eliminates the use of H2O and NH3 that are commonly applied for oxides and nitrides deposition (respectively) in the prior art. These precursors are notorious to increase maintenance and downtime of vacuum systems.
  • 46. According to the above a typical RAS-CVD cycle for a metal oxide film will comprise steps as follows:
  • 47. 1. Metal precursor reaction with —OH (hydroxyl) terminated surface to attach —O-MLY and eliminate the hydrogen by HL desorption. The surface becomes covered with L ligands, i.e. in the case of TaCl5 the surface becomes covered with Cl atoms.
  • 48. 2. Purge with inert gas to sweep away excess metal precursor.
  • 49. 3. Atomic hydrogen step—eliminates the ligands L by HL desorption and terminates the surface with hydrogen.
  • 50. 4. Atomic oxygen step—reacts with monolayer of metal to form oxide. Atomic hydrogen again to leave hydroxyl saturated surface for next metal precursor step.
  • 51. At this point the quality of oxide films (i.e. insulation properties, dielectric strength, charge trapping) can be improved by running steps 4+5 for multiple times. For example: Al2O3 RAS-CVD can be realized from trimethylaluminum Al(CH3)3, hydrogen and oxygen exposures. Al(CH3)3 reacting with —OH terminated surface will deposit —OAl(CH3)x concurrent with the desorption of methane (CH4). The —OAl(CH3)x (x=1,2) surface will be treated with H atoms to eliminate x number of methane molecules and terminate the surface with —OAlH. This surface after consecutive (or concurrent) reaction with O atoms and H atoms will be terminated —OAl—OH which is the restoration state. At this point, the RAS-CVD process can proceed by applying another Al(CH3)3 reaction. Alternatively, the —OAl—OH surface can be exposed to another cycles of O and H atoms. At temperature above 100° C. this process will exchange OH groups and Al—O—Al bridge sites and the resulted OAl—OH surface will be more thermodynamically favorable than the beginning surface, because the process eliminates the more strained (Al—O—)n ring structures as well as titrating away defects and broken bonds). Since the atomic reactions are rather fast, these quality improvements are not expected to be a major throughput concern. In fact, ultimate quality may be achieved by applying the O, H cycles for several times. Following, a given number of O, H atomic reactions the sequence will continue with the next Al(CH3)3 reaction.
  • 52. 6. Repeat steps from 1.
  • 53. For metal nitrides atomic nitrogen is substituted for oxygen. For pure metal depositions the oxygen/nitrogen step may be eliminated in favor of a single atomic hydrogen step, such as for tungsten films. The hydrogen saturated surface after the first atomic hydrogen step is reactive with WF6 to produce the pure metal.
  • 54. The generic nature of RAS-CVD is advantageous for multiple layer combination films of different oxides, different nitrides, oxides with nitrides, different metals and metals with compound films.
  • 55. In another unique process, useful for barrier layers, the WN process may be combined with the pure W process to produce alternating W and WN layers in a variety of schemes to suppress polycrystallization and to reduce the resistivity of the barrier layer. Other properties, such as electromigration may be controlled by an ability to provide a graded layer of WN with reduced nitrogen content at the copper interface for such applications.
  • 56. In embodiments of the invention a broad variety of process chemistries may be practiced, providing a broad variety of final films. In the area of pure metals, for example, the following provides a partial, but not limiting list:
  • 57. 1. Tungsten from tungsten hexafluoride.
  • 58. 2. Tantalum from tantalum pentachloride.
  • 59. 3. Aluminum from either aluminum trichloride or trimethylaluminum.
  • 60. 4. Titanium from titanium tetrachloride or titanium tetraiodide.
  • 61. 5. Molybdenum from molybdenum hexafluoride.
  • 62. 6. Zinc from zinc dichloride.
  • 63. 7. Hafnium from hafnium tetrachloride.
  • 64. 8. Niobium from niobium pentachloride.
  • 65. 9. Copper from Cu3Cl3.
  • 66. In the area of oxides the following is a partial but not limiting list:
  • 67. 1. Tantalum pentoxide from tantalum pentachloride.
  • 68. 2. Aluminum oxide from trimethylaluminum or aluminum trichloride.
  • 69. 3. Titanium oxide from titanium tetrachloride or titanium tetraiodide.
  • 70. 4. Niobium pentoxide from niobium pentachloride.
  • 71. 5. Zirconium oxide from zirconium tetrachloride.
  • 72. 6. Hafnium oxide from hafnium tetrachloride.
  • 73. 7. Zinc oxide from zinc dichloride.
  • 74. 8. Molybdenum oxide from molybdenum hexafluoride or molybdenum pentachloride.
  • 75. 9. Manganese oxide from manganese dichloride.
  • 76. 10. Tin oxide from tin tetrachloride.
  • 77. 11. Indium oxide from indium trichloride or trimethylindium.
  • 78. 12. Tungsten oxide from tungsten hexafluoride.
  • 79. 13. Silicon dioxide from silicon tetrachloride.
  • 80. In the area of nitrides, the following is a partial but not limiting list:
  • 81. 1. Tungsten nitride from tungsten hexafluoride.
  • 82. 2. Tantalum nitride from tantalum pentachloride.
  • 83. 3. Aluminum nitride from aluminum trichloride or trimethylaluminum.
  • 84. 4. Titanium nitride from titanium tetrachloride.
  • 85. 5. Silicon nitride from silicon tetrachloride or dichlorosilane.
  • 86. 6. Gallium nitride from trimethylgallium.
  • 87. Hardware Requirements
  • 88. Another advantage of RAS-CVD is that it is compatible in most cases with ALD process hardware. The significant difference is in production of atomic species and/or other radicals, and in the timing and sequence of gases to the process chamber. Production of the atomic species can be done in several ways, such as (1) in-situ plasma generation, (2) intra-showerhead plasma generation, and (3) external generation by a high-density remote plasma source or by other means such as UV dissociation or dissociation of metastable molecules. referring again to FIG. 1, these methods and apparatus are collectively represented by apparatus 25.
  • 89. Of the options, in-situ generation is the simplest design, but poses several problems, such as turn on-turn off times that could be a throughput limitation. Intra-showerhead generation has been shown to have an advantage of separating the atomic specie generation from the ALD space. The preferable method at the time of this specification is remote generation by a high-density source, as this is the most versatile method. The radicals are generated in a remote source and delivered to the ALD volume, distributed by a showerhead over the wafer in process.
  • 90. It will be apparent to the skilled artisan that there are a variety of options that may be exercised within the scope of this invention as variations of the embodiments described above . . . some have already been described. For example, radicals of the needed species, such as hydrogen, oxygen, nitrogen, may be generated in several ways and delivered in the process steps. Further, ALD chambers, gas distribution, valving, timing and the like may vary in many particulars. Still further, many metals, oxides nitrides and the like may be produced, and process steps may be altered and interleaved to create graded and alternating films.
  • 91. Apparatus and Concept for Minimizing Parasitic Chemical Vapor Deposition During Atomic Laver Deposition
  • 92. In a further embodiment of the present invention an apparatus and method is provided for preventing contamination by CVD deposition during ALD processes. FIG. 4 is a generalized chemical precursor partial pressure vs. time curve 46 for a well behaved system using rapid pulsing of the chemical precursor species and purge steps. The partial pressure 47 of each active chemical precursor is qualitatively shown on the Y axis of the diagram against time on the X axis. The partial pressure of precursor “A” 49 and precursor “B” 50 are shown for convenience. Systems with more than two precursors would behave similarly with distinct partial pressure peaks for each chemical precursor.
  • 93. Of particular note in FIG. 4 is that while the partial pressure of each precursor rises rapidly at the start of each pulse, there is a distinct “tail” at the end of each pulse. This “tail” represents an undesired condition where the precursor is not fully removed from the deposition system. This tail is a result of various real phenomena, such as, for example, the fact that gases molecules have a certain affinity for surfaces in conduits and chambers, and such surface-restrained molecules continue to evolve in a system after gas flow is shut off, a phenomenon known in the vacuum arts as outgasing. If the next precursor is introduced into the deposition chamber 59, FIG. 6 while the prior precursor is still present, an undesired CVD side reaction occurs and contaminates the desired film on the substrate 61 on FIG. 6. In addition to the contamination of the film, the undesired CVD reaction could nucleate in the gas phase leading to undesirable particle accumulation on the substrate 61 or in the deposition chamber 59.
  • 94.FIG. 5 is an idealized chemical precursor partial pressure vs. time curve 51 for a well behaved system using rapid pulsing of the chemical precursor species, purge steps, and the innovative Pre-Reactor invention embodied in this patent application. The partial pressure 52 of each active chemical precursor is qualitatively shown on the Y axis of the diagram against time on the X axis. The partial pressure of precursor “A” 54 and precursor “B” 55 are shown for convenience. Systems with more than two precursors would behave similarly with distinct partial pressure peaks for each chemical precursor.
  • 95. Of particular note in FIG. 5 is that the partial pressure of each precursor rises rapidly at the start of each pulse and falls rapidly at the end of each programmed flow pulse. The distinct chemical “tail” present in FIG. 4 is eliminated primarily as a result of an innovative Pre-Reactor described in this invention. The methods and apparatus used to remove the trace chemical precursor that causes this “tail” effect are described in enabling detail below.
  • 96.FIG. 6 is a generalized diagram of a system 56 for practicing RAS-CVD according to an additional embodiment of the present invention. Although RAS-CVD is used as an example, the inventor intends it to be clear that the apparatus and methods of the present invention are not limited to RAS-CVD, but applicable in general to all sorts of ALD and many other sequential CVD processes.
  • 97. In this exemplary system a deposition chamber 59 has a heatable hearth for supporting and heating a substrate 61 to be coated, and a gas distribution apparatus, such as a showerhead 60, for delivering gaseous species to the substrate surface to be coated. Substrates are introduced and removed (item 65) from chamber 59 via a valve 64 and substrate-handling apparatus not shown. Gases are supplied from a gas sourcing and pulsing apparatus 57, which includes metering and valving apparatus for sequentially providing gaseous materials. An optional treatment apparatus 58 is provided for producing gas radicals from gases supplied from apparatus 57. A Pre-Reactor 66 has been added to this system to provide improved control of unwanted CVD side reactions.
  • 98. The pre-reactor may take various forms, and some of the possible variations are shown in FIG. 6, 7, 8 and 9, described in more detail below. All of the figures commonly utilize the gas sourcing and pulsing apparatus 57, the optional treatment apparatus for creating radicals 58, the gas distribution apparatus 60, the deposition chamber 59, a heating hearth 62 for heating substrate 61, a spent chemical effluent system 63, a substrate entry and removal 65 valve 64. These items are common in this exemplary system. In addition, some but not all implementations of the Pre-Reactor are shown. For example, in one embodiment, the gas distribution apparatus, such as a showerhead, may serve double duty, and be the pre-reactor chamber as well.
  • 99. In FIG. 6, the Pre-Reactor 66 is shown as a physically separate chamber which is placed in the process gas pathway between the Optional Treatment Apparatus Producing Gas Radicals and the Gas Distribution Showerhead. The Pre-Reaction process may take place on any surface with sufficient activation energy supplied either by thermal heating, RF plasma, UV or by other means.
  • 100.FIG. 7 is a generalized diagram of a system 67 for practicing RAS-CVD in a further embodiment of the present invention. In FIG. 7, two embodiments of the Pre-Reactor 68 are shown. The first is the incorporation of the Pre-Reactor 68 into the Gas Distribution Showerhead 60. In this case, the undesired CVD side reactions are caused to occur on a free-standing, thermally heated surface inside the Gas Distribution Showerhead 68. Such a thermally-heated surface may be provided in a wide variety of ways, and the form of the pre-reactor chamber can take a wide variety of forms as well, such as, for example, a long, coiled, heated conduit. The rapid depletion of the undesired chemical “tail” eliminates the possibility that the side reaction will occur on the substrate allowing a decrease in the time between each chemical reactant entering the system. In one preferred embodiment the necessary thermal input for the pre-reaction is provided by proximity of the showerhead apparatus to substrate 61, with heat transfer from the hearth and the substrate.
  • 101.FIG. 8 is a generalized diagram of a further embodiment of the present invention providing system 69 for practicing RAS-CVD. In FIG. 8, two embodiments of the Pre-Reactor 70 are shown. The first is the incorporation of the Pre-Reactor 70 into the Gas Distribution Showerhead 60 which is conceptually similar to FIG. 7. In this case, the undesired CVD side reactions are caused to occur on the heated surface of the Gas Distribution Showerhead 68 itself, which is heated in this embodiment by hearth 62 and substrate 61 by virtue of near proximity of these elements to showerhead 60. Again, the rapid depletion of the undesired chemical “tail” eliminates the possibility that the side reaction will occur on the substrate allowing a decrease in the time between each chemical reactant entering the system.
  • 102.FIG. 9 is a generalized diagram for a system 71 for practicing RAS-CVD in yet a further embodiment of the present invention. In FIG. 9, two embodiments of the Pre-Reactor 72 are shown. The first is the incorporation of the Pre-Reactor 72 into the Gas Distribution Showerhead 60 which is conceptually similar to FIG. 7. In this new embodiment, however, the undesired CVD side reactions are caused to occur within the combination Gas Distribution Showerhead 68 and Pre-Reactor 72 by activating the undesired CVD side reaction using an RF plasma generated within the showerhead. This process causes rapid depletion of the undesired chemical “tail” and eliminates the possibility that the side reaction will occur on the substrate allowing a decrease in the time between each chemical reactant entering the system
  • 103. In addition to these variations it will be apparent to the skilled artisan that one may, by incorporating processes described herein, alternate process steps in a manner that alloys of two, three or more metals may be deposited, compounds may be deposited with two, three or more constituents, and such things as graded films and nano-laminates may be produced as well. These variations are simply variants using particular embodiments of the invention in alternating cycles, typically in-situ. There are many other variations within the spirit and scope of the invention, so the invention is limited only by the claims that follow. Further, in particular reference to the pre-reaction chamber aspects of the invention, it will be apparent to the skilled artisan that various deviations from the embodiments described will still fall within the spirit and scope of the present invention, and, in addition, many combinations of embodiments and variations may be made. For example, a plasma activation may readily be used in a pre-reaction chamber within the scope of the invention, wherein the chamber itself may assume any of a wide variety of forms.

Claims (2)

What is claimed is:
1. A method for minimizing parasitic chemical vapor deposition during an atomic layer deposition process, comprising steps of:
(a) imposing a pre-reaction chamber between gas sources and a substrate to be coated; and
(b) heating a surface in the pre-reaction chamber to a temperature sufficient to cause contaminant elements to deposit by CVD reaction on the heated surface.
2. A pre-reaction chamber for an atomic layer deposition system, comprising;
a passage for delivery of gases in alternating, incremental fashion from a gas source to a gas distribution apparatus; and
a heated surface within the pre-reaction chamber for causing contaminant elements to deposit prior to the gases entering the gas distribution apparatus.
US09/727,978 1999-03-11 2000-11-29 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition Expired - Lifetime US6451119B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/727,978 US6451119B2 (en) 1999-03-11 2000-11-29 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US10/186,071 US6540838B2 (en) 2000-11-29 2002-06-28 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US10/401,646 US20030183171A1 (en) 1999-03-11 2003-03-27 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/267,953 US6200893B1 (en) 1999-03-11 1999-03-11 Radical-assisted sequential CVD
US09/466,100 US6305314B1 (en) 1999-03-11 1999-12-17 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US09/727,978 US6451119B2 (en) 1999-03-11 2000-11-29 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/466,100 Division US6305314B1 (en) 1999-03-11 1999-12-17 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/186,071 Continuation US6540838B2 (en) 1999-03-11 2002-06-28 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Publications (2)

Publication Number Publication Date
US20010000866A1 true US20010000866A1 (en) 2001-05-10
US6451119B2 US6451119B2 (en) 2002-09-17

Family

ID=23850468

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/466,100 Expired - Fee Related US6305314B1 (en) 1999-03-11 1999-12-17 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US09/727,978 Expired - Lifetime US6451119B2 (en) 1999-03-11 2000-11-29 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/466,100 Expired - Fee Related US6305314B1 (en) 1999-03-11 1999-12-17 Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Country Status (9)

Country Link
US (2) US6305314B1 (en)
EP (1) EP1238421B1 (en)
JP (1) JP2003517731A (en)
KR (1) KR100522951B1 (en)
CN (1) CN1191614C (en)
AT (1) ATE388484T1 (en)
AU (1) AU1925401A (en)
DE (1) DE60038250T2 (en)
WO (1) WO2001045158A1 (en)

Cited By (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US20020108570A1 (en) * 2000-04-14 2002-08-15 Sven Lindfors Method and apparatus of growing a thin film onto a substrate
US20020187084A1 (en) * 1999-07-20 2002-12-12 Sven Lindfors Method and apparatus for removing substances from gases
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20030082307A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US20030104126A1 (en) * 2001-10-10 2003-06-05 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
EP1323845A1 (en) * 2001-12-31 2003-07-02 Memscap Multilayer structure
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US20030127043A1 (en) * 2001-07-13 2003-07-10 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030129308A1 (en) * 2001-11-16 2003-07-10 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20030161952A1 (en) * 2002-02-26 2003-08-28 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20030186561A1 (en) * 2002-03-26 2003-10-02 Applied Materials, Inc. Deposition of film layers
US20030189232A1 (en) * 2002-04-09 2003-10-09 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030224600A1 (en) * 2002-03-04 2003-12-04 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030224578A1 (en) * 2001-12-21 2003-12-04 Hua Chung Selective deposition of a barrier layer on a dielectric material
US20030221780A1 (en) * 2002-01-26 2003-12-04 Lei Lawrence C. Clamshell and small volume chamber with fixed substrate support
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030232506A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232511A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20030232497A1 (en) * 2002-04-16 2003-12-18 Ming Xi System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
FR2842829A1 (en) * 2002-07-25 2004-01-30 Memscap Multilayer structure composed of alloy layers formed from hafnium dioxide, zirconium dioxide and alumina for microelectronic applications
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20040077183A1 (en) * 2002-06-04 2004-04-22 Hua Chung Titanium tantalum nitride silicide layer
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US20040144431A1 (en) * 2003-01-29 2004-07-29 Joseph Yudovsky Rotary gas valve for pulsing a gas
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040197492A1 (en) * 2001-05-07 2004-10-07 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20040209465A1 (en) * 2000-06-28 2004-10-21 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20040211665A1 (en) * 2001-07-25 2004-10-28 Yoon Ki Hwan Barrier formation using novel sputter-deposition method
US20040224089A1 (en) * 2002-10-18 2004-11-11 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20040256351A1 (en) * 2003-01-07 2004-12-23 Hua Chung Integration of ALD/CVD barriers with porous low k materials
US20050008779A1 (en) * 2002-04-08 2005-01-13 Yang Michael Xi Multiple precursor cyclical depositon system
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050164487A1 (en) * 2001-02-02 2005-07-28 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20050189072A1 (en) * 2002-07-17 2005-09-01 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US6939804B2 (en) 2001-07-16 2005-09-06 Applied Materials, Inc. Formation of composite tungsten films
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US20050257735A1 (en) * 2002-07-29 2005-11-24 Guenther Rolf A Method and apparatus for providing gas to a processing chamber
US20060019495A1 (en) * 2004-07-20 2006-01-26 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060115934A1 (en) * 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US20060115933A1 (en) * 2004-12-01 2006-06-01 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US20060128150A1 (en) * 2004-12-10 2006-06-15 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition
US20060169669A1 (en) * 2005-01-31 2006-08-03 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US20060234488A1 (en) * 2003-10-10 2006-10-19 Yihwan Kim METHODS OF SELECTIVE DEPOSITION OF HEAVILY DOPED EPITAXIAL SiGe
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286776A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US20070079759A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Ampoule splash guard apparatus
US20070111545A1 (en) * 2005-11-16 2007-05-17 Sung-Hae Lee Methods of forming silicon dioxide layers using atomic layer deposition
US20070259112A1 (en) * 2006-04-07 2007-11-08 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20080026549A1 (en) * 2006-07-31 2008-01-31 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US20080022924A1 (en) * 2006-07-31 2008-01-31 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090081868A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7779784B2 (en) 2002-01-26 2010-08-24 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7871470B2 (en) 2003-03-12 2011-01-18 Applied Materials, Inc. Substrate support lift mechanism
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20130133696A1 (en) * 2002-03-28 2013-05-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10526700B2 (en) * 2014-12-19 2020-01-07 Lam Research Corporation Hardware and process for film uniformity improvement
US20210032749A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Multilayer encapsulation stacks by atomic layer deposition
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
US11713506B2 (en) * 2012-12-20 2023-08-01 Applied Materials, Inc. Evaporator, deposition arrangement, deposition apparatus and methods of operation thereof

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) * 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100323874B1 (en) * 1999-12-22 2002-02-16 박종섭 Method of forming an aluminum oxide film in a semiconductor device
KR100356473B1 (en) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 Method of forming a aluminum oxide thin film in a semiconductor device
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
KR100331964B1 (en) * 2000-06-08 2002-04-10 김경균 Equpiment for for depositing atom layer and method for depositing thereof
KR20010114050A (en) * 2000-06-20 2001-12-29 박종섭 Method of forming a Al2O3 layer in a semiconductor device
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100886997B1 (en) * 2000-12-05 2009-03-04 도쿄엘렉트론가부시키가이샤 Method and apparatus for treating article to be treated
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
JP4908738B2 (en) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー ALD method
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
KR100996816B1 (en) 2002-03-28 2010-11-25 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Vapor Deposition of Silicon Dioxide Nanolaminates
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
GB0211354D0 (en) * 2002-05-17 2002-06-26 Surface Innovations Ltd Atomisation of a precursor into an excitation medium for coating a remote substrate
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
KR100476370B1 (en) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 Batch type Atomic Layer Deposition and method for insitu-cleaning in the batch type atomic layer deposition
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP4065516B2 (en) * 2002-10-21 2008-03-26 キヤノン株式会社 Information processing apparatus and information processing method
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
DE602004027256D1 (en) * 2003-06-27 2010-07-01 Sundew Technologies Llc DEVICE AND METHOD FOR CONTROLLING THE STEAM PRESSURE OF A CHEMICAL SOURCE
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
DE102004061094A1 (en) * 2004-12-18 2006-06-22 Aixtron Ag Deposition of single layers, on a flat or structured substrate, uses a limiter to stop the deposition automatically when the layer is closed
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106764A1 (en) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. Transmission line
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
DE102006038885B4 (en) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Method for depositing a Ge-Sb-Te thin film
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
CN100461343C (en) * 2005-09-28 2009-02-11 中芯国际集成电路制造(上海)有限公司 Method for depositing atomic-layer to semiconductor device by pretreatment materials
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101379015B1 (en) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7727912B2 (en) * 2006-03-20 2010-06-01 Tokyo Electron Limited Method of light enhanced atomic layer deposition
KR100974134B1 (en) * 2006-03-28 2010-08-04 가부시키가이샤 히다치 고쿠사이 덴키 Substrate treating apparatus
DE102006027932A1 (en) * 2006-06-14 2007-12-20 Aixtron Ag Method for the deposition of layers in a process chamber used in the production of electronic components comprises using a first starting material containing two beta-diketones and a diene coordinated with a ruthenium atom
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7692222B2 (en) 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
KR100956210B1 (en) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Plasma enhanced cyclic deposition method of metal silicon nitride film
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
KR20090018290A (en) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR101544198B1 (en) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7842533B2 (en) * 2009-01-07 2010-11-30 Robert Bosch Gmbh Electromagnetic radiation sensor and method of manufacture
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8491720B2 (en) 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
CN102449743A (en) * 2009-04-24 2012-05-09 应用材料公司 Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
CN102414797A (en) * 2009-04-29 2012-04-11 应用材料公司 Method of forming in-situ pre-GaN deposition layer in HVPE
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
JP5520552B2 (en) * 2009-09-11 2014-06-11 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP2011168881A (en) * 2010-01-25 2011-09-01 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
KR101956347B1 (en) 2011-03-04 2019-03-08 어플라이드 머티어리얼스, 인코포레이티드 Methods for contact clean
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6017396B2 (en) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
JP6107327B2 (en) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, and film forming method
KR102115337B1 (en) * 2013-07-31 2020-05-26 주성엔지니어링(주) Substrate processing apparatus
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102271202B1 (en) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Method of enabling seamless cobalt gap-fill
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
TWI680800B (en) 2014-07-17 2020-01-01 印度商信賴工業有限公司 A process for modifying a heterogeneous catalyst with a chemical compound, a heterogeneous catalyst and system thereof
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
TWI564425B (en) * 2015-07-21 2017-01-01 Atomic layer oxidation and reduction of material modification process
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
CN105908151B (en) * 2016-03-01 2018-11-30 江南大学 A kind of atomic layer deposition quantitative modeling method of nano thin-film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (en) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Annealing chamber under high pressure and high temperature
CN111357090B (en) 2017-11-11 2024-01-05 微材料有限责任公司 Gas delivery system for high pressure processing chamber
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI740046B (en) 2018-05-28 2021-09-21 國立清華大學 Atomic layer deposition and cobalt metal film
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11430661B2 (en) * 2018-12-28 2022-08-30 Applied Materials, Inc. Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5015503A (en) * 1990-02-07 1991-05-14 The University Of Delaware Apparatus for producing compound semiconductor thin films
US5320680A (en) 1991-04-25 1994-06-14 Silicon Valley Group, Inc. Primary flow CVD apparatus comprising gas preheater and means for substantially eddy-free gas flow
JPH08236459A (en) * 1995-02-27 1996-09-13 Sony Corp Cvd device
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR19980021208A (en) * 1996-09-14 1998-06-25 김광호 Chemical vapor deposition equipment
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6051276A (en) * 1997-03-14 2000-04-18 Alpha Metals, Inc. Internally heated pyrolysis zone
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate

Cited By (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20020187084A1 (en) * 1999-07-20 2002-12-12 Sven Lindfors Method and apparatus for removing substances from gases
US7799300B2 (en) 1999-07-20 2010-09-21 Asm International N.V. Method and apparatus for removing substances from gases
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
US20020108570A1 (en) * 2000-04-14 2002-08-15 Sven Lindfors Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US7105054B2 (en) 2000-04-14 2006-09-12 Asm International N.V. Method and apparatus of growing a thin film onto a substrate
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6831004B2 (en) 2000-06-27 2004-12-14 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20050059241A1 (en) * 2000-06-28 2005-03-17 Moris Kori Method and system for controlling the presence of fluorine in refractory metal layers
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20040209465A1 (en) * 2000-06-28 2004-10-21 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20050150459A1 (en) * 2000-12-29 2005-07-14 Quanyuan Shang Full glass substrate deposition in plasma enhanced chemical vapor deposition
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US8114789B2 (en) 2001-02-02 2012-02-14 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20050164487A1 (en) * 2001-02-02 2005-07-28 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9012334B2 (en) 2001-02-02 2015-04-21 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20100311237A1 (en) * 2001-02-02 2010-12-09 Seutter Sean M Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9587310B2 (en) 2001-03-02 2017-03-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6958296B2 (en) 2001-05-07 2005-10-25 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US20040197492A1 (en) * 2001-05-07 2004-10-07 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US20030127043A1 (en) * 2001-07-13 2003-07-10 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US7384867B2 (en) 2001-07-16 2008-06-10 Applied Materials, Inc. Formation of composite tungsten films
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7238552B2 (en) 2001-07-16 2007-07-03 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US10280509B2 (en) 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20050287807A1 (en) * 2001-07-16 2005-12-29 Applied Materials, Inc. Formation of composite tungsten films
US6939804B2 (en) 2001-07-16 2005-09-06 Applied Materials, Inc. Formation of composite tungsten films
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20040211665A1 (en) * 2001-07-25 2004-10-28 Yoon Ki Hwan Barrier formation using novel sputter-deposition method
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20040170403A1 (en) * 2001-09-14 2004-09-02 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20060040052A1 (en) * 2001-10-10 2006-02-23 Hongbin Fang Methods for depositing tungsten layers employing atomic layer deposition techniques
US20030104126A1 (en) * 2001-10-10 2003-06-05 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US6797340B2 (en) 2001-10-10 2004-09-28 Applied Materials, Inc. Method for depositing refractory metal layers employing sequential deposition techniques
US20040247788A1 (en) * 2001-10-10 2004-12-09 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030082307A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8293328B2 (en) 2001-10-26 2012-10-23 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US8318266B2 (en) 2001-10-26 2012-11-27 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030129308A1 (en) * 2001-11-16 2003-07-10 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
WO2003050323A1 (en) * 2001-12-07 2003-06-19 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
KR100974114B1 (en) * 2001-12-07 2010-08-04 어플라이드 머티어리얼스, 인코포레이티드 Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030224578A1 (en) * 2001-12-21 2003-12-04 Hua Chung Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
EP1323845A1 (en) * 2001-12-31 2003-07-02 Memscap Multilayer structure
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US8123860B2 (en) 2002-01-25 2012-02-28 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20070095285A1 (en) * 2002-01-25 2007-05-03 Thakur Randhir P Apparatus for cyclical depositing of thin films
US20090056626A1 (en) * 2002-01-25 2009-03-05 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7779784B2 (en) 2002-01-26 2010-08-24 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20050139160A1 (en) * 2002-01-26 2005-06-30 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20030221780A1 (en) * 2002-01-26 2003-12-04 Lei Lawrence C. Clamshell and small volume chamber with fixed substrate support
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030161952A1 (en) * 2002-02-26 2003-08-28 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030224600A1 (en) * 2002-03-04 2003-12-04 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US20030186561A1 (en) * 2002-03-26 2003-10-02 Applied Materials, Inc. Deposition of film layers
US20130133696A1 (en) * 2002-03-28 2013-05-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7396565B2 (en) 2002-04-08 2008-07-08 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20050008779A1 (en) * 2002-04-08 2005-01-13 Yang Michael Xi Multiple precursor cyclical depositon system
US20030189232A1 (en) * 2002-04-09 2003-10-09 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20080014352A1 (en) * 2002-04-16 2008-01-17 Ming Xi System and method for forming an integrated barrier layer
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030232497A1 (en) * 2002-04-16 2003-12-18 Ming Xi System and method for forming an integrated barrier layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040077183A1 (en) * 2002-06-04 2004-04-22 Hua Chung Titanium tantalum nitride silicide layer
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20060264067A1 (en) * 2002-06-14 2006-11-23 Kher Shreyas S Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20080057737A1 (en) * 2002-06-14 2008-03-06 Metzner Craig R System and method for forming a gate dielectric
US20030232511A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7304004B2 (en) 2002-06-14 2007-12-04 Applied Materials, Inc. System and method for forming a gate dielectric
US20060223339A1 (en) * 2002-06-14 2006-10-05 Metzner Craig R Ald metal oxide deposition process using direct oxidation
US20030232506A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. System and method for forming a gate dielectric
US8071167B2 (en) 2002-06-14 2011-12-06 Applied Materials, Inc. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7531468B2 (en) 2002-06-14 2009-05-12 Applied Materials, Inc. System and method for forming a gate dielectric
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20050009371A1 (en) * 2002-06-14 2005-01-13 Metzner Craig R. System and method for forming a gate dielectric
US20100239758A1 (en) * 2002-06-14 2010-09-23 Kher Shreyas S Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US20050189072A1 (en) * 2002-07-17 2005-09-01 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7228873B2 (en) 2002-07-19 2007-06-12 Applied Materials, Inc. Valve design and configuration for fast delivery system
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20060213557A1 (en) * 2002-07-19 2006-09-28 Ku Vincent W Valve design and configuration for fast delivery system
US20060213558A1 (en) * 2002-07-19 2006-09-28 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
FR2842829A1 (en) * 2002-07-25 2004-01-30 Memscap Multilayer structure composed of alloy layers formed from hafnium dioxide, zirconium dioxide and alumina for microelectronic applications
US20050257735A1 (en) * 2002-07-29 2005-11-24 Guenther Rolf A Method and apparatus for providing gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20070044719A1 (en) * 2002-10-09 2007-03-01 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US7422637B2 (en) 2002-10-09 2008-09-09 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20060035025A1 (en) * 2002-10-11 2006-02-16 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040224089A1 (en) * 2002-10-18 2004-11-11 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7645339B2 (en) 2002-10-18 2010-01-12 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20080102218A1 (en) * 2002-10-18 2008-05-01 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7758697B2 (en) 2002-10-18 2010-07-20 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040256351A1 (en) * 2003-01-07 2004-12-23 Hua Chung Integration of ALD/CVD barriers with porous low k materials
US20060065635A1 (en) * 2003-01-09 2006-03-30 Derderian Garo J Deposition chamber surface enhancement and resulting deposition chambers
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040144431A1 (en) * 2003-01-29 2004-07-29 Joseph Yudovsky Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7871470B2 (en) 2003-03-12 2011-01-18 Applied Materials, Inc. Substrate support lift mechanism
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US7682984B2 (en) 2003-09-26 2010-03-23 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20070023393A1 (en) * 2003-09-26 2007-02-01 Nguyen Khiem K Interferometer endpoint monitoring device
US20060234488A1 (en) * 2003-10-10 2006-10-19 Yihwan Kim METHODS OF SELECTIVE DEPOSITION OF HEAVILY DOPED EPITAXIAL SiGe
US7517775B2 (en) 2003-10-10 2009-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7737007B2 (en) 2003-10-10 2010-06-15 Applied Materials, Inc. Methods to fabricate MOSFET devices using a selective deposition process
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20090202710A1 (en) * 2004-07-20 2009-08-13 Christophe Marcadal Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US20060019495A1 (en) * 2004-07-20 2006-01-26 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7691742B2 (en) 2004-07-20 2010-04-06 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition
US7521365B2 (en) 2004-12-01 2009-04-21 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US8586456B2 (en) 2004-12-01 2013-11-19 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US7960256B2 (en) 2004-12-01 2011-06-14 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US20060260538A1 (en) * 2004-12-01 2006-11-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20110230036A1 (en) * 2004-12-01 2011-09-22 Applied Materials, Inc. Use of cl2 and/or hcl during silicon epitaxial film formation
US7732305B2 (en) 2004-12-01 2010-06-08 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US20060115934A1 (en) * 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20070207596A1 (en) * 2004-12-01 2007-09-06 Yihwan Kim Selective epitaxy process with alternating gas supply
US7572715B2 (en) 2004-12-01 2009-08-11 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US20060115933A1 (en) * 2004-12-01 2006-06-01 Applied Materials, Inc. Use of CL2 and/or HCL during silicon epitaxial film formation
US20100221902A1 (en) * 2004-12-01 2010-09-02 Applied Materials, Inc. Use of cl2 and/or hcl during silicon epitaxial film formation
US20060216876A1 (en) * 2004-12-01 2006-09-28 Yihwan Kim Selective epitaxy process with alternating gas supply
US20060128150A1 (en) * 2004-12-10 2006-06-15 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8093154B2 (en) 2005-01-31 2012-01-10 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US8492284B2 (en) 2005-01-31 2013-07-23 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070224830A1 (en) * 2005-01-31 2007-09-27 Samoilov Arkadii V Low temperature etchant for treatment of silicon-containing surfaces
US20060169669A1 (en) * 2005-01-31 2006-08-03 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US8445389B2 (en) 2005-01-31 2013-05-21 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286776A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US8387557B2 (en) 2005-06-21 2013-03-05 Applied Materials Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20100018460A1 (en) * 2005-06-21 2010-01-28 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070079759A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Ampoule splash guard apparatus
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20090114157A1 (en) * 2005-10-07 2009-05-07 Wei Ti Lee Ampoule splash guard apparatus
US7699295B2 (en) 2005-10-07 2010-04-20 Applied Materials, Inc. Ampoule splash guard apparatus
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070111545A1 (en) * 2005-11-16 2007-05-17 Sung-Hae Lee Methods of forming silicon dioxide layers using atomic layer deposition
US20070259112A1 (en) * 2006-04-07 2007-11-08 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7588980B2 (en) 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US20080022924A1 (en) * 2006-07-31 2008-01-31 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US20080026549A1 (en) * 2006-07-31 2008-01-31 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20090280640A1 (en) * 2006-10-09 2009-11-12 Applied Materials Incorporated Deposition and densification process for titanium nitride barrier layers
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20090014409A1 (en) * 2006-10-30 2009-01-15 Michael Grimbergen Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090081868A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090078916A1 (en) * 2007-09-25 2009-03-26 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087585A1 (en) * 2007-09-28 2009-04-02 Wei Ti Lee Deposition processes for titanium nitride barrier and aluminum
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8043907B2 (en) 2008-03-31 2011-10-25 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10453696B2 (en) 2012-10-12 2019-10-22 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US11713506B2 (en) * 2012-12-20 2023-08-01 Applied Materials, Inc. Evaporator, deposition arrangement, deposition apparatus and methods of operation thereof
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10526700B2 (en) * 2014-12-19 2020-01-07 Lam Research Corporation Hardware and process for film uniformity improvement
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
US20210032749A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Multilayer encapsulation stacks by atomic layer deposition
US11732356B2 (en) * 2019-07-29 2023-08-22 Applied Materials, Inc. Multilayer encapsulation stacks by atomic layer deposition

Also Published As

Publication number Publication date
US6305314B1 (en) 2001-10-23
EP1238421B1 (en) 2008-03-05
WO2001045158A1 (en) 2001-06-21
EP1238421A4 (en) 2006-06-21
DE60038250T2 (en) 2008-06-19
EP1238421A1 (en) 2002-09-11
US6451119B2 (en) 2002-09-17
KR100522951B1 (en) 2005-10-24
ATE388484T1 (en) 2008-03-15
JP2003517731A (en) 2003-05-27
DE60038250D1 (en) 2008-04-17
KR20020063234A (en) 2002-08-01
CN1191614C (en) 2005-03-02
AU1925401A (en) 2001-06-25
CN1415115A (en) 2003-04-30

Similar Documents

Publication Publication Date Title
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
EP1125324B1 (en) Radical-assisted sequential cvd
TWI265207B (en) Preparation of metal silicon nitride films via cyclic deposition
JP3687651B2 (en) Thin film formation method
JP5441340B2 (en) Plasma ALD of tantalum nitride film
US9643844B2 (en) Low temperature atomic layer deposition of films comprising SiCN or SiCON
US9121098B2 (en) NanoLayer Deposition process for composite films
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
US20050136657A1 (en) Film-formation method for semiconductor process
KR20080050510A (en) Treatment processes for a batch ald reactor
US20040094093A1 (en) Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US20230095086A1 (en) Methods and systems for filling a gap

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: AIXTRON, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:GENUS, INC.;REEL/FRAME:042524/0283

Effective date: 20060331

AS Assignment

Owner name: EUGENE TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIXTRON, INC.;REEL/FRAME:044767/0357

Effective date: 20171115

Owner name: EUGENUS, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:EUGENE TECHNOLOGY, INC.;REEL/FRAME:045444/0996

Effective date: 20171116

AS Assignment

Owner name: EUGENUS, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:EUGENE TECHNOLOGY, INC.;REEL/FRAME:045963/0870

Effective date: 20171116