US20010003428A1 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
US20010003428A1
US20010003428A1 US09/756,903 US75690301A US2001003428A1 US 20010003428 A1 US20010003428 A1 US 20010003428A1 US 75690301 A US75690301 A US 75690301A US 2001003428 A1 US2001003428 A1 US 2001003428A1
Authority
US
United States
Prior art keywords
logic
multiplicity
inputs
cell
lut
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/756,903
Other versions
US6331789B2 (en
Inventor
Zvi Or-Bach
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Easic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Easic Corp filed Critical Easic Corp
Priority to US09/756,903 priority Critical patent/US6331789B2/en
Publication of US20010003428A1 publication Critical patent/US20010003428A1/en
Application granted granted Critical
Publication of US6331789B2 publication Critical patent/US6331789B2/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EASIC CORPORATION
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • H03K19/17796Structural details for adapting physical parameters for physical disposition of blocks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17724Structural details of logic blocks
    • H03K19/17728Reconfigurable logic blocks, e.g. lookup tables

Definitions

  • the present invention relates to integrated circuit devices as well as to methods for personalizing and programming such devices and resulting integrated circuit devices.
  • Personalizable integrated circuits include gate arrays, such as lager programmable gate arrays, commonly known as LPGA devices, which are described, inter alia in the following U.S. Pat. Nos. 4,924,287; 4,960,729; 4,933,738; 5,111,273; 5,260,597; 5,329,152; 5,565,758; 5,619,062; 5,679,967; 5,684,412, 5,751,165; 5,818,728. Devices of this type are personalized by etching or laser ablation of metal portions thereof.
  • FPGA devices field programmable gate arrays
  • PLD devices programmable logic devices
  • CPLD devices complex programmable logic devices
  • Programmable logic devices are known in which programmable look up tables are employed to perform relatively elementary logic functions. Examples of such devices appear in U.S. Pat. Nos. 3,473,160 and 4,706,216. Multiplexers are also known to be used as programmable logic elements. Examples of such devices appear in U.S. Pat. Nos. 4,910,417, 5,341,041 and 5,781,033. U.S. Pat. Nos. 5,684,412, 5,751,165 and 5,861,641 show the use of multiplexers to perform customizable logic functions.
  • U.S. Pat. No. 5,825,202 describes an integrated semiconductor device comprising a FPGA portion connected to a mask-defined application specific logic area.
  • the present invention seeks to provide an improved integrated circuit which employs look up tables to provide highly efficient logic cells and logic functionalities.
  • a logic cell for use in a logic array including:
  • At least one look-up table including a plurality of LUT inputs and at least one output
  • At least one logic gate having a plurality of logic inputs and an output coupled to one of the plurality of LUT inputs.
  • the logic gate is a 2-input logic gate
  • the logic gate is a NAND gate
  • the at least one look-up table includes at least, one pair of look-up tables.
  • the logic cell also includes a multiplexer receiving outputs from the at least one pair of look-up tables.
  • the at least one look-up table includes first and second pairs of look-up tables, the logic cell also including first and second multiplexers, each multiplexer receiving outputs from a pair of look-up tables.
  • the logic cell also includes a third multiplexer receiving outputs from the first and second multiplexers.
  • the logic cell also includes a flip-flop for receiving an output from the first multiplexer.
  • the logic cell also includes a multiplexer connected to an output of at least one look-up table and an inverter selectably connectable to at least one of an output of the multiplexer and an output of the look-up table.
  • the look-up table is preferably a programmable look-up table.
  • the logic cell also includes a metal interconnection layer overlying at least a portion of the cell for providing a custom interconnection between components thereof.
  • a semiconductor device including a logic array including a multiplicity of identical logic cells, each identical logic cell including at least one look-up table, a metal connection layer overlying the multiplicity of identical logic cells for providing a permanent customized interconnect between various inputs and outputs thereof.
  • each device includes at least one multiplexer and the at least one look-up table provides an input to the at least one multiplexer.
  • each device preferably also includes at least one logic gate connected to at least one input of the look-up table.
  • the logic gate is a 2-input logic gate.
  • the logic gate is a NAND gate connected to an input of the at least one look-up table.
  • the at least one look-up table includes at least one pair of look-up tables.
  • the at least one multiplexer receives outputs from the at least one pair of look-up tables.
  • the at least one multiplexer is configured to perform a logic operation on the outputs from the at least one pair of look-up tables.
  • the at least one look-up table includes first and second pairs of look-up tables and the at least one multiplexer includes first and second multiplexers, each multiplexer receiving outputs from a pair of look-up tables.
  • the look-up table is programmable.
  • the device includes at least one simple logic gate selectably connected to at least one logic cell output.
  • the simple logic gate is a two-input logic gate.
  • it may be an inverter or a buffer.
  • the device preferably also includes a multiplexer connected to an output of at least one look-up table and an inverter selectable connectable to an output of the at least one multiplexer.
  • the device also includes a metal interconnection layer overlying at least a portion of the cell for providing a custom interconnection between components thereof.
  • a logic array including at least one logic cell, the logic cell including:
  • At least one look-up table including a plurality of LUT inputs and at least one output
  • At least one logic gate having a plurality of logic inputs and an output coupled to one of the plurality of LUT inputs.
  • the at least one look-up table is preferably a programmable look-up table.
  • the logic array is a 2-input logic gate.
  • the logic gate is a NAND gate.
  • the at least one look-up table includes at least one pair of look-up tables.
  • the logic array also includes a multiplexer receiving outputs from the at least one pair of look-up tables.
  • the at least one look-up table includes first and second pairs of look-up tables, the logic cell also including first and second multiplexers, each multiplexer receiving outputs from a pair of look-up tables.
  • the logic array also includes a third multiplexer receiving outputs from the first and second multiplexers.
  • the logic array also includes a flip-flop for receiving an output from the first multiplexer.
  • the logic array also includes a multiplexer connected to an output of at least one look-up table and an inverter selectably connectable to at least one of an output of the multiplexer and an output of the look-up table.
  • the logic array also includes a metal interconnection layer overlying at least a portion of the cell for providing a custom interconnection between components thereof.
  • the logic array may be integrated into a larger device also formed on the same substrate.
  • a semiconductor device including:
  • a logic array including a multiplicity of identical logic cells, each identical logic cell including at least one flip-flop;
  • a metal connection layer overlying the multiplicity of identical logic cells for interconnecting various inputs and outputs thereof in a customized manner.
  • the semiconductor device may also include a clock tree providing clock inputs to at least one of the at least one flip-flop of the multiplicity of identical logic cells.
  • Each logic cell in the semiconductor device may also receive a scan signal input which determines whether the cell operates in a normal operation mode or a test operation mode, wherein in a test operation mode nearly each flip-flop receives an input from an adjacent flip-flop thereby to define a scan chain.
  • the logic cell preferably includes a programmable look-up table.
  • FIG. 1 is a simplified illustration of the gate layer of a logic cell constructed and operative in accordance with one preferred embodiment of the present invention
  • FIG. 2 is a simplified illustration of the gate layer of a logic cell constructed and operative in accordance with another preferred embodiment of the present invention
  • FIG. 3 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array in accordance with a preferred embodiment of the present invention
  • FIG. 4 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a clock tree in accordance with a preferred embodiment of the present invention.
  • FIG. 5 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a scan chain in accordance with a preferred embodiment of the present invention.
  • the present invention provides a customizable logic array device including a substrate having at least one gate layer and typically at least first, second and third metal layers formed thereon, wherein the gate layer includes a multiplicity of identical unit logic cells. It is appreciated that the customizable logic array device may be integrated into a larger device also formed on the same substrate.
  • the present invention also provides a customizable logic array device including an array of cells, the device having at least one transistor layer, including a multiplicity of transistors, formed on a substrate and at least one interconnection layer which connects the transistors to define the array of cells, each of the cells having a multiplicity of inputs and at least one output.
  • interconnection layers there are preferably provided additional interconnection layers, at least one of which is custom made to interconnect the inputs and outputs of the various cells to provide a custom logic function.
  • At least some of the cells are identical.
  • FIG. 1 illustrates a cell preferably forming part of a gate layer of a logic array device constructed and operative in accordance with a preferred embodiment of the present invention.
  • the logic device preferably comprises an array of cells, each cell comprising 3-input look-up tables (LUTs), respectively designated by reference numerals 10 , 12 , 14 and 16 . Coupled to a first input of each look-up table, hereinafter referred to as a LUT input, is a 2-input NAND gate.
  • the NAND gates are designated by respective reference numerals 20 , 22 , 24 and 26 .
  • any other suitable type of logic gate such as, for example, a NOR, AND, OR, XOR or 3-input logic gate may be employed instead of the NAND gates.
  • Outputs of LUTs 10 and 12 are supplied as inputs to a multiplexer 30 , while outputs of LUTs 14 and 16 are supplied as inputs to a multiplexer 32 .
  • the outputs of multiplexers 30 and 32 are supplied to a multiplexer 34 .
  • Multiplexers 30 , 32 and 34 are preferably inverting multiplexers, as shown.
  • a NAND fed four-input LUT may be realized by connecting respective inputs 40 , 42 , 44 and 46 of LUT 14 and NAND gate 24 to respective inputs 50 , 52 , 54 and 56 of LUT 16 and NAND gate 26 .
  • the inputs of the resulting NAND fed four-input LUT are inputs 40 , 42 , 44 & 46 and the select input to multiplexer 32 , which is designated by reference numeral 60 .
  • the output of the NAND fed four-input LUT is the output of multiplexer 32 , which is designated by reference numeral 62 .
  • a NAND fed four-input LUT may be realized by connecting respective inputs 70 , 72 , 74 and 76 of LUT 10 and NAND gate 20 to respective inputs 80 , 82 , 84 and 86 of LUT 12 and NAND gate 22 .
  • the inputs of the resulting NAND fed four-input LUT are inputs 70 , 72 , 74 & 76 and the select input to multiplexer 30 , which is designated by reference numeral 90 .
  • the output of the NAND fed four-input LUT is the output of multiplexer 30 , which is designated by reference numeral 92 .
  • multiplexer 32 performs a NAND logic function on the output of LUT 14 and the output of LUT 16 , designated by reference numeral 66 .
  • multiplexer 30 performs a NAND logic function on the output of LUT 10 and the output of LUT 12 , designated by reference numeral 96 .
  • a NAND fed five-input LUT may be realized by connecting respective inputs 40 , 42 , 44 , 46 and 60 of one NAND fed four-input LUT with inputs 70 , 72 , 74 , 76 and 90 of the other NAND fed four-input LUT.
  • the inputs of the resulting NAND fed five-input LUT are inputs 40 , 42 , 44 , 46 and 60 as well as the E select input to multiplexer 34 , designated by reference numeral 97 .
  • the output of the NAND fed five-input LUT is designated by reference numeral 100 .
  • multiplexer 34 performs a NAND logic function on the output 92 of multiplexer 30 and the output 62 of multiplexer 32 .
  • multiplexer 34 performs a NOR logic function on the output 92 of multiplexer 30 and the output 62 of multiplexer 32 .
  • a flip flop 102 is coupled to the output 62 of multiplexer 32 and a flip flop 104 is coupled to the output 100 of multiplexer 34 .
  • inverter 106 is provided for selectable interconnection to one of the cell outputs 62 , 64 , 92 , 94 , 107 , 108 and 100 , Inverter 106 could be used to change the polarity of a logic signal to provide a desired logic function. Inverter 106 could also be used to buffer certain signals to effectively drive a relatively heavy load, such as in cases where a single output is supplied to multiple inputs or along a relatively long interconnection path. It is appreciated that alternatively or additionally any other one or more suitable logic gate, such as for example, a NAND, NOR, XOR or XNOR gate, may be provided in the cell.
  • FIG. 2 illustrates a cell preferably forming part of a gate layer of a logic array device constructed and operative in accordance with another preferred embodiment of the present invention.
  • the cell of FIG. 2 is presently believed by the inventor to be superior in certain respects to the cell of FIG. 1.
  • the logic device preferably comprises an array of cells, each cell comprising 3- input look-up tables (LUTs), respectively designated by reference numerals 110 , 112 , 114 and 116 . Coupled to first and second inputs of each of look-up tables 110 and 114 , hereinafter referred to as a LUT inputs, is a 2- input NAND gate.
  • the NAND gates are designated by respective reference numerals 120 , 122 , 124 and 126 .
  • any other suitable type of logic gate such as, for example, a NOR, AND, OR, XOR or 3-input logic gate may be employed instead of the NAND gates.
  • Outputs of LUTs 110 and 112 are supplied as inputs to a multiplexer 130 , while outputs of LUTs 114 and 116 are supplied as inputs to a multiplexer 132 .
  • the outputs of multiplexers 130 and 132 are supplied to a multiplexer 134 .
  • Multiplexers 130 , 132 and 134 are preferably inverting multiplexers, as shown.
  • a four-input LUT may be realized by connecting respective inputs 140 , 142 , and 144 and 146 of the NAND gates 124 and 126 , and then connecting inputs 140 , 144 , and 148 of LUT 114 to respective inputs 150 , 152 and 154 of LUT 116 .
  • the inputs of the resulting four-input LUT are inputs 140 , 144 & 148 and the select input to multiplexer 132 , which is designated by reference numeral 160 .
  • the output of the four-input LUT is the output of multiplexer 132 , which is designated by reference numeral 162 .
  • a four-input LUT may be realized by connecting the inputs 170 , 172 , and 174 , 176 of NAND gates 120 and 122 , and then connecting inputs 170 , 174 and 178 of LUT 110 to respective inputs 180 , 182 and 184 of LUT 112 .
  • the inputs of the resulting four-input LUT are inputs 170 , 174 & 178 and the input to multiplexer 130 , which is designated by reference numeral 190 .
  • the output of the four-input LUT is the output of multiplexer 130 , which is designated by reference numeral 192 .
  • multiplexer 132 performs a NAND logic function on the output of LUT 114 and the output of LUT 116 .
  • multiplexer 130 performs a NAND logic function on the output of LUT 110 and the output of LUT 112 .
  • multiplexer 134 performs a NOR logic function on the output 192 of multiplexer 130 and the output 162 of multiplexer 132 .
  • multiplexer 134 performs a NAND logic function on the output 192 of multiplexer 130 and the output 162 of multiplexer 132 .
  • a flip flop 199 is coupled to the output 162 of multiplexer 132 and a flip flop 195 is coupled to the output 198 of multiplexer 134 .
  • an inverter 193 is provided for selectable interconnection to one of the cell outputs 162 , 166 , 192 , 196 , 191 , 189 and 198 .
  • Inverter 193 could be used to change the polarity of a logic signal to provide a desired logic function.
  • Inverter 193 could also be used to buffer certain signals to effectively drive a relatively heavy load, such as in cases where a single output is supplied to multiple inputs or along a relatively long interconnection path.
  • any other one or more suitable logic gate such as for example, a NAND, NOR, XOR or XNOR gate, may be provided in the cell.
  • FIG. 3 is an illustration of a plurality of the cells of FIG. 1, which constitute a portion of a logic array, preferably a customizable logic array in accordance with a preferred embodiment of the present invention. It is appreciated that alternatively, FIG. 3 could include a plurality of the cells of FIG. 2.
  • FIG. 4 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a clock tree in accordance with a preferred embodiment of the present invention.
  • a clock tree distribution circuit generally indicated by reference numeral 200 provides clock signals from a clock signal source (not shown) via an inverter 202 to each pair of flip-flops 204 and 206 in each logic cell 208 ,
  • a clock signal source not shown
  • inverter 202 to each pair of flip-flops 204 and 206 in each logic cell 208
  • FIG. 4 is very distinct from the prior art wherein a clock tree distribution circuit is implemented in at least one custom interconnection layer.
  • three metal layers such as metal 1 , metal 2 and metal 3 are typically standard.
  • Three additional metal layers, such as metal 4 , metal 5 and metal 6 may be used for circuit personalization for a specific application.
  • the number of cells connected to a given distribution tree may vary greatly, from tens of cells to thousands of cells. This variation can be accommodated easily using the structure of the present invention.
  • each flip flop in each cell has approximately the same interconnection load on the clock distribution tree.
  • PLLs phase lock loops
  • FIG. 5 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a scan chain in accordance with a preferred embodiment of the present invention.
  • FIG. 5 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a scan chain in accordance with a preferred embodiment of the present invention.
  • the cells of FIG. 1 are shown in FIG. 5, it is appreciated that alternatively, the cells of FIG. 2 may be employed.
  • scan chains which provide test coverage for integrate circuits are known to involve not insignificant overhead in terms both of real estate and performance.
  • scan chains are usually inserted either as part of a specific circuit design or during post processing.
  • a scan chain 300 is implemented as part of the basic structure of a logic cell array.
  • the invention thus obviates the need to insert scan chains either as part of a specific circuit design or during post processing.
  • a multiplicity of scan chains can be integrated in a logic cell array in accordance with a preferred embodiment of the present invention.
  • connection of the scan chains can be readily achieved by suitable personalization of an upper metal layer, such as metal 6 .
  • multiplexers 32 and 34 are preferably replaced by corresponding 3-state multiplexers 302 and 304 .
  • a pair of 3-state inverters 306 and 308 are provided in each cell and are connected as shown.
  • the scan signal is a logic “low” or “0”, thus enabling multiplexers 302 and 304 and disabling inverters 306 and 308 .
  • the scan signal is a logic “high” or “1”and the multiplexers 302 and 304 are disabled while the inverters 306 and 308 are enabled.
  • the output of flip flop 102 of a given cell is fed to the input of flip flop 104 of that cell and the output of flip flop 104 is fed to the input of flip flop 102 of the adjacent cells, thus creating a scan chain.

Abstract

This invention discloses a customizable logic army device including an array of programmable cells having a multiplicity of inputs and a multiplicity of outputs and customized interconnections overlying at least a portion of the programmable cell for permanently interconnecting at least a plurality of the multiplicity of inputs and at least a plurality of the multiplicity of outputs.

Description

    REFERENCE TO CO-PENDING APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 09/310,962, filed May 13, [0001] 1999, and entitled “Integrated Circuits which Employ Look Up Tables to Provide Highly Efficient Logic Cells and Logic Functionalities”.
  • FIELD OF THE INVENTION
  • The present invention relates to integrated circuit devices as well as to methods for personalizing and programming such devices and resulting integrated circuit devices. [0002]
  • BACKGROUND OF THE INVENTION
  • Various types of personalizable integrated circuits and programmable integrated circuits are known in the art. Personalizable integrated circuits include gate arrays, such as lager programmable gate arrays, commonly known as LPGA devices, which are described, inter alia in the following U.S. Pat. Nos. 4,924,287; 4,960,729; 4,933,738; 5,111,273; 5,260,597; 5,329,152; 5,565,758; 5,619,062; 5,679,967; 5,684,412, 5,751,165; 5,818,728. Devices of this type are personalized by etching or laser ablation of metal portions thereof. [0003]
  • There are also known field programmable gate arrays, commonly known as FPGA devices, programmable logic devices, commonly known as PLD devices as well as complex programmable logic devices, commonly known as CPLD devices. Devices of these type are programmable by application of electrical signals thereto. [0004]
  • Programmable logic devices are known in which programmable look up tables are employed to perform relatively elementary logic functions. Examples of such devices appear in U.S. Pat. Nos. 3,473,160 and 4,706,216. Multiplexers are also known to be used as programmable logic elements. Examples of such devices appear in U.S. Pat. Nos. 4,910,417, 5,341,041 and 5,781,033. U.S. Pat. Nos. 5,684,412, 5,751,165 and 5,861,641 show the use of multiplexers to perform customizable logic functions. [0005]
  • Problems of clock skew in gate arrays are well known. U.S. Pat. No. 5,420,544 describes a technique for reducing clock skew in gate arrays which employs a plurality of phase adjusting devices for adjusting the phase at various locations in gate arrays. Various clock tree design structures have been proposed which produce relatively low clock skew. [0006]
  • PCT Published Patent Application WO 98/43353 describes a functional block architecture for a gate array. [0007]
  • U.S. Pat. No. 5,825,202 describes an integrated semiconductor device comprising a FPGA portion connected to a mask-defined application specific logic area. [0008]
  • SUMMARY OF THE INVENTION
  • The present invention seeks to provide an improved integrated circuit which employs look up tables to provide highly efficient logic cells and logic functionalities. [0009]
  • There is thus provided in accordance with a preferred embodiment of the present invention a logic cell for use in a logic array, the logic cell including: [0010]
  • at least one look-up table including a plurality of LUT inputs and at least one output; and [0011]
  • at least one logic gate having a plurality of logic inputs and an output coupled to one of the plurality of LUT inputs. [0012]
  • According to one embodiment of the invention, the logic gate is a 2-input logic gate, According to an alternative embodiment of the invention, the logic gate is a NAND gate. [0013]
  • Preferably, the at least one look-up table includes at least, one pair of look-up tables. [0014]
  • In accordance with a preferred embodiment of the invention, the logic cell also includes a multiplexer receiving outputs from the at least one pair of look-up tables. [0015]
  • In accordance with another preferred embodiment of the invention, the at least one look-up table includes first and second pairs of look-up tables, the logic cell also including first and second multiplexers, each multiplexer receiving outputs from a pair of look-up tables. [0016]
  • Preferably, the logic cell also includes a third multiplexer receiving outputs from the first and second multiplexers. [0017]
  • Additionally in accordance with a preferred embodiment of the present invention, the logic cell also includes a flip-flop for receiving an output from the first multiplexer. [0018]
  • In accordance with an alternative embodiment of the present invention, the logic cell also includes a multiplexer connected to an output of at least one look-up table and an inverter selectably connectable to at least one of an output of the multiplexer and an output of the look-up table. [0019]
  • The look-up table is preferably a programmable look-up table. [0020]
  • In accordance with a preferred embodiment of the present invention, the logic cell also includes a metal interconnection layer overlying at least a portion of the cell for providing a custom interconnection between components thereof. [0021]
  • There is also provided in accordance with a preferred embodiment of the present invention a semiconductor device including a logic array including a multiplicity of identical logic cells, each identical logic cell including at least one look-up table, a metal connection layer overlying the multiplicity of identical logic cells for providing a permanent customized interconnect between various inputs and outputs thereof. [0022]
  • Preferably each device includes at least one multiplexer and the at least one look-up table provides an input to the at least one multiplexer. [0023]
  • Additionally, each device preferably also includes at least one logic gate connected to at least one input of the look-up table. [0024]
  • According to one embodiment of the invention, the logic gate is a 2-input logic gate. According to an alternative embodiment of the invention, the logic gate is a NAND gate connected to an input of the at least one look-up table. [0025]
  • Preferably, the at least one look-up table includes at least one pair of look-up tables. [0026]
  • In accordance with a preferred embodiment of the present invention, the at least one multiplexer receives outputs from the at least one pair of look-up tables. Preferably, the at least one multiplexer is configured to perform a logic operation on the outputs from the at least one pair of look-up tables. [0027]
  • In accordance with an embodiment of the invention, the at least one look-up table includes first and second pairs of look-up tables and the at least one multiplexer includes first and second multiplexers, each multiplexer receiving outputs from a pair of look-up tables. [0028]
  • Preferably, the look-up table is programmable. [0029]
  • In accordance with a preferred embodiment of the present invention, the device includes at least one simple logic gate selectably connected to at least one logic cell output. [0030]
  • Preferably, the simple logic gate is a two-input logic gate. Alternatively it may be an inverter or a buffer. [0031]
  • The device preferably also includes a multiplexer connected to an output of at least one look-up table and an inverter selectable connectable to an output of the at least one multiplexer. [0032]
  • In accordance with a preferred embodiment of the present invention, the device also includes a metal interconnection layer overlying at least a portion of the cell for providing a custom interconnection between components thereof. [0033]
  • There is also provided in accordance with a preferred embodiment of the present invention a logic array including at least one logic cell, the logic cell including: [0034]
  • at least one look-up table including a plurality of LUT inputs and at least one output; and [0035]
  • at least one logic gate having a plurality of logic inputs and an output coupled to one of the plurality of LUT inputs. [0036]
  • The at least one look-up table is preferably a programmable look-up table. [0037]
  • According to one embodiment of the invention, the logic array is a 2-input logic gate. According to an alternative embodiment of the invention, the logic gate is a NAND gate. [0038]
  • Preferably, the at least one look-up table includes at least one pair of look-up tables. [0039]
  • In accordance with a preferred embodiment of the invention, the logic array also includes a multiplexer receiving outputs from the at least one pair of look-up tables. [0040]
  • In accordance with another preferred embodiment of the invention, the at least one look-up table includes first and second pairs of look-up tables, the logic cell also including first and second multiplexers, each multiplexer receiving outputs from a pair of look-up tables. [0041]
  • Preferably, the logic array also includes a third multiplexer receiving outputs from the first and second multiplexers. [0042]
  • Additionally in accordance with a preferred embodiment of the present invention, the logic array also includes a flip-flop for receiving an output from the first multiplexer. [0043]
  • In accordance with an alternative embodiment of the present invention, the logic array also includes a multiplexer connected to an output of at least one look-up table and an inverter selectably connectable to at least one of an output of the multiplexer and an output of the look-up table. [0044]
  • In accordance with a preferred embodiment of the present invention, the logic array also includes a metal interconnection layer overlying at least a portion of the cell for providing a custom interconnection between components thereof. [0045]
  • The logic array may be integrated into a larger device also formed on the same substrate. [0046]
  • There is additionally provided in accordance with a preferred embodiment of the present invention a semiconductor device including: [0047]
  • a logic array including a multiplicity of identical logic cells, each identical logic cell including at least one flip-flop; and [0048]
  • a metal connection layer overlying the multiplicity of identical logic cells for interconnecting various inputs and outputs thereof in a customized manner. [0049]
  • The semiconductor device may also include a clock tree providing clock inputs to at least one of the at least one flip-flop of the multiplicity of identical logic cells. [0050]
  • Each logic cell in the semiconductor device may also receive a scan signal input which determines whether the cell operates in a normal operation mode or a test operation mode, wherein in a test operation mode nearly each flip-flop receives an input from an adjacent flip-flop thereby to define a scan chain. [0051]
  • The logic cell preferably includes a programmable look-up table. [0052]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which: [0053]
  • FIG. 1 is a simplified illustration of the gate layer of a logic cell constructed and operative in accordance with one preferred embodiment of the present invention; [0054]
  • FIG. 2 is a simplified illustration of the gate layer of a logic cell constructed and operative in accordance with another preferred embodiment of the present invention; [0055]
  • FIG. 3 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array in accordance with a preferred embodiment of the present invention; [0056]
  • FIG. 4 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a clock tree in accordance with a preferred embodiment of the present invention; and [0057]
  • FIG. 5 is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a scan chain in accordance with a preferred embodiment of the present invention. [0058]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The present invention provides a customizable logic array device including a substrate having at least one gate layer and typically at least first, second and third metal layers formed thereon, wherein the gate layer includes a multiplicity of identical unit logic cells. It is appreciated that the customizable logic array device may be integrated into a larger device also formed on the same substrate. [0059]
  • The present invention also provides a customizable logic array device including an array of cells, the device having at least one transistor layer, including a multiplicity of transistors, formed on a substrate and at least one interconnection layer which connects the transistors to define the array of cells, each of the cells having a multiplicity of inputs and at least one output. [0060]
  • There are preferably provided additional interconnection layers, at least one of which is custom made to interconnect the inputs and outputs of the various cells to provide a custom logic function. [0061]
  • Preferably at least some of the cells are identical. [0062]
  • Reference is now made to FIG. 1, which illustrates a cell preferably forming part of a gate layer of a logic array device constructed and operative in accordance with a preferred embodiment of the present invention. The logic device preferably comprises an array of cells, each cell comprising 3-input look-up tables (LUTs), respectively designated by [0063] reference numerals 10, 12, 14 and 16. Coupled to a first input of each look-up table, hereinafter referred to as a LUT input, is a 2-input NAND gate. The NAND gates are designated by respective reference numerals 20, 22, 24 and 26.
  • Alternatively, any other suitable type of logic gate, such as, for example, a NOR, AND, OR, XOR or 3-input logic gate may be employed instead of the NAND gates. [0064]
  • Outputs of [0065] LUTs 10 and 12 are supplied as inputs to a multiplexer 30, while outputs of LUTs 14 and 16 are supplied as inputs to a multiplexer 32. The outputs of multiplexers 30 and 32 are supplied to a multiplexer 34. Multiplexers 30, 32 and 34 are preferably inverting multiplexers, as shown.
  • A NAND fed four-input LUT may be realized by connecting [0066] respective inputs 40, 42, 44 and 46 of LUT 14 and NAND gate 24 to respective inputs 50, 52, 54 and 56 of LUT 16 and NAND gate 26. The inputs of the resulting NAND fed four-input LUT are inputs 40, 42, 44 & 46 and the select input to multiplexer 32, which is designated by reference numeral 60. The output of the NAND fed four-input LUT is the output of multiplexer 32, which is designated by reference numeral 62.
  • A NAND fed four-input LUT may be realized by connecting [0067] respective inputs 70, 72, 74 and 76 of LUT 10 and NAND gate 20 to respective inputs 80, 82, 84 and 86 of LUT 12 and NAND gate 22. The inputs of the resulting NAND fed four-input LUT are inputs 70, 72, 74 & 76 and the select input to multiplexer 30, which is designated by reference numeral 90. The output of the NAND fed four-input LUT is the output of multiplexer 30, which is designated by reference numeral 92.
  • It is further appreciated that if the output of [0068] LUT 14, designated by reference numeral 64, is connected to the select input 60, multiplexer 32 performs a NAND logic function on the output of LUT 14 and the output of LUT 16, designated by reference numeral 66.
  • Similarly, if the output of [0069] LUT 10, designated by reference numeral 94, is connected to the select input 90 of multiplexer 30, multiplexer 30 performs a NAND logic function on the output of LUT 10 and the output of LUT 12, designated by reference numeral 96.
  • It is appreciated that other logic functions may be generated by [0070] multiplexers 30 and 32. For example, if input 60 and output 66 are connected together, a NOR logic function is performed on outputs 64 and 66, having an output at output 62.
  • A NAND fed five-input LUT may be realized by connecting [0071] respective inputs 40, 42, 44, 46 and 60 of one NAND fed four-input LUT with inputs 70, 72, 74, 76 and 90 of the other NAND fed four-input LUT. The inputs of the resulting NAND fed five-input LUT are inputs 40, 42, 44, 46 and 60 as well as the E select input to multiplexer 34, designated by reference numeral 97. The output of the NAND fed five-input LUT is designated by reference numeral 100.
  • It is additionally appreciated that if the [0072] output 62 of multiplexer 32 is connected to input 97, multiplexer 34 performs a NAND logic function on the output 92 of multiplexer 30 and the output 62 of multiplexer 32.
  • It is further appreciated that if the [0073] output 92 of multiplexer 30 is connected to input 97, multiplexer 34 performs a NOR logic function on the output 92 of multiplexer 30 and the output 62 of multiplexer 32.
  • Preferably a [0074] flip flop 102 is coupled to the output 62 of multiplexer 32 and a flip flop 104 is coupled to the output 100 of multiplexer 34.
  • Additionally an [0075] inverter 106 is provided for selectable interconnection to one of the cell outputs 62, 64, 92, 94, 107, 108 and 100, Inverter 106 could be used to change the polarity of a logic signal to provide a desired logic function. Inverter 106 could also be used to buffer certain signals to effectively drive a relatively heavy load, such as in cases where a single output is supplied to multiple inputs or along a relatively long interconnection path. It is appreciated that alternatively or additionally any other one or more suitable logic gate, such as for example, a NAND, NOR, XOR or XNOR gate, may be provided in the cell.
  • It is appreciated that various interconnections between inputs and outputs of various components of the cell described hereinabove and between inputs and outputs of various cells of the logic array are preferably achieved by one or more selectably configurable overlying metal layers, which are preferably mask configurable. A permanent customized interconnect is thus provided. [0076]
  • Reference is now made to FIG. 2, which illustrates a cell preferably forming part of a gate layer of a logic array device constructed and operative in accordance with another preferred embodiment of the present invention. The cell of FIG. 2 is presently believed by the inventor to be superior in certain respects to the cell of FIG. 1. The logic device preferably comprises an array of cells, each cell comprising [0077] 3-input look-up tables (LUTs), respectively designated by reference numerals 110, 112, 114 and 116. Coupled to first and second inputs of each of look-up tables 110 and 114, hereinafter referred to as a LUT inputs, is a 2-input NAND gate. The NAND gates are designated by respective reference numerals 120, 122, 124 and 126.
  • Alternatively, any other suitable type of logic gate, such as, for example, a NOR, AND, OR, XOR or 3-input logic gate may be employed instead of the NAND gates. [0078]
  • Outputs of [0079] LUTs 110 and 112 are supplied as inputs to a multiplexer 130, while outputs of LUTs 114 and 116 are supplied as inputs to a multiplexer 132. The outputs of multiplexers 130 and 132 are supplied to a multiplexer 134. Multiplexers 130, 132 and 134 are preferably inverting multiplexers, as shown.
  • A four-input LUT may be realized by connecting [0080] respective inputs 140, 142, and 144 and 146 of the NAND gates 124 and 126, and then connecting inputs 140, 144, and 148 of LUT 114 to respective inputs 150, 152 and 154 of LUT 116. The inputs of the resulting four-input LUT are inputs 140, 144 & 148 and the select input to multiplexer 132, which is designated by reference numeral 160. The output of the four-input LUT is the output of multiplexer 132, which is designated by reference numeral 162.
  • A four-input LUT may be realized by connecting the [0081] inputs 170, 172, and 174, 176 of NAND gates 120 and 122, and then connecting inputs 170, 174 and 178 of LUT 110 to respective inputs 180, 182 and 184 of LUT 112. The inputs of the resulting four-input LUT are inputs 170, 174 & 178 and the input to multiplexer 130, which is designated by reference numeral 190. The output of the four-input LUT is the output of multiplexer 130, which is designated by reference numeral 192.
  • It is further appreciated that if the output of [0082] LUT 116, designated by reference numeral 166, is connected to the select input 160, multiplexer 132 performs a NAND logic function on the output of LUT 114 and the output of LUT 116.
  • Similarly, if the output of [0083] LUT 112, designated by reference numeral 196, is connected to the select input 190 of multiplexer 130, multiplexer 130 performs a NAND logic function on the output of LUT 110 and the output of LUT 112.
  • It is appreciated that other logic functions may be generated by [0084] multiplexers 130 and 132. For example, if input 160 and output 164 are connected together, a NOR logic function is performed on outputs 164 and 166, having an output at output 162.
  • It is additionally appreciated that if the [0085] output 162 of multiplexer 132 is connected to input 197, multiplexer 134 performs a NOR logic function on the output 192 of multiplexer 130 and the output 162 of multiplexer 132.
  • It is further appreciated that if the [0086] output 192 of multiplexer 130 is connected to input 197, multiplexer 134 performs a NAND logic function on the output 192 of multiplexer 130 and the output 162 of multiplexer 132.
  • Preferably a [0087] flip flop 199 is coupled to the output 162 of multiplexer 132 and a flip flop 195 is coupled to the output 198 of multiplexer 134.
  • Additionally an [0088] inverter 193 is provided for selectable interconnection to one of the cell outputs 162, 166, 192, 196, 191, 189 and 198. Inverter 193 could be used to change the polarity of a logic signal to provide a desired logic function. Inverter 193 could also be used to buffer certain signals to effectively drive a relatively heavy load, such as in cases where a single output is supplied to multiple inputs or along a relatively long interconnection path. It is appreciated that alternatively or additionally any other one or more suitable logic gate, such as for example, a NAND, NOR, XOR or XNOR gate, may be provided in the cell.
  • It is appreciated that various interconnections between inputs and outputs of various components of the cell described hereinabove and between inputs and outputs of various cells of the logic array are preferably achieved by one or more selectably configurable overlying metal layers, which are preferably mask configurable. A permanent customized interconnect is thus provided. [0089]
  • Reference is now made to FIG. 3, which is an illustration of a plurality of the cells of FIG. 1, which constitute a portion of a logic array, preferably a customizable logic array in accordance with a preferred embodiment of the present invention. It is appreciated that alternatively, FIG. 3 could include a plurality of the cells of FIG. 2. [0090]
  • Reference is now made to FIG. 4, which is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a clock tree in accordance with a preferred embodiment of the present invention. [0091]
  • As seen in FIG. 4, a clock tree distribution circuit, generally indicated by [0092] reference numeral 200 provides clock signals from a clock signal source (not shown) via an inverter 202 to each pair of flip- flops 204 and 206 in each logic cell 208, Although the logic cell of FIG. 1 is shown, it is appreciated that alternatively and preferably, the logic cell of FIG. 2 may be employed. It is appreciated that the structure of FIG. 4 is very distinct from the prior art wherein a clock tree distribution circuit is implemented in at least one custom interconnection layer.
  • In accordance with a preferred embodiment of the present invention, three metal layers, such as metal [0093] 1, metal 2 and metal 3 are typically standard. Three additional metal layers, such as metal 4, metal 5 and metal 6 may be used for circuit personalization for a specific application. In logic arrays of this type, it is often desirable to provide a multiplicity of clock domains. Each such clock domain requires its own clock distribution tree. Connection of the clock domains can be readily achieved by suitable personalization of an upper metal layer, such as metal 6.
  • It is appreciated that the number of cells connected to a given distribution tree may vary greatly, from tens of cells to thousands of cells. This variation can be accommodated easily using the structure of the present invention. [0094]
  • It is appreciated that each flip flop in each cell has approximately the same interconnection load on the clock distribution tree. [0095]
  • Multiple phase lock loops (PLLs) may be employed to adjust the phase of each clock tree with respect to an external clock. [0096]
  • Reference is now made to FIG. 5, which is a simplified illustration of a gate layer of a plurality of logic cells which constitute a portion of a logic array and incorporate a scan chain in accordance with a preferred embodiment of the present invention. Although the cells of FIG. 1 are shown in FIG. 5, it is appreciated that alternatively, the cells of FIG. 2 may be employed. [0097]
  • Whereas in the prior art scan chains, which provide test coverage for integrate circuits are known to involve not insignificant overhead in terms both of real estate and performance. Conventionally, scan chains are usually inserted either as part of a specific circuit design or during post processing. [0098]
  • In accordance with the present invention, as shown in FIG. 5, a [0099] scan chain 300 is implemented as part of the basic structure of a logic cell array. The invention thus obviates the need to insert scan chains either as part of a specific circuit design or during post processing. A multiplicity of scan chains can be integrated in a logic cell array in accordance with a preferred embodiment of the present invention.
  • Connection of the scan chains can be readily achieved by suitable personalization of an upper metal layer, such as metal [0100] 6.
  • In the embodiment of FIG. 5, [0101] multiplexers 32 and 34 are preferably replaced by corresponding 3- state multiplexers 302 and 304. A pair of 3- state inverters 306 and 308 are provided in each cell and are connected as shown. During normal operation of the array, the scan signal is a logic “low” or “0”, thus enabling multiplexers 302 and 304 and disabling inverters 306 and 308.
  • During testing of the array, the scan signal is a logic “high” or “1”and the [0102] multiplexers 302 and 304 are disabled while the inverters 306 and 308 are enabled. In such a scan mode the output of flip flop 102 of a given cell is fed to the input of flip flop 104 of that cell and the output of flip flop 104 is fed to the input of flip flop 102 of the adjacent cells, thus creating a scan chain.
  • It is appreciated that additional multiplexers may also be employed in this embodiment. [0103]
  • It will be appreciated by persons skilled in the art that the present invention is not limited to what has been particularly shown and described hereinabove. Rather the scope of the invention includes both combinations and subcombinations of the various features described herein as well as modifications and variations thereof as would occur to a person of ordinary skill in the art upon reading the foregoing description and which are not in the prior art. [0104]

Claims (23)

1. A customizable logic array device comprising:
an array of programmable cells having a multiplicity of inputs and a multiplicity of outputs; and
customized interconnections overlying at least a portion of said programmable cell for permanently interconnecting at least a plurality of said multiplicity of inputs and at least a plurality of said multiplicity of outputs.
2. A customizable logic array device according to
claim 1
and wherein said customized connections are mask defined.
3. A customizable logic array device according to
claim 1
and wherein said customized connections are defined by lithography carried out during the course of manufacture of said semiconductor device.
4. A customizable logic array device according to
claim 3
wherein said programmable cell comprises a Look-Up-Table (LUT).
5. A customizable logic array device according to
claim 3
wherein said programmable cell comprises at least one LUT including a plurality of LUT inputs and at least one output; and
at least one logic gate having at least two logic inputs, which are at least a part of said multiplicity of inputs, and an output coupled to one of the plurality of LUT inputs.
6. A customizable logic array device according to
claim 3
wherein said programmable cell also comprises an inverter selectably connectable to an output of said logic cell.
7. A customizable logic array device according to
claim 3
wherein said customizable logic array is integrated into a larger device.
8. A customizable logic array device according to
claim 4
wherein said LUT is programmable.
9. A customizable logic array device according to
claim 3
wherein said programmable cell includes at least one simple logic gate selectably connected to at least one logic cell output.
10. A logic cell for use in a logic array, the logic cell having a multiplicity of inputs and at least one output and comprising:
at least one LUT including a plurality of LUT inputs and at least one output; and
at least one logic gate having at least two logic inputs, which are a part of said multiplicity of inputs, and an output coupled to one of the plurality of LUT inputs.
11. A logic cell for use in a logic array, the logic cell having a multiplicity of inputs and at least one output and comprising:
at least one LUT, and an inverter selectably connectable to an output of said logic cell.
12. A logic cell for use in a logic array, the logic cell having a multiplicity of inputs and at least one output and comprising:
at least one LUT, and a driver selectably connectable to an output of said logic cell.
13. A logic cell for use in a logic array, the logic cell having a multiplicity of inputs and at least one output and comprising:
at least one LUT or multiplexer, and an inverter selectably connectable to an output of said logic cell.
14. A semiconductor device comprising:
a logic array including a multiplicity of identical logic cells, each identical logic cell comprising at least one LUT and at least one multiplexer and said at least one LUT provides an input to the at least one multiplexer, and wherein, said at least one multiplexer is configured to perform a logic operation on said input from said at least one LUT.
15. A logic cell according to
claim 10
and also comprising a metal connection layer overlying the multiplicity of identical logic cells for providing a permanent customized interconnection between various inputs and outputs thereof.
16. A logic cell according to
claim 11
and also comprising a metal interconnection layer overlying at least a portion of said cell for providing a custom interconnection between components thereof.
17. A logic cell according to
claim 10
wherein said LUT is programmable.
18. A logic cell according to
claim 10
wherein said logic gate is either NAND or NOR gate.
19. A logic cell according to
claim 12
and also comprising a metal connection layer overlying the multiplicity of identical logic cells for providing a permanent customized interconnection between various inputs and outputs thereof.
20. A logic cell according to
claim 13
and also comprising a metal connection layer overlying the multiplicity of identical logic cells for providing a permanent customized interconnection between various inputs and outputs thereof.
21. A logic cell according to
claim 14
and also comprising a metal connection layer overlying the multiplicity of identical logic cells for providing a permanent customized interconnection between various inputs and outputs thereof.
22. A semiconductor device comprising:
a plurality of pins; and
customizable programmable logic containing a multiplicity of logic cells and a multiplicity of electrical connections between said multiplicity of logic cells,
wherein at least some of said multiplicity of logic cells being programmable by means of electrical signals supplied thereto via at least some of said plurality of pins; and
wherein at least some of said multiplicity of electrical connections being customized for a particular logic function by lithography carried out in the course of manufacture of said semiconductor device.
23. An array of field programmable gates having permanent customized connections.
US09/756,903 1999-05-13 2001-01-10 Semiconductor device Expired - Lifetime US6331789B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/756,903 US6331789B2 (en) 1999-05-13 2001-01-10 Semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/310,962 US6236229B1 (en) 1999-05-13 1999-05-13 Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities
US09/756,903 US6331789B2 (en) 1999-05-13 2001-01-10 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/310,962 Continuation US6236229B1 (en) 1999-03-11 1999-05-13 Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities

Publications (2)

Publication Number Publication Date
US20010003428A1 true US20010003428A1 (en) 2001-06-14
US6331789B2 US6331789B2 (en) 2001-12-18

Family

ID=23204803

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/310,962 Expired - Lifetime US6236229B1 (en) 1999-03-11 1999-05-13 Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities
US09/756,903 Expired - Lifetime US6331789B2 (en) 1999-05-13 2001-01-10 Semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/310,962 Expired - Lifetime US6236229B1 (en) 1999-03-11 1999-05-13 Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities

Country Status (1)

Country Link
US (2) US6236229B1 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040214387A1 (en) * 2002-07-08 2004-10-28 Madurawe Raminda Udaya Methods for fabricating three dimensional integrated circuits
US20040222817A1 (en) * 2002-07-08 2004-11-11 Madurawe Raminda Udaya Alterable application specific integrated circuit (ASIC)
US20050034094A1 (en) * 2002-07-08 2005-02-10 Raminda Udaya Madurawe Three dimensional integrated circuits
US20050149896A1 (en) * 2004-01-05 2005-07-07 Madurawe Raminda U. Integrated circuits with RAM and ROM fabrication options
US20050180044A1 (en) * 2004-02-14 2005-08-18 Samsung Electronics Co., Ltd. Damping structure of a hard disk drive
US20050181546A1 (en) * 2002-07-08 2005-08-18 Madurawe Raminda U. Methods for fabricating fuse programmable three dimensional integrated circuits
US7019557B2 (en) 2003-12-24 2006-03-28 Viciciv Technology Look-up table based logic macro-cells
US20060139054A1 (en) * 2003-12-24 2006-06-29 Madurawe Raminda U Look-up table structure with embedded carry logic
US20060158217A1 (en) * 2002-07-08 2006-07-20 Madurawe Raminda U Timing exact design conversions from FPGA to ASIC
US20060181308A1 (en) * 2003-12-04 2006-08-17 Raminda Udaya Madurawe Programmable structured arrays
US20070152708A1 (en) * 2002-07-08 2007-07-05 Madurawe Raminda U MPGA products based on a prototype FPGA
US20070210826A1 (en) * 2006-03-08 2007-09-13 Madurawe Raminda U Programmable logic devices comprising time multiplexed programmable interconnect
US20080024165A1 (en) * 2006-07-28 2008-01-31 Raminda Udaya Madurawe Configurable embedded multi-port memory
US7336097B2 (en) 2003-12-24 2008-02-26 Viciciv, Inc. Look-up table structure with embedded carry logic
US20080068041A1 (en) * 2003-11-25 2008-03-20 Madurawe Raminda U Look-up table structure with embedded carry logic
US20080067594A1 (en) * 2002-07-08 2008-03-20 Madurawe Raminda U Insulated-gate field-effect thin film transistors
US20080106953A1 (en) * 2004-05-17 2008-05-08 Madurawe Raminda U Multi-port memory devices
US7375552B1 (en) * 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
US20090039917A1 (en) * 2002-10-21 2009-02-12 Raminda Udaya Madurawe Programmable Interconnect Structures
US20090128188A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Pad invariant FPGA and ASIC devices
US20090129174A1 (en) * 2007-11-19 2009-05-21 Raminda Madurawe Multi-port thin-film memory devices
US20090128189A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
US20090146189A1 (en) * 2007-11-19 2009-06-11 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US20090167348A1 (en) * 2007-12-26 2009-07-02 Nij Dorairaj Programmable latch based multiplier
US20090167347A1 (en) * 2007-12-26 2009-07-02 Nij Dorairaj Using programmable latch to implement logic
US20090167349A1 (en) * 2007-12-26 2009-07-02 Raminda Madurawe Programmable logic based latches and shift registers
US20090167350A1 (en) * 2007-12-26 2009-07-02 Raminda Madurawe Programmable logic based latches and shift registers
US20090261858A1 (en) * 2006-08-31 2009-10-22 Beijing Xizheng Microelectronics Co., Ltd. Programmable interconnect network for logic array
US8159268B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Interconnect structures for metal configurable integrated circuits
US8159266B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Metal configurable integrated circuits
US8159265B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Memory for metal configurable integrated circuits
US9087169B2 (en) 2008-09-14 2015-07-21 Raminda U. Madurawe Automated metal pattern generation for integrated circuits

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107822A (en) * 1996-04-09 2000-08-22 Altera Corporation Logic element for a programmable logic integrated circuit
US6236229B1 (en) * 1999-05-13 2001-05-22 Easic Corporation Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities
DE60021873T2 (en) * 1999-04-13 2006-05-24 Scigenic Co., Ltd. PREPARATION FOR THE PROPHYLAXIS OR TREATMENT OF DEMENTIA DISEASE CONTAINS A HYDROXYZYLIC ACID DERIVATIVE OR AN EXTRACT OF A PLANT OF THE GENUS ANGELICA CONTAINING THIS ACID.
US6756811B2 (en) * 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US7024653B1 (en) * 2000-10-30 2006-04-04 Cypress Semiconductor Corporation Architecture for efficient implementation of serial data communication functions on a programmable logic device (PLD)
US6426649B1 (en) * 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6580289B2 (en) 2001-06-08 2003-06-17 Viasic, Inc. Cell architecture to reduce customization in a semiconductor device
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
US6992925B2 (en) * 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6693454B2 (en) 2002-05-17 2004-02-17 Viasic, Inc. Distributed RAM in a logic array
US20030229837A1 (en) * 2002-06-06 2003-12-11 Cox William D. Method and apparatus for testing a logic cell in a semiconductor device
US6873185B2 (en) * 2002-06-19 2005-03-29 Viasic, Inc. Logic array devices having complex macro-cell architecture and methods facilitating use of same
US7679398B2 (en) * 2002-07-17 2010-03-16 Osann Jr Robert Reprogrammable instruction DSP
US7093225B2 (en) * 2002-07-17 2006-08-15 Osann Robert Jr FPGA with hybrid interconnect
US7031209B2 (en) * 2002-09-26 2006-04-18 Kilopass Technology, Inc. Methods and circuits for testing programmability of a semiconductor memory cell and memory array using a breakdown phenomenon in an ultra-thin dielectric
US7042772B2 (en) * 2002-09-26 2006-05-09 Kilopass Technology, Inc. Methods and circuits for programming of a semiconductor memory cell and memory array using a breakdown phenomenon in an ultra-thin dielectric
US7084666B2 (en) * 2002-10-21 2006-08-01 Viciciv Technology Programmable interconnect structures
US6953956B2 (en) * 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US6798240B1 (en) * 2003-01-24 2004-09-28 Altera Corporation Logic circuitry with shared lookup table
US7375553B1 (en) * 2003-05-28 2008-05-20 Actel Corporation Clock tree network in a field programmable gate array
US6924664B2 (en) * 2003-08-15 2005-08-02 Kilopass Technologies, Inc. Field programmable gate array
DE102004056322B4 (en) * 2003-11-21 2012-07-19 Infineon Technologies Ag Logic Ground Cell and Logic Ground Cell Arrangement
US7358767B1 (en) * 2004-01-06 2008-04-15 Altera Corporation Efficient multiplexer for programmable chips
US6972986B2 (en) * 2004-02-03 2005-12-06 Kilopass Technologies, Inc. Combination field programmable gate array allowing dynamic reprogrammability and non-votatile programmability based upon transistor gate oxide breakdown
US7064973B2 (en) * 2004-02-03 2006-06-20 Klp International, Ltd. Combination field programmable gate array allowing dynamic reprogrammability
US7761138B2 (en) * 2004-03-12 2010-07-20 Boston Scientific Scimed, Inc. MRI and X-ray visualization
DE102004014472B4 (en) * 2004-03-24 2012-05-03 Infineon Technologies Ag Application specific semiconductor integrated circuit
US7167022B1 (en) 2004-03-25 2007-01-23 Altera Corporation Omnibus logic element including look up table based logic elements
US20050218929A1 (en) * 2004-04-02 2005-10-06 Man Wang Field programmable gate array logic cell and its derivatives
US7755162B2 (en) 2004-05-06 2010-07-13 Sidense Corp. Anti-fuse memory cell
US7402855B2 (en) 2004-05-06 2008-07-22 Sidense Corp. Split-channel antifuse array architecture
US9123572B2 (en) 2004-05-06 2015-09-01 Sidense Corporation Anti-fuse memory cell
US8735297B2 (en) 2004-05-06 2014-05-27 Sidense Corporation Reverse optical proximity correction method
DE102004025581B4 (en) * 2004-05-25 2008-02-14 Infineon Technologies Ag Logic Ground Cell and Logic Ground Cell Arrangement
US20050275427A1 (en) * 2004-06-10 2005-12-15 Man Wang Field programmable gate array logic unit and its cluster
US7164290B2 (en) * 2004-06-10 2007-01-16 Klp International, Ltd. Field programmable gate array logic unit and its cluster
US7463062B2 (en) * 2004-07-27 2008-12-09 Easic Corporation Structured integrated circuit device
US7098691B2 (en) 2004-07-27 2006-08-29 Easic Corporation Structured integrated circuit device
BRPI0513689A (en) * 2004-07-27 2008-05-13 Easic Corp semiconductor devices, logic assemblies and semiconductor wafers
US7135886B2 (en) * 2004-09-20 2006-11-14 Klp International, Ltd. Field programmable gate arrays using both volatile and nonvolatile memory cell properties and their control
US7334208B1 (en) 2004-11-09 2008-02-19 Viasic, Inc. Customization of structured ASIC devices using pre-process extraction of routing information
US7358760B1 (en) 2004-11-24 2008-04-15 Altera Corporation Efficient 4:1 multiplexer for programmable chips
US7193436B2 (en) * 2005-04-18 2007-03-20 Klp International Ltd. Fast processing path using field programmable gate array logic units
US7378874B2 (en) * 2006-08-31 2008-05-27 Viasic, Inc. Creating high-drive logic devices from standard gates with minimal use of custom masks
US7917876B1 (en) 2007-03-27 2011-03-29 Xilinx, Inc. Method and apparatus for designing an embedded system for a programmable logic device
US7991909B1 (en) * 2007-03-27 2011-08-02 Xilinx, Inc. Method and apparatus for communication between a processor and processing elements in an integrated circuit
US7759971B2 (en) * 2007-06-21 2010-07-20 Easic Corporation Single via structured IC device
US7692309B2 (en) * 2007-09-06 2010-04-06 Viasic, Inc. Configuring structured ASIC fabric using two non-adjacent via layers
US8436700B2 (en) * 2009-09-18 2013-05-07 Easic Corporation MEMS-based switching
US10312918B2 (en) 2017-02-13 2019-06-04 High Performance Data Storage And Processing Corporation Programmable logic design

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3473160A (en) 1966-10-10 1969-10-14 Stanford Research Inst Electronically controlled microelectronic cellular logic array
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
IL86162A (en) 1988-04-25 1991-11-21 Zvi Orbach Customizable semiconductor devices
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
US4924287A (en) 1985-01-20 1990-05-08 Avner Pdahtzur Personalizable CMOS gate array device and technique
US5679967A (en) 1985-01-20 1997-10-21 Chip Express (Israel) Ltd. Customizable three metal layer gate array devices
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
IL78730A (en) 1986-05-08 1990-03-19 Avner Pdahtzur Protective optical coating and method for use thereof
US4910417A (en) 1986-09-19 1990-03-20 Actel Corporation Universal logic module comprising multiplexers
US4866508A (en) 1986-09-26 1989-09-12 General Electric Company Integrated circuit packaging configuration for rapid customized design and unique test capability
US5329152A (en) 1986-11-26 1994-07-12 Quick Technologies Ltd. Ablative etch resistant coating for laser personalization of integrated circuits
IL81849A0 (en) 1987-03-10 1987-10-20 Zvi Orbach Integrated circuits and a method for manufacture thereof
US4949257A (en) 1987-04-28 1990-08-14 Zvi Orbach Automated merchandising system for computer software
US5260597A (en) 1988-07-21 1993-11-09 Quick Technologies Ltd. Routing structure for a customizable integrated circuit
IL87312A (en) 1988-08-02 1992-02-16 Zvi Orbach Electromechanical translation apparatus of the inchworm linear motor type
IL89646A (en) 1989-03-17 1994-04-12 Orbach Israel Heater unit for heating container for moist tissues
IL91240A (en) 1989-08-07 1994-07-31 Quick Tech Ltd Pulsed laser apparatus and systems and techniques for its operation
US5068063A (en) 1989-12-28 1991-11-26 E. I. Du Pont De Nemours And Company Non-carbon black containing conductive coating composition
US5111273A (en) 1990-03-28 1992-05-05 Quick Technologies Ltd. Fabrication of personalizable integrated circuits
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5055716A (en) 1990-05-15 1991-10-08 Siarc Basic cell for bicmos gate array
US5191241A (en) 1990-08-01 1993-03-02 Actel Corporation Programmable interconnect architecture
US5132571A (en) 1990-08-01 1992-07-21 Actel Corporation Programmable interconnect architecture having interconnects disposed above function modules
IL95576A0 (en) 1990-09-04 1991-06-30 Quick Tech Ltd Controlled slew rate buffer
US5404033A (en) 1992-08-20 1995-04-04 Swift Microelectronics Corporation Application specific integrated circuit and placement and routing software with non-customizable first metal layer and vias and customizable second metal grid pattern
IL103566A (en) 1992-10-27 1995-06-29 Quick Tech Ltd Active matrix of a display panel
JP2792801B2 (en) 1992-12-28 1998-09-03 三菱電機株式会社 Semiconductor integrated circuit, design method and manufacturing method thereof
US5550839A (en) 1993-03-12 1996-08-27 Xilinx, Inc. Mask-programmed integrated circuits having timing and logic compatibility to user-configured logic arrays
TW396312B (en) 1993-12-30 2000-07-01 At & T Corp Method and apparatus for converting field-programmable gate array implementations into mask-programmable logic cell implementations
US5512765A (en) 1994-02-03 1996-04-30 National Semiconductor Corporation Extendable circuit architecture
US5682107A (en) 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
IL109491A (en) 1994-05-01 1999-11-30 Quick Tech Ltd Customizable logic array device
US5815726A (en) * 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
US5815003A (en) * 1994-11-04 1998-09-29 Altera Corporation Programmable logic integrated circuits with partitioned logic element using shared lab-wide signals
IL111708A (en) 1994-11-21 1998-03-10 Chip Express Israel Ltd Mapping of gate arrays
US5757207A (en) * 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
US5751165A (en) 1995-08-18 1998-05-12 Chip Express (Israel) Ltd. High speed customizable logic array device
US5684412A (en) 1995-08-18 1997-11-04 Chip Express (Israel) Ltd. Cell forming part of a customizable logic array
US5684812A (en) 1995-09-12 1997-11-04 Trw Inc. Laser mode control using external inverse cavity
US5781031A (en) 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
JP3486725B2 (en) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ Variable logic integrated circuit
IL116792A (en) 1996-01-16 2000-01-31 Chip Express Israel Ltd Customizable integrated circuit device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US5825202A (en) 1996-09-26 1998-10-20 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
JP3420694B2 (en) 1996-12-27 2003-06-30 株式会社東芝 Standard cell integrated circuit
DE69737445T2 (en) 1997-01-23 2007-11-29 Mitsubishi Denki K.K. REGULATOR FOR MOTOR-DRIVEN POWER STEERING
US5959466A (en) 1997-01-31 1999-09-28 Actel Corporation Field programmable gate array with mask programmed input and output buffers
US6014038A (en) 1997-03-21 2000-01-11 Lightspeed Semiconductor Corporation Function block architecture for gate array
US6020755A (en) 1997-09-26 2000-02-01 Lucent Technologies Inc. Hybrid programmable gate arrays
US6066960A (en) 1998-05-21 2000-05-23 Altera Corporation Programmable logic device having combinational logic at inputs to logic elements within logic array blocks
US6236229B1 (en) * 1999-05-13 2001-05-22 Easic Corporation Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities

Cited By (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240790B2 (en) 2002-07-08 2016-01-19 Callahan Cellular L.L.C. Three dimensional integrated circuits
US20050102646A1 (en) * 2002-07-08 2005-05-12 Madurawe Raminda U. Configuration circuits for three dimensional programmable logic devices
US20050034094A1 (en) * 2002-07-08 2005-02-10 Raminda Udaya Madurawe Three dimensional integrated circuits
US20160134288A1 (en) * 2002-07-08 2016-05-12 Callahan Cellular, L.L.C. Three dimensional integrated circuits
US7759705B2 (en) 2002-07-08 2010-07-20 Tier Logic, Inc. Semiconductor devices fabricated with different processing options
US7673273B2 (en) 2002-07-08 2010-03-02 Tier Logic, Inc. MPGA products based on a prototype FPGA
US20050181546A1 (en) * 2002-07-08 2005-08-18 Madurawe Raminda U. Methods for fabricating fuse programmable three dimensional integrated circuits
US20110102014A1 (en) * 2002-07-08 2011-05-05 Raminda Udaya Madurawe Three dimensional integrated circuits
US7064579B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US7064018B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Methods for fabricating three dimensional integrated circuits
US7627848B2 (en) 2002-07-08 2009-12-01 Tier Logic, Inc. Bit stream compatible FPGA to MPGA conversions
US8429585B2 (en) 2002-07-08 2013-04-23 Raminda Udaya Madurawe Three dimensional integrated circuits
US20060150137A1 (en) * 2002-07-08 2006-07-06 Madurawe Raminda U Three dimensional integrated circuits
US20060152248A1 (en) * 2002-07-08 2006-07-13 Madurawe Raminda U Configuration circuits for programmable logic devices
US20060158217A1 (en) * 2002-07-08 2006-07-20 Madurawe Raminda U Timing exact design conversions from FPGA to ASIC
US7538575B2 (en) 2002-07-08 2009-05-26 Tier Logic, Inc. Three dimensional integrated circuits
US8499269B2 (en) 2002-07-08 2013-07-30 Raminda Udaya Madurawe Timing exact design conversions from FPGA to ASIC
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US8829664B2 (en) 2002-07-08 2014-09-09 Raminda Udaya Madurawe Three dimensional integrated circuits
US8856699B2 (en) 2002-07-08 2014-10-07 Raminda Udaya Madurawe Three dimensional integrated circuits
US7356799B2 (en) 2002-07-08 2008-04-08 Viciciv Technology, Inc. Timing exact design conversions from FPGA to ASIC
US20040222817A1 (en) * 2002-07-08 2004-11-11 Madurawe Raminda Udaya Alterable application specific integrated circuit (ASIC)
US20060179417A1 (en) * 2002-07-08 2006-08-10 Madurawe Raminda U Alterable application specific integrated circuit (ASIC)
US9547736B2 (en) 2002-07-08 2017-01-17 Callahan Cellular L.L.C. Timing exact design conversions from FPGA to ASIC
US20070152708A1 (en) * 2002-07-08 2007-07-05 Madurawe Raminda U MPGA products based on a prototype FPGA
US7777319B2 (en) 2002-07-08 2010-08-17 Tier Logic, Inc. Three dimensional integrated circuits
US20040214387A1 (en) * 2002-07-08 2004-10-28 Madurawe Raminda Udaya Methods for fabricating three dimensional integrated circuits
US7268580B2 (en) 2002-07-08 2007-09-11 Viciciv Technology Configuration circuits for three dimensional programmable logic devices
US20070210830A1 (en) * 2002-07-08 2007-09-13 Madurawe Raminda U Three dimensional integrated circuits
US20070210336A1 (en) * 2002-07-08 2007-09-13 Madurawe Raminda U Semiconductor devices fabricated with different processing options
US20090004788A1 (en) * 2002-07-08 2009-01-01 Raminda Udaya Madurawe Thin film transistors and fabrication methods
US7446563B2 (en) 2002-07-08 2008-11-04 Tier Logic Three dimensional integrated circuits
US7285982B2 (en) 2002-07-08 2007-10-23 Viciciv Technology Configuration circuits for programmable logic devices
US7312109B2 (en) 2002-07-08 2007-12-25 Viciciv, Inc. Methods for fabricating fuse programmable three dimensional integrated circuits
US20080218205A1 (en) * 2002-07-08 2008-09-11 Raminda Udaya Madurawe Timing Exact Design Conversions from FPGA to ASIC
US10447272B2 (en) 2002-07-08 2019-10-15 Callahan Cellular L.L.C. Three dimensional integrated-circuits
US20080191738A1 (en) * 2002-07-08 2008-08-14 Raminda Udaya Madurawe Three dimensional integrated circuits
US7345505B2 (en) 2002-07-08 2008-03-18 Viciciv Technology, Inc. Alterable application specific integrated circuit (ASIC)
US9912336B2 (en) * 2002-07-08 2018-03-06 Callahan Cellular L.L.C. Three dimensional integrated circuits
US20080067594A1 (en) * 2002-07-08 2008-03-20 Madurawe Raminda U Insulated-gate field-effect thin film transistors
US20080074146A1 (en) * 2002-07-08 2008-03-27 Madurawe Raminda U Three dimensional integrated circuits
US10339245B2 (en) 2002-07-08 2019-07-02 Callahan Cellular L.L.C. Timing exact design conversions from FPGA to ASIC
US7362133B2 (en) 2002-07-08 2008-04-22 Viciciv Technology, Inc. Three dimensional integrated circuits
US9679914B2 (en) 2002-10-08 2017-06-13 Callahan Cellular L.L.C. Pads and pin-outs in three dimensional integrated circuits
US9070668B2 (en) 2002-10-08 2015-06-30 Yakimishu Co. Ltd. L.L.C. Pads and pin-outs in three dimensional integrated circuits
US7679399B2 (en) 2002-10-21 2010-03-16 Tier Logic, Inc. Programmable interconnect structures
US20090039917A1 (en) * 2002-10-21 2009-02-12 Raminda Udaya Madurawe Programmable Interconnect Structures
US20080068041A1 (en) * 2003-11-25 2008-03-20 Madurawe Raminda U Look-up table structure with embedded carry logic
US7466163B2 (en) 2003-11-25 2008-12-16 Tier Logic, Inc. Look-up table structure with embedded carry logic
US9882567B2 (en) 2003-12-04 2018-01-30 Callahan Cellular L.L.C. Programmable structured arrays
US20090134909A1 (en) * 2003-12-04 2009-05-28 Raminda Udaya Madurawe Programmable structured arrays
US10594320B2 (en) 2003-12-04 2020-03-17 Callahan Cellular L.L.C. Programmable structured arrays
US8274309B2 (en) 2003-12-04 2012-09-25 Raminda Udaya Madurawe Programmable structured arrays
US20060181308A1 (en) * 2003-12-04 2006-08-17 Raminda Udaya Madurawe Programmable structured arrays
US8810276B2 (en) 2003-12-04 2014-08-19 Raminda U. Madurawe Programmable structured arrays
US9397665B2 (en) 2003-12-04 2016-07-19 Callahan Cellular L.L.C. Programmable structured arrays
US7323905B2 (en) 2003-12-04 2008-01-29 Viciciv Technology Programmable structured arrays
US20060139054A1 (en) * 2003-12-24 2006-06-29 Madurawe Raminda U Look-up table structure with embedded carry logic
US20060132175A1 (en) * 2003-12-24 2006-06-22 Madurawe Raminda U Look-up table based logic macro-cells
US20070035329A1 (en) * 2003-12-24 2007-02-15 Madurawe Raminda U Look-up table based logic macro-cells
US20070139237A1 (en) * 2003-12-24 2007-06-21 Madurawe Raminda U Look-up table structure with embedded carry logic
US7176716B2 (en) 2003-12-24 2007-02-13 Viciciv Technology Look-up table structure with embedded carry logic
US7336097B2 (en) 2003-12-24 2008-02-26 Viciciv, Inc. Look-up table structure with embedded carry logic
US7239175B2 (en) 2003-12-24 2007-07-03 Viciciv Technology Look-up table based logic macro-cells
US7019557B2 (en) 2003-12-24 2006-03-28 Viciciv Technology Look-up table based logic macro-cells
US7208976B2 (en) 2003-12-24 2007-04-24 Viciciv Technology Look-up table based logic macro-cells
US7285984B2 (en) 2003-12-24 2007-10-23 Viciciv Technology Look-up table structure with embedded carry logic
US7265577B2 (en) 2004-01-05 2007-09-04 Viciciv Technology Integrated circuits with RAM and ROM fabrication options
US20070152707A1 (en) * 2004-01-05 2007-07-05 Madurawe Raminda U Integrated circuits with RAM and ROM fabrication options
US7176713B2 (en) 2004-01-05 2007-02-13 Viciciv Technology Integrated circuits with RAM and ROM fabrication options
US20050149896A1 (en) * 2004-01-05 2005-07-07 Madurawe Raminda U. Integrated circuits with RAM and ROM fabrication options
US20050180044A1 (en) * 2004-02-14 2005-08-18 Samsung Electronics Co., Ltd. Damping structure of a hard disk drive
US7489164B2 (en) 2004-05-17 2009-02-10 Raminda Udaya Madurawe Multi-port memory devices
US20080106953A1 (en) * 2004-05-17 2008-05-08 Madurawe Raminda U Multi-port memory devices
US7375552B1 (en) * 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
US20070210826A1 (en) * 2006-03-08 2007-09-13 Madurawe Raminda U Programmable logic devices comprising time multiplexed programmable interconnect
US7486111B2 (en) 2006-03-08 2009-02-03 Tier Logic, Inc. Programmable logic devices comprising time multiplexed programmable interconnect
USRE45110E1 (en) 2006-03-20 2014-09-02 Raminda Udaya Madurawe MPGA products based on a prototype FPGA
US20080024165A1 (en) * 2006-07-28 2008-01-31 Raminda Udaya Madurawe Configurable embedded multi-port memory
US7928764B2 (en) * 2006-08-31 2011-04-19 Agate Logic (Beijing), Inc. Programmable interconnect network for logic array
US20090261858A1 (en) * 2006-08-31 2009-10-22 Beijing Xizheng Microelectronics Co., Ltd. Programmable interconnect network for logic array
US20090128188A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Pad invariant FPGA and ASIC devices
US9978773B2 (en) 2007-11-19 2018-05-22 Callahan Cellular L.L.C. Pads and pin-outs in three dimensional integrated circuits
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US7812458B2 (en) 2007-11-19 2010-10-12 Tier Logic, Inc. Pad invariant FPGA and ASIC devices
US7635988B2 (en) 2007-11-19 2009-12-22 Tier Logic, Inc. Multi-port thin-film memory devices
US10304854B2 (en) 2007-11-19 2019-05-28 Callahan Cellular L.L.C. Pads and pin-outs in three dimensional integrated circuits
US20090129174A1 (en) * 2007-11-19 2009-05-21 Raminda Madurawe Multi-port thin-film memory devices
US20090128189A1 (en) * 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
US20090146189A1 (en) * 2007-11-19 2009-06-11 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US20090167348A1 (en) * 2007-12-26 2009-07-02 Nij Dorairaj Programmable latch based multiplier
US20090167347A1 (en) * 2007-12-26 2009-07-02 Nij Dorairaj Using programmable latch to implement logic
US20090167349A1 (en) * 2007-12-26 2009-07-02 Raminda Madurawe Programmable logic based latches and shift registers
US7795913B2 (en) 2007-12-26 2010-09-14 Tier Logic Programmable latch based multiplier
US7573294B2 (en) 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US20090167350A1 (en) * 2007-12-26 2009-07-02 Raminda Madurawe Programmable logic based latches and shift registers
US7602213B2 (en) 2007-12-26 2009-10-13 Tier Logic, Inc. Using programmable latch to implement logic
US7573293B2 (en) 2007-12-26 2009-08-11 Tier Logic, Inc. Programmable logic based latches and shift registers
US9087169B2 (en) 2008-09-14 2015-07-21 Raminda U. Madurawe Automated metal pattern generation for integrated circuits
US8159265B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Memory for metal configurable integrated circuits
US8159266B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Metal configurable integrated circuits
US8159268B1 (en) 2010-11-16 2012-04-17 Raminda Udaya Madurawe Interconnect structures for metal configurable integrated circuits

Also Published As

Publication number Publication date
US6331789B2 (en) 2001-12-18
US6236229B1 (en) 2001-05-22

Similar Documents

Publication Publication Date Title
US6331789B2 (en) Semiconductor device
US6791353B1 (en) Enhanced field programmable gate array
US6094065A (en) Integrated circuit with field programmable and application specific logic areas
JP2614169B2 (en) Programmable array logic and programmable logic
KR100893969B1 (en) Architecture for field programmable gate array
US5523706A (en) High speed, low power macrocell
EP0746107A2 (en) Programmable logic cell
US7161384B1 (en) Flexible macrocell interconnect
US20040070422A1 (en) Programmable logic devices having enhanced cascade functions to provide increased flexibility
JPH07273639A (en) Programmable logic array integrated circuit having cascade connection between logic modules
US5943488A (en) Method and apparatus to generate mask programmable device
US7358766B2 (en) Mask-programmable logic device with programmable portions
US5926035A (en) Method and apparatus to generate mask programmable device
US6362648B1 (en) Multiplexer for implementing logic functions in a programmable logic device
US7365567B2 (en) Three input field programmable gate array logic circuit configurable as a three input look up table, a D-latch or a D flip-flop
US5751162A (en) Field programmable gate array logic module configurable as combinational or sequential circuits
US20080224732A1 (en) Logic Modules for Semiconductor Integrated Circuits
EP1092268A2 (en) Interconnection and input/output resources for programmable logic integrated circuit devices
US5329181A (en) Complementary macrocell feedback circuit
JP3774234B2 (en) High-speed programmable logic architecture
US5489856A (en) Laser-programmable clocked-logic integrated-circuit

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:EASIC CORPORATION;REEL/FRAME:048559/0162

Effective date: 20190301