US20010034004A1 - Quartz window having reinforcing ribs - Google Patents

Quartz window having reinforcing ribs Download PDF

Info

Publication number
US20010034004A1
US20010034004A1 US09/839,157 US83915701A US2001034004A1 US 20010034004 A1 US20010034004 A1 US 20010034004A1 US 83915701 A US83915701 A US 83915701A US 2001034004 A1 US2001034004 A1 US 2001034004A1
Authority
US
United States
Prior art keywords
ribs
quartz window
target object
plate
quartz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/839,157
Other versions
US6435869B2 (en
Inventor
Masayuki Kitamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KITAMURA, MASAYUKI
Publication of US20010034004A1 publication Critical patent/US20010034004A1/en
Application granted granted Critical
Publication of US6435869B2 publication Critical patent/US6435869B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber

Definitions

  • the present invention relates to a window attached to a process chamber and, more particularly, to a quartz window attached to a process chamber for applying a thermal process to a substrate placed inside the process chamber by applying a heat through the quartz window.
  • the present invention is especially suitable for a window provided to a rapid thermal processing (RTP) apparatus, which is preferably used for a manufacturing process of semiconductor devices such as a memory device or an integrated circuit (IC).
  • RTP includes a rapid thermal annealing (RTA), a rapid thermal cleaning (RTC), a rapid thermal chemical vapor deposition (RTCVD), a rapid thermal oxidation (RTO), a rapid thermal nitriding (RTN), etc.
  • a semiconductor substrate such as a silicon wafer is repeatedly subject to various thermal processes or heat treatment processes.
  • the thermal processes may include a film deposition process, an annealing process, an oxidation diffusion process, a sputtering process, an etching process, a nitriding process, etc.
  • a conventional RTP apparatus generally comprises: a single-wafer process chamber in which an object to be processed, such as a semiconductor wafer, a glass substrate for photo-masking, a glass substrate for liquid-crystal display or a substrate for an optical disk, is placed; a quartz-glass window attached to the process chamber; a heating lamp such as a halogen lamp; and a reflector provided on an opposite side of the object to be processed with respect to the heating lamp.
  • the object to be processed may be referred to as a target object.
  • a plurality of halogen lamps are arranged so as to evenly heat the target object, and the reflector uniformly reflects an infrared light toward the target object.
  • the process chamber is typically provided with a gate valve on a sidewall thereof so as to let the target object transported therethrough. Additionally, a gas supply nozzle is connected to the sidewall of the process chamber so as to introduce a process gas used for a thermal processing.
  • the temperature of the target object influences a quality of the process (for example, a thickness of a deposited film in a film deposition process), the temperature must be accurately detected.
  • a temperature-measuring device is provided in the process chamber so as to measure the temperature of the target object.
  • the temperature-measuring device may be comprised of a thermocouple.
  • the thermocouple may contaminate the target object due to a metal constituting the thermocouple since the thermocouple must be brought into contact with the target object.
  • a pyrometer has been suggested, such as disclosed in Japanese Laid-Open Patent Application No. 11-258051, as a temperature-measuring device for measuring a temperature of the target object.
  • the pyrometer calculates a temperature of the target object by converting an emissivity ⁇ into a temperature, the emissivity ⁇ being calculated by the following equation (1) based on an intensity of radiation of infrared light radiated from a back surface of the target object.
  • the target object is introduced into the process chamber through the gate valve, and supported by a holder on its periphery.
  • a process gas such as nitrogen or oxygen is introduced into the process chamber through gas supply nozzles.
  • the target object absorbs an infrared light radiated by the halogen lamp, thereby increasing the temperature of the target object.
  • An output of the halogen lamp is feedback-controlled in accordance with a result of measurement of the temperature-measuring device.
  • the conventional quartz window has a thickness as large as several millimeters, there are following problems.
  • a deposition film or a reaction by-product may deposit on the surface of the quartz window due to an increase in the temperature of the quartz window, which may deteriorate reproducibility of a process temperature and increase a frequency of cleaning operations of the process chamber.
  • the thickness of the process chamber is reduced, absorption of the light radiated from the lamp by the quartz window can be reduced.
  • a more specific object of the present invention is to provide a quartz window, which can withstand a pressure difference between an atmospheric pressure and a negative pressure environment created in a thermal processing apparatus that applies a thermal process to a target object under the negative pressure environment.
  • Another object of the present invention is to provide a quartz window, which absorbs a relatively small amount of heat radiated from a heat source.
  • the plate of the quartz window has a reduced thickness since the ribs reinforce the plate.
  • the overall thickness of the quartz plate is reduced, which improves the directivity of heat supply by the radiation heat source to the object to be processed. Additionally, an amount of heat absorbed by the quartz window is reduced.
  • the ribs may be made of quartz, and the ribs may be integrally formed with the plate.
  • the ribs may include a plurality of radial ribs extending in radial directions of the plate.
  • the radial ribs may be symmetrically arranged with respect to the center of the plate.
  • the ribs may include a plurality of circumferential ribs extending in circumferential directions of the plate. The circumferential ribs may be concentrically arranged.
  • the plate of the quartz window preferably has a thickness equal to or smaller than 10 mm.
  • Each of the ribs preferably has a thickness equal to or less than 10 mm and a height equal to or less than 15 mm.
  • the ribs may have openings to let air pass therethrough.
  • a thermal processing apparatus comprising: a process chamber in which an object to be processed is placed; an exhaust part connected to the process chamber so as to evacuate gas inside the process chamber; radiation heat sources which apply heat to the object placed in the process chamber; and a quartz window situated between the radiation heat source and the object, wherein the quartz window has a plurality of ribs formed on a quartz plate so as to reinforce the quartz plate.
  • the plate of the quartz window has a reduced thickness since the ribs reinforce the plate.
  • the overall thickness of the quartz plate is reduced, which improves the directivity of heat supply by the radiation heat source to the object to be processed. Additionally, an amount of heat absorbed by the quartz window is reduced.
  • the ribs may be made of quartz, and the ribs may be integrally formed with the plate.
  • the ribs may include a plurality of radial ribs extending in radial directions of the plate, and the radial ribs may be symmetrically arranged with respect to the center of the plate.
  • the ribs may include a plurality of circumferential ribs extending in circumferential directions of the plate, and the circumferential ribs may be concentrically arranged.
  • the thermal processing apparatus may further comprise a reflector which reflects a heat radiated from the radiation heat source toward the object through the quarts window, and the reflector has accommodating parts accommodating the radiation heat source and a plurality of extending parts extending toward the plate of the quartz window, the extending parts being engaged with the respective ribs of the quartz window.
  • the reflector Since the reflector has grooves that receive the respective ribs of the quartz window, the overall thickness of the structure including the quartz window and the reflector is reduced, which reduced a distance between the radiation heat source and the object to be processed. Additionally, the ribs of the quartz window engage with the inner walls of the grooves when the quartz window is bent due to a pressure difference caused by a vacuum created in the process chamber. Thereby, the quartz window is prevented from being further deformed, which further reduces the thickness of the quartz window.
  • each of the extending parts may have a groove which receives a respective one of the ribs of the of the quartz window.
  • Each of the ribs may protrude into a respective one of the accommodating parts so that each of the extending parts of the reflector is positioned between adjacent ones of the ribs.
  • FIG. 1 is an illustrative cross-sectional view of a thermal processing apparatus according to an embodiment of the present invention
  • FIG. 3 is an enlarged cross-sectional view of the quartz window
  • FIG. 4 is an illustration of a double end type lamp
  • FIG. 5 is an illustrative cross-sectional view of a part of a reflector with the quartz window and lamps for explaining an influence of the light projected from the lamps;
  • FIG. 6 is an enlarged cross-sectional view of a part of a reflector
  • FIG. 8 is a plan view of the quartz window with lamps 130 arranged between ribs of the quartz window;
  • FIG. 9 is an enlarged cross-sectional view of a part of the structure shown in FIG. 8;
  • FIG. 10 is a cross-sectional view of a part of the reflector with the double end type lamps being replaced by single end type lamps;
  • FIG. 11 is a plan view of the reflector with the double end type lamps being replaced by the single end type lamps;
  • FIG. 12 is an enlarged cross-sectional view of a variation of the structure including a part of a quartz window and a part of a reflector shown in FIG. 6;
  • FIG. 13 is an enlarged cross-sectional view of a radiation thermometer shown in FIG. 1 and a part near the radiation thermometer;
  • FIG. 14 is an illustrative plan view of a chopper of the radiation thermometer
  • FIG. 15 is a graph showing a relationship between a temperature of the target object and a temperature of the center of the target object;
  • FIG. 16 is a graph showing a relationship between a temperature of the target object and a temperature of an edge of the target object;
  • FIG. 17 is an illustrative cross-sectional view for explaining errors contained in the measurement of the temperature of the target object
  • FIG. 18 is a graph showing a relationship between a real temperature of the target object and a temperature of the center of the target object obtained by the radiation thermometer shown in FIG. 1;
  • FIG. 19 is a graph showing a relationship between a real temperature of the target object and a temperature of an edge the target object obtained by the radiation thermometer shown in FIG. 1;
  • FIG. 20 is a graph showing a result of simulation with respect to a cooling rate of the target object
  • FIG. 21 is an illustrative cross-sectional view of a thermal processing apparatus having a bottom part that is movable relative to the target object;
  • FIG. 22 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object and the bottom part when the target object is subject to a heating process;
  • FIG. 23 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object and the bottom part when the target object is subject to a cooling process;
  • FIG. 24 is an illustrative enlarged cross-sectional view of the bottom part shown in FIG. 23 for explaining the supply of helium gas.
  • FIG. 1 is an illustrative cross-sectional view of the thermal processing apparatus 100 according to an embodiment of the present invention.
  • the thermal processing apparatus comprises a process chamber 110 , a quartz window 120 , a heating lamp 130 , a reflector 140 , a support ring 150 , a bearing 160 , a permanent magnet 170 , a gas introducing part 180 , an exhaust part 190 , a radiation thermometer 200 and a control unit 300 .
  • the process chamber 110 is formed of stainless steel or aluminum, and is connected with the quartz window 120 .
  • a sidewall 112 of thee process chamber 110 and the quartz window 120 together define a process space for applying a thermal process to a target object W.
  • a support ring 150 and a support part connected to the support ring are situated in the process space.
  • the target object W such as a semiconductor wafer is placed on the support ring 150 .
  • the gas introducing part 180 and the exhaust part 190 are connected to the sidewall 112 of the process chamber 110 .
  • the process space is maintained at a negative pressure environment by being evacuated through the exhaust part 190 . It should be noted that a gate valve through which the target object W is transported is omitted in FIG. 1.
  • a bottom part 114 of the process chamber 110 is connected to cooling pipes 116 a and 116 b (hereinafter, simple referred as cooling pipe 116 ) so that eh bottom part 114 can serve as a cooling plate.
  • a temperature control arrangement may be provided to the cooling plate 114 .
  • the temperature control arrangement may comprise a control unit 300 , a temperature sensor and a heater, and cooling water is supplied thereto from a water source such as a water line.
  • a water source such as a water line.
  • other kinds of coolant such as alcohol, gulden or chlorofluorocarbon may be used.
  • a known sensor such as a PTC thermistor, an infrared sensor or a thermocouple can be used.
  • the heater may be a heater wire wound on a periphery of the cooling pipe 116 so that a temperature of water flowing through the cooling pipe 116 is adjusted by controlling a current supplied to the heater wire.
  • the quartz window 120 is mounted to the process chamber 110 in airtight manner so as to maintain the pressure difference between the negative pressure in the process chamber 110 and an atmosphere while transmitting a heat radiation light projected from the lamp 130 .
  • the quartz window 120 comprises a circular quartz plate 121 and ribs 122 .
  • the quartz plate 121 has a radius of about 400 mm and a thickness of about 2 mm to 6 mm.
  • the thickness of the quartz plate is preferably equal to or less than 10 mm so as to reduce the overall thickness of the quartz window 120 .
  • FIG. 2 is a plan view of the quartz window 120 .
  • FIG. 3 is an enlarged cross-sectional view of the quartz window 120 with the lamps 130 and the reflector 140 .
  • the ribs 122 include circumferential ribs 124 and radial ribs 126 .
  • Each of the circumferential ribs 124 extends in a circumferential direction so as to reinforce the quartz window 120 in the circumferential direction.
  • Each of the radial ribs 126 extends in a radial direction so as to reinforce the quartz window 120 in the radial direction.
  • Air passages 128 are formed at predetermined positions of the circumferential ribs 124 so as to supply cooling air for cooling the quartz window 120 and the lamps 130 .
  • the thickness of each of the circumferential ribs 124 and the radial ribs 126 is preferably equal to or less than 10 mm, and more preferably 2 mm to 6 mm.
  • each of the circumferential ribs 124 and the radial ribs 126 is preferably equal to or greater than 15 mm.
  • the ribs 124 and 126 face the lamps 130 in the present embodiment, the ribs 124 and 126 may be provided on the side of quartz window 120 opposite to the lamps 130 , or may be provided both sides of the quartz window 120 .
  • the quartz plate 121 is reinforced by the ribs 122 , the quarts plate 121 is not required to be bent in a direction away from the process chamber 110 . That is, the quartz plate 121 can be a flat shape. As a result, the quartz plate 121 can be more easily produced than a conventional quarts plate.
  • the ribs 122 are integrally formed with the quartz plate 121 . However, the ribs 122 may be welded to the quartz plate 121 .
  • the overall thickness of the quartz window 120 is less than the thickness of a conventional quartz window which is about several ten millimeters (about 30 mm to 40 mm).
  • the quartz window 120 has an advantage over the conventional quartz window in that an amount of light projected by the lamps 130 absorbed by the quartz window 120 is small.
  • the quartz window 120 has the following advantages.
  • the a rapid temperature increase can be achieved with a reduced power consumption since the irradiation efficiency of the light projected from the lamps 13 to the target object is improved.
  • the quartz window 120 is hardly broken since the temperature difference (that is, a difference in thermal stress) between the top and bottom surfaces thereof can be maintained less than that of the conventional quartz plate. This effect is also provided to the ribs 122 .
  • a film or a by-product material is prevented from being deposited on the quartz window 120 since the temperature of the quartz window 120 is lower than the conventional quartz window. Accordingly, the temperature repeatability is maintained, and a frequency of cleaning operations for the process chamber 110 can be reduced.
  • Each of the lamps 130 can be a double end type a single end type.
  • the lamps 130 may be replaced by electric wire heaters or other heat radiating sources.
  • FIG. 4 is an illustration of the double end type lamp 130 which has two opposite end electrodes 132 .
  • the single end type lamp has a shape similar to a light bulb having a single end electrode.
  • the lamps 130 serve as a heat source for heating the target object.
  • the lamps 130 can be halogen lamps in the present embodiment, but not limited to the halogen lamps.
  • the output of each of the lamps 130 is determined by a lamp driver 310 , which is controlled by the control unit 300 , as described later, so as to supply an appropriate power to each of the lamps 130 .
  • each of the lamps 130 has the two opposite end electrodes 132 and a lamp house 134 .
  • the lamp house 134 has a filament connected to the two electrodes 132 .
  • the power supplied to the electrodes 132 is determined by the lamp driver 310 which is controlled by the control unit 300 .
  • a part between the each of the electrodes 132 and the lamp driver 310 is sealed by a seal part 136 as described later.
  • the lamp house 134 comprises an arc-like horizontal part 134 b and two vertical part 134 a extending from opposite ends of the horizontal part 134 b in a direction perpendicular to the horizontal part 134 b .
  • the length of the horizontal part 134 b is determined so that the horizontal part 134 b can be accommodated between the adjacent circumferential ribs 124 forming concentric circles and between the radial ribs 126 .
  • each of the lamps 130 does not always completely cover the space between the adjacent radial ribs 126 , and the lamps 130 can be arranged with a predetermined interval.
  • the lamps 130 are concentrically arranged in response to the circular target object W.
  • a plurality of lamps 130 each having an ark-like shape and having the same radius with respect to the center of the quartz window 120 are arranged.
  • a plurality of lamps 130 having different radiuses are arranged.
  • the present invention doe not excludes the use of a double end type lamp having a straight horizontal part.
  • the shapes of the ribs 122 may be changed so that the lamps can be accommodated.
  • the lamps 130 according to the present embodiment is superior to the double end type lamp having a straight horizontal part since the double end type lamp having a straight horizontal part covers a wide area of the target object W and is positioned to traverse the surface of the target object W. That is, the double end type lamp having a straight horizontal part has a lower directivity, and is difficult to perform a control on an individual area basis.
  • the lamps 130 according to the present embodiment are arranged substantially in a concentric manner, the temperature control on an individual area basis can be easily achieved, thereby providing a good directivity. Thus, a direct projection onto the target object W can be efficiently performed.
  • the reflector 140 has a function to reflect the heart radiation light of the lamps 130 .
  • the reflector 140 has a plurality of vertical holes 142 into which the vertical parts 134 of the lamps 130 are inserted. Additionally, the reflector 140 has a plurality of concentrically arranged horizontal grooves 144 on the bottom thereof so as to accommodate the horizontal parts 134 b of the lamps 130 .
  • a cooling pipe (not shown in the figure) is provided on or in the top portion of the reflector 140 . As shown in FIG. 3, the reflector 140 has horizontal parts 145 that face the respective ribs 122 of the quartz window 120 .
  • FIG. 5 is an illustrative cross-sectional view of a part of the reflector 140 with the quartz window 120 and the lamps 130 for explaining an influence of the light projected from the lamps 130 .
  • the length of an optical path 2 within the rib 122 is longer than the length of an optical path 1 within the quartz plate 121 .
  • the rib 122 absorbs more heat than the quartz plate 121 .
  • there is a difference in temperature between the quartz plate 121 and the ribs 122 and a crack may occur in a connecting portion 123 between the quartz plate 121 and the ribs 122 due to a difference in thermal expansion between the quartz plate 121 and the ribs 122 .
  • Such a problem may be solved by adjusting the thickness of the ribs 122 .
  • such a problem can be solved by using a reflector 140 A shown in FIG. 6.
  • FIG. 6 is an enlarged cross-sectional view of a part of the reflector 140 A.
  • the reflector 140 A is different from the reflector 140 in that the reflector 140 A has grooves 144 A, which are deeper than the grooves 144 , thereby forming extending parts 147 that extend toward the quartz plate 121 .
  • a slit or groove 146 is formed in each of the extending parts 147 so as to accommodate a respective one of the ribs 122 . According to the reflector 140 A, the light projected from the lamps 130 is prevented from being directly incident on the ribs 122 since the ribs 122 are inserted into the respective grooves 146 .
  • the structure of the reflector 140 A has an advantage that the quartz window 120 is prevented from being deformed and broken due to an atmospheric pressure when a vacuum is formed in the process chamber 110 since the ribs 122 of the quartz window 120 are brought into contact with inner walls of grooves 146 when the quartz window 120 is deformed as shown in FIG. 7.
  • FIG. 7 is an enlarged cross-sectional view of the reflector with the quartz window 120 being deformed by a pressure exerted on the quartz window 120 .
  • the reflector may have protrusions to support the ribs 122 so as to strengthen the quartz window 120 .
  • FIG. 8 is a plan view of the quartz window 120 with lamps 130 arranged between the ribs 122 .
  • FIG. 9 is an enlarged cross-sectional view of a part of the structure shown in FIG. 8.
  • Cooling air passes through the air passages 128 as shown in FIG. 8. Circles shown in FIG. 8 indicate positions of the sealing parts 136 of the lamps 130 . An electric power is supplied to each of the lamps 130 through the electrode 132 and the sealing part 136 provided in the vertical part 134 a of the lamp house 134 . The electrode 132 and the sealing part 136 are positioned within a through hole 142 formed in the reflector 140 A. The cooling air passes through the through hole 142 so as to effectively cool the sealing part 136 . It should be noted that a cooling air introducing means is not indicated in FIG. 1.
  • the double end type lamps 130 may be replaced by single end type lamps as shown in FIGS. 10 and 11.
  • FIG. 10 is a cross-sectional view of a part of the reflector 140 A with the double end type lamps 130 being replaced by single end type lamps 130 A.
  • FIG. 11 is a plan view of the reflector 140 A with the double end type lamps 130 being replaced by the single end type lamps 130 A.
  • the single end type lamps 130 A provide a good directivity and controllability of the heat radiation light.
  • FIG. 12 is an enlarged cross-sectional view of a structure including a part of a quartz window 120 A and a part of a reflector 140 B.
  • the reflector 140 B has grooves 144 A, which accommodate the respective lamps 130 .
  • the reflector 140 A also has the extending parts 147 that extend toward the quartz plate 121 of the quartz window 120 A.
  • each of the extending parts 147 of the reflector 140 B is positioned between the adjacent ones of the ribs 122 A.
  • FIG. 13 is an enlarged cross-sectional view of the radiation thermometer 200 and a part near the radiation thermometer 200 .
  • FIG. 14 is an illustrative plan view of a chopper 230 of the radiation thermometer 200 .
  • the radiation thermometer 200 is provided on the side opposite to the lamps 130 with respect to the target object W.
  • the present invention does not exclude a structure in which the radiation thermometer 200 and the lamps 130 are provided on the same side with respect to the target object W. However, it is preferable that the light projected from the lamps 130 is prevented from being incident on the radiation thermometer 200 .
  • the radiation thermometer 200 is mounted on a bottom part 114 of the process chamber 110 .
  • a surface 114 a of the bottom part 114 of the process chamber 110 is provided with gold plating or the like so that the surface 114 a serves as a reflecting surface (high-reflectance surface). If the surface 114 a is a low-reflectance surface such as a black surface, the surface 144 a absorbs heat radiated by the target object W, which renders an output of the lamps 130 being undesirably increased.
  • the radiation thermometer 200 comprises a rod 210 , a casing 220 , a chopper or sector 230 , a motor 240 , a lens 250 , an optical fiber 260 and a radiation detector 270 .
  • the rod 210 is inserted into a cylindrical through hole 115 formed in the bottom part 114 of the process chamber 110 .
  • the rod 210 is made of sapphire or quartz. Sapphire or Quartz is used because of its good heat resistance and good optical characteristic as described later. However, the rod 210 is not limited to the sapphire or quartz. Since the rod 210 has a good heat resistance, there is no need to provide a cooling arrangement to cool the rod 210 , which contributes miniaturization of the apparatus 100 .
  • the rod 210 may be projected by a predetermined distance toward an interior of the process chamber 110 , if necessary. Rod 210 is inserted into the through hole 115 provided in the bottom part 114 of the process chamber 110 , and sealed by an O-ring 190 . Thereby, the process chamber 110 can be maintained at a negative pressure although the through hole 115 is formed in the bottom part 114 of the process chamber 110 .
  • the rod 210 can contain the heat radiation light incident thereon, and guides the heat radiation light to the casing 230 with less attenuation. Accordingly, the rod 210 has a superior light gathering efficiency. Additionally, the rod 210 enables a multiple reflection of the radiation light between a high-reflectance surface 232 of the chopper 230 and the target object W. The temperature of the target object W can be accurately measured by positioning the rod 210 close to the target object W.
  • the rod 210 enables separation of the casing 220 from the target object W.
  • the rod 210 can omit a cooling arrangement to cool the casing 220 , and contributes to miniaturization of the apparatus 100 . If the cooling arrangement to cool the casing 220 is provided, the rod 210 can minimize a power supplied to the cooling arrangement of the rod 210 .
  • the rod 210 according to the present embodiment can be made of quartz or sapphire with a multi-core optical fiber.
  • the multi-core optical fiber is provided between the quartz or sapphire rod and the chopper 230 .
  • the rod 210 is provided with flexibility, which increases a freedom in positioning the radiation thermometer 200 .
  • a main body or the casing 220 of the radiation thermometer 200 can be separated from the target object W, each part of the radiation thermometer 200 is prevented from being deformed sue to influence of the temperature of the target object W, thereby maintaining an accurate measurement of the temperature of the target object W.
  • the casing 220 has a substantially cylindrical shape, and is provided on the bottom part 114 so as to cover the through hole 115 .
  • the chopper 230 has a disk-like shape, and is positioned vertically so that a part of the chopper 230 is positioned under the through hole 115 within the casing 220 .
  • the chopper 230 is connected to a rotation axis of the motor 240 at the center thereof so as to be rotated by the motor 240 .
  • the surface of the chopper 230 is divided into four equal parts including two high-reflectance surfaces 232 and two low-reflectance surfaces 234 .
  • the surfaces 232 and 234 are alternatively arranged, and each of the surfaces 232 and 234 has a slit 231 .
  • the high-reflectance surfaces 232 are formed, for example, by aluminum or gold plating.
  • the low-reflectance surfaces 234 are formed, for example, by black painting.
  • Each of the high-reflectance surfaces 232 has a measurement area 232 a corresponding to the slit 231 and a measurement area 232 b other than the slit 231 .
  • each of the low-reflectance surfaces 234 has a measurement area 234 a corresponding to the slit 231 and a measurement area 234 b other than the slit 231 .
  • the chopper 230 may have a structure other than the structure shown in FIG. 14.
  • the chopper may have a semicircular high-reflectance surface with the slit 231 .
  • the chopper may be divided into four or six equal parts with the high-reflectance surface with the slits 231 and notch portions arranged alternately.
  • the slit may 231 be provided only to the high-reflectance surfaces.
  • the high-reflectance surface 232 and the low-reflectance surface 234 alternately appear under the rod 210 .
  • the high-reflectance surface 232 is positioned under the rod 210
  • a large par of the light propagated through the rod 210 is reflected by the high-reflectance surface 232 , and propagates again through the rod 210 and projected onto the target object W.
  • the low-reflectance surface 234 is positioned under the rod 210 , a large part of the light propagates through the rod 210 is absorbed by the low-reflectance surface 234 .
  • the slits 231 guide the radiation light from the target object W or multi-reflected light to the detector 270 .
  • the detector 270 comprises an image forming lens (not shown in the figure), Si-photocell and amplification circuit.
  • the radiation light incident on the image forming lens is supplied to the control unit 300 after converting into an electric signal representing radiation intensities E 1 (T) and E 2 (T) as described later.
  • the control unit 300 has a CPU and a memory so as to calculate the emissivity ⁇ and the temperature T of the target object W in accordance with the radiation intensities E 1 (T) and E 2 (T). It should be noted that the calculation can be performed by an arithmetic unit (not shown in the figure) of the radiation thermometer 200 .
  • the light passed through the slit 231 is gathered by the lens 250 , and is transmitted to the detector 270 by the optical fiber 260 .
  • the radiation intensities at the high-reflectance surface 232 and the low-reflectance surface 234 are represented by the following equations (2) and (4), respectively.
  • E 1 (T) is a radiation intensity of the high-reflectance surface 232 at the temperature T obtained by the detector 270 ;
  • R is an effective reflectance of the high-reflectance surface 232 ;
  • is a reflectance of the target object W;
  • E BB (T) is a radiation intensity of a black body at the temperature T.
  • the equation (2) is obtained by the following equation (3). It is assumed that the target object W had no heat radiation.
  • E 2 (T) is a radiation intensity of the low-reflectance surface 234 at the temperature T obtained by the detector 270 .
  • the equation (49 is obtained from the prank Planck's law.
  • the emissivity ⁇ is represented by the following equation (5).
  • spectral concentration of a radiant emittance of an electromagnetic wave radiated by a black body can be given by the prank Planck's law.
  • the relationship between the temperature T of the black body and the radiation intensity E BB (T) can be represented by the following equation (6) and (7) by using constants A, B and C which are determined by an optical system of the radiation thermometer 200 .
  • C 2 is a second constant of radiation.
  • the detector 270 or the control unit 300 can obtain the radiation intensity E BB (T), and thereby the temperature T can be obtained by entering the radiation intensity E BB (T) in the equation (7).
  • the control unit 300 can obtain the temperature T of the target object W.
  • the temperature obtained by the equation (7) includes an error of about 20° C. to 40° C., as shown in FIGS. 15 and 16, in comparison with the real temperature of the target object W.
  • FIG. 15 is a graph showing a relationship between a temperature of the target object W and a temperature of the center of the target object W obtained by the radiation thermometer 200 using the equation (1).
  • FIG. 16 is a graph showing a relationship between a temperature of the target object W and a temperature of an edge of the target object W obtained by the radiation thermometer 200 using the equation (1).
  • the inventors of the present invention considered the reason for the error, and found that some errors must be taken into consideration when the equation (1) is used for measuring the temperature of the target object W. Additionally, as shown in FIG. 17, the errors include: 1) a multi-reflected light J which is radiated by the target object W and reflected by the surface 114 a; 2) a light K radiated by the target object W; 3) a transmission loss L due to reflection at an edge of the rod 210 ; and 4) an absorption loss M of the rod 210 .
  • the light J and the light K may be referred to as stray light.
  • FIG. 17 is an illustrative cross-sectional view for explaining errors contained in the measurement of the temperature of the target object which measurement is obtained by using the equation (1).
  • E m ( T ) G ⁇ [ ⁇ /[ (1 ⁇ (1 ⁇ )) ⁇ ] ⁇ E BB ( T )+ S ) (8)
  • the error 1) caused by the multi-reflected light J is corrected by ⁇ /[(1 ⁇ (1 ⁇ )); the error 2) caused by the light K radiated by the target object W is corrected by S; the error 3) caused by the transmission loss L due to reflection at an edge of the rod and the fiber is corrected by ⁇ ; and the error 4) caused by the absorption loss M is corrected by G (gain).
  • the result of temperature calculation based on the equation (1) can be approximated by adopting not all but at least one of the above-mentioned corrections.
  • the temperature measurement calculation program using the equation (8) or the equation (8) adopting at least one of the corrections may be stored in a computer readable medium such as a floppy disk or a CD-ROM.
  • the program can be distributed through a communication network such as the Internet.
  • FIGS. 18 and 19 show graphs in which a temperature measured by using the equation (8) is compared with a real temperature of target object W. More specifically, FIG. 18 is a graph showing a relationship between the real temperature of the target object W and the temperature of the center of the target object W obtained by the radiation thermometer 200 using the equation (8). FIG. 19 is a graph showing a relationship between the real temperature of the target object W and the temperature of an edge the target object W obtained by the radiation thermometer 200 using the equation (8). It can be interpreted from FIGS. 18 and 19 that the difference between the real temperature and the temperature measured by the radiation thermometer 200 using the equation (8) can be maintained within a range of ⁇ 3° C.
  • the control unit 300 is provided with a CPU and a memory inside thereof so as to feedback-control the output of the lamps 130 by detecting the temperature T of the target object W and controlling the lamp driver 310 . Additionally, the control unit 300 sends a drive signal to the motor driver 320 at a predetermined timing so as to control a rotation speed of the target object W.
  • the gas introducing part 180 includes, for example, a gas supply source (not shown in the figure), a flow adjust valve, a mass-flow controller, a gas supply nozzle and a gas supply passage connecting the aforementioned parts.
  • the gas introducing part 180 introduces a process gas used for heat treatment into process chamber 110 .
  • the gas introducing part 180 is provided to the sidewall 112 of the process chamber 110 in the present embodiment, the position of the gas introducing part 180 is not limited to the side of the process chamber 110 .
  • the gas introducing part 180 may be constituted as a showerhead, which introduces a process gas from an upper portion of the process chamber 110 .
  • the mass-flow controller controls a flow rate of the process gas.
  • the mass-flow controller comprises, for example, a bridged circuit, an amplification circuit, a comparator control circuit, a flow adjust valve, etc.
  • the mass-flow controller measures a flow rate by detecting a heat transfer form an upstream to a downstream of the gas flow so as to control the flow adjust valve.
  • the gas supply passage may be made of a seamless pipe and a bite type coupling or a metal gasket coupling is used so as to prevent impurities from entering the process gas to be supplied through the gas supply passage.
  • the pipe is made of a corrosion resistant material or the inner wall of the pipe is covered by an insulating material such as PTFE (Teflon), PFA, polyimide, PBI or the like.
  • an electro polishing may be applied to the inner wall.
  • a dust particle trap filter may be provided to the gas introducing part 180 .
  • the exhaust part 190 is provided substantially parallel to the gas introducing part 180 in the present embodiment.
  • a desired vacuum pump such as a turbomolecular pump, a sputter-ion pump, a getter pump, a sorption pump or a cryostat pump is connected to the exhaust part 190 together with a pressure adjust pump.
  • the process chamber 110 is maintained at a negative pressure in the present embodiment, the present invention does not always require such a negative pressure environment.
  • the present invention may be applicable to an apparatus, which perform a process under a pressure ranging from 133 Pa to an atmospheric pressure.
  • the exhaust part 190 also has a function to evacuate helium gas before a subsequent process is started.
  • FIG. 20 is a graph showing a result of simulation with respect to a cooling rate of the target object W.
  • a gap means a distance between the target object W and the bottom part 114 of the process chamber 110 . It can be appreciated from FIG. 20 that: 1) the cooling rate increases as the gap decreases; and 2) the cooling rate remarkably increases by supplying helium gas having a high-thermal conductivity to a space between the target object W and the bottom part 114 .
  • an upper surface of the target object W is heated by the lamps 130 and the bottom part 114 serving as a cooling plate faces a lower surface of the target object W. Accordingly, the structure shown in FIG. 1 has a high cooling rate, but requires a large power to rapidly increase the temperature of the target object W since the heat radiated from the target object W is large. In order to decrease the heat radiation from the target object W, the supply of the cooling water 116 to the cooling pipe 116 may be stopped. However, this method is not preferable since a total process time is increased, which decreases yield rate.
  • the bottom plate 114 serving as a cooling plate may be replaced by a bottom part 114 A, which is movable relative to the target object W. More preferably, helium gas having a high thermal conductivity is supplied to a space between the target object W and the bottom part 114 A so as to increase a cooling efficiency.
  • FIG. 21 is an illustrative cross-sectional view of the thermal processing apparatus having the bottom part 114 A that is movable relative to the target object W.
  • FIG. 22 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object W and the bottom part 114 A when the target object W is subject to a heating process.
  • FIG. 21 is an illustrative cross-sectional view of the thermal processing apparatus having the bottom part 114 A that is movable relative to the target object W.
  • FIG. 22 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the
  • FIG. 23 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object W and the bottom part 114 A when the target object W is subject to a cooling process. It should be noted that in FIGS. 21 through 23, the radiation thermometer 200 and the cooling pipe 116 are omitted for the sake of simplification of the figure.
  • the bottom part 114 A is vertically movable relative to the target object W.
  • a bellows 117 is provided between the sidewall 112 of the process chamber 110 and the bottom part 114 A so that a negative pressure can be maintained in the process chamber 110 .
  • the bottom part 114 A is vertically moved by a vertical moving mechanism 118 , which can be any conventional moving mechanism. It should be noted that the, instead of moving the bottom part 114 A, the target object W or the support ring 150 may be moved relative to the bottom part 114 A. When eating the target object W, the bottom part 114 A is moved away from the target object W, as shown in FIG. 22, and the supply of helium gas is stopped.
  • a distance between the target object W and the bottom part 114 A is, for example, 10 mm. Since the distance between the target object W and the bottom part 114 A is large, the target object W hardly receives an influence of the bottom part 114 A, thereby enabling a rapid temperature rise.
  • the position of the bottom part 114 A shown in FIG. 22 is set as a home position.
  • FIG. 24 is an illustrative enlarged cross-sectional view of the bottom part 114 A for explaining the supply of helium gas. As shown in FIG.
  • the bottom part 114 A is provided with many small holes 115 a and a case 410 is mounted to the bottom surface of the bottom part 114 A so as to introduce the helium gas into a space between the target object W and the bottom part 114 A.
  • a case 410 is provided with a valve 400 which is connected to a helium gas supply pipe (not shown in the figure).
  • the present embodiment is directed to a relative movement of the bottom part (cooling plate) 114 A and the target object W
  • the present invention is *applicable to a relative movement between the lamps 130 and the target object W.
  • Such an uneven temperature distribution may be caused by an uneven distribution of irradiation by the lamps 130 , or caused by a removal of heat from the surface of the target object W by the process gas introduces into a space near the gas introducing part 180 .
  • the rotating mechanism allows the target object W to be uniformly heated by the lamps 130 by horizontally rotating the target object W.
  • the rotating mechanism of the target object W comprises a support ring 150 , an annular permanent magnet 170 , an annular magnetic member 172 , a motor driver 320 and a motor 330 .
  • the support ring 150 is made of, for example, a ceramic material having a heat resistance such as SiC.
  • the support ring 150 serves as a stage on which the target object W is placed.
  • the support ring 150 may have an electrostatic chuck or a clamp mechanism so as to fix the target object W thereto.
  • the support ring 150 prevents deterioration of the uniform heating due to heat released from an edge of the target object W.
  • An outer periphery of the support ring 150 is connected to a support part 152 .
  • a thermal insulating member such as a quartz glass is interposed between the support ring 150 and the support part 152 so as to thermally protect the magnetic member 172 .
  • the support part 152 of the present embodiment is constituted by an opaque quartz member having a hollow cylindrical shape.
  • a bearing 160 is fixed to the support member 152 and the inner wall 112 of the process chamber 110 so as to enable the support member 152 to rotate while the process chamber 110 is maintained at a negative pressure.
  • the magnetic member 172 is provided on an end of the support part 152 .
  • the annular permanent magnet 170 and the magnetic member 172 are magnetically coupled, and the permanent magnet is rotated by the motor 330 .
  • the motor 330 is driven by the motor driver 320 , which is controlled by the control unit 300 .
  • the magnetically coupled magnetic member 172 rotates together with the support part 152 , thereby rotating the support ring 150 and the target object W.
  • the rotation speed is 90 RPM in this embodiment.
  • the rotation speed may be determined based on the material and size of the target object W or a kind or temperature of the process gas so that a uniform temperature distribution is achieved in the target object W and a turbulent flow of the gas in the process chamber 110 is prevented.
  • the permanent magnet 170 and the magnetic member 172 can be reversed as long as they are magnetically coupled, or both members may be magnets.
  • a transport arm of a cluster tool (not shown in the figure) carry the target object W in the process chamber 110 through a gate valve (not shown in the figure).
  • a lifter pin vertically moving system (not shown in the figure) moves lifter pins (for example, three pins) so as to support the target object W thereon.
  • the support of the target object W is shifted from the transport arm to the lifter pins.
  • the transport arm returns through the gate valve. Thereafter, the gate valve is closed, and the transport arm may move to the home position.
  • the lifter pin vertically moving system returns the lifter pins below the support ring 150 so that the target object W is placed on the support ring 150 .
  • the lifter pin vertically moving system uses a bellows (not shown in the figure) so as to maintain the process chamber at a negative pressure while the lifter pins are vertically moved and prevent the atmosphere inside the process chamber 110 from flowing out of the process chamber 110 .
  • the control unit 300 controls the lamp driver 310 to drive the lamps 130 .
  • the lamp driver 310 drives the lamps 130 so as to heat the target object W at 800° C. for example.
  • a heat radiation of the lamps 130 passes through the quartz window 120 and is irradiated onto the upper surface of the target object W so as to rapidly raise the temperature of the target object W at a heating rate of about 200° C./sec.
  • a peripheral portion of the target object W releases a larger amount of heat than the center portion thereof.
  • the lamps 130 according to the present embodiment are concentrically arranged, which enables a local control of the power provided to the lamps 130 , so as to provide a sharp directivity and temperature controllability. If the apparatus 100 uses the structure shown in FIG.
  • the bottom part 114 A is at the home position as shown in FIG. 21. Since the target object W is distant from the bottom part 114 A (cooling plate) in the structure shown in FIG. 21, the target object W is hardly influenced by the bottom part 114 A, thereby achieving an efficient heating. At the same time or the exhaust part 190 maintains a negative pressure in the process chamber 110 at the same time or before of after the heating process is performed.
  • control unit 300 controls the motor driver 320 to drive the motor 330 .
  • the motor driver 320 drives the motor 330 so as to rotate the annular permanent magnet 170 .
  • the support part 152 is rotated, and the target object W rotates together with the support ring 150 . Since the target object W rotates, the temperature of the target object can be maintained uniform.
  • the quartz window provides some advantages when the heating process is being performed since the quartz plate 121 of the quartz window 120 is relatively thin.
  • the advantages are: 1) an irradiation efficiency to the target object W is not deteriorated since the quartz window absorbs less heat; 2) thermal stress destruction hardly occurs since the temperature difference between the front and back surfaces of the quartz plate 121 is small; 3) a deposition film or a by-product hardly adheres on the surface of the quartz plate 121 since the temperature rise of the quartz plate 121 is small; and 4) a difference between a negative pressure and in the process chamber 110 and the atmospheric pressure can be maintained even if the thickness of the quartz plate 121 is small since the ribs 122 increase the strength of the quartz window 120 .
  • the quartz plate 121 and the ribs 122 are prevented from being broken due to a thermal stress since the temperature rise in the ribs 122 is small, and 6) a withstand characteristic is improved with respect to the pressure difference between the negative pressure in the process chamber 110 and the atmospheric pressure.
  • the temperature of the target object W is measured by the radiation thermometer 200 , and the control unit 300 feedback-controls the lamp driver 310 based on the result of the measurement. Since the target object W is rotated, the uniform temperature distribution is expected in the target object W. However, if desired, the radiation thermometer 200 can measure temperatures of a plurality of positions (for example, the center and periphery) of the target object W. Thus, if the measurement indicates that the temperature distribution is not uniform, the control unit 300 may instruct to locally change the output of the lamps 130 .
  • the main body of the radiation thermometer 200 hardly receives an influence of the target object W since the radiation thermometer 200 has the rod 210 , which separates the chopper 230 from the target object W. Thereby, the radiation thermometer 200 has a high accuracy of measurement. Additionally, the cooling arrangement of the main body of the radiation thermometer 200 can be omitted or minimized, which contributes to miniaturization and improvement in economical efficiency of the apparatus 100 .
  • the temperature control of the target object W is indispensable so as to achieve a rapid heating and rapid cooling.
  • the radiation thermometer 200 satisfies such a requirement. Especially, since the calculation of temperature of the target object W by the radiation thermometer 200 or the control unit 300 using the equation (8) maintains the error within a range of ⁇ 3° C., the RTP apparatus 100 can provide a high-quality thermal treatment.
  • the control unit 300 controls the lamp driver 310 to stop the drive of the lamps 130 .
  • the lamp driver 310 stops the supply of the power to the lamps 130 . If the apparatus 100 uses the structure shown in FIG. 21, the control unit 300 controls the vertically moving mechanism 118 to move the bottom part 114 A to the cooling position shown in FIG. 23.
  • helium gas which has a high conductivity, is introduced into a space between the target object W and the bottom part 114 A as shown in FIG. 24.
  • the cooling rate is, for example, 200° C./sec.
  • the target object W is carried out of the process chamber 110 through the gate valve by the transport arm performing the above-mentioned operations in reverse order. Thereafter, if necessary, the transport arm carries the target object W to an apparatus of the next stage such as a film deposition apparatus.

Abstract

A quartz window can withstand a pressure difference between an atmospheric pressure and a negative pressure environment created in a thermal processing apparatus that applies a thermal process to a target object under the negative pressure environment. The quartz window is adapted to be positioned between a radiation heat source and an object to be subjected to a heat treatment in the process chamber. The quartz window has a plate made of quartz and a plurality of ribs formed on the plate so as to reinforce the plate.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a window attached to a process chamber and, more particularly, to a quartz window attached to a process chamber for applying a thermal process to a substrate placed inside the process chamber by applying a heat through the quartz window. [0002]
  • The present invention is especially suitable for a window provided to a rapid thermal processing (RTP) apparatus, which is preferably used for a manufacturing process of semiconductor devices such as a memory device or an integrated circuit (IC). The RTP includes a rapid thermal annealing (RTA), a rapid thermal cleaning (RTC), a rapid thermal chemical vapor deposition (RTCVD), a rapid thermal oxidation (RTO), a rapid thermal nitriding (RTN), etc. [0003]
  • 2. Description of the Related Art [0004]
  • Generally, in a manufacturing process of a semiconductor integrated circuit, a semiconductor substrate such as a silicon wafer is repeatedly subject to various thermal processes or heat treatment processes. The thermal processes may include a film deposition process, an annealing process, an oxidation diffusion process, a sputtering process, an etching process, a nitriding process, etc. [0005]
  • In order to improve a yield rate and a quality of semiconductor products, the RTP technique, which rapidly increases and decreases a temperature of an object to be processed, has attracted a great attention. A conventional RTP apparatus generally comprises: a single-wafer process chamber in which an object to be processed, such as a semiconductor wafer, a glass substrate for photo-masking, a glass substrate for liquid-crystal display or a substrate for an optical disk, is placed; a quartz-glass window attached to the process chamber; a heating lamp such as a halogen lamp; and a reflector provided on an opposite side of the object to be processed with respect to the heating lamp. Hereinafter, the object to be processed may be referred to as a target object. [0006]
  • The quartz-glass window is formed in a plate-like shape or in a tubular shape in which the target object can be accommodated. When gasses inside the process chamber is evacuated by a vacuum pump and a negative pressure environment is maintained in the process chamber, the quartz window has a thickness of about 30 mm to 40 mm so as to withstand with a pressure difference between inside the process chamber and an atmospheric pressure. The quartz-glass window may have a concave shape so that the center thereof is apart from the process space inside the process chamber since the quartz window tends to be bent toward the processing space due to a temperature increase. [0007]
  • A plurality of halogen lamps are arranged so as to evenly heat the target object, and the reflector uniformly reflects an infrared light toward the target object. The process chamber is typically provided with a gate valve on a sidewall thereof so as to let the target object transported therethrough. Additionally, a gas supply nozzle is connected to the sidewall of the process chamber so as to introduce a process gas used for a thermal processing. [0008]
  • Since the temperature of the target object influences a quality of the process (for example, a thickness of a deposited film in a film deposition process), the temperature must be accurately detected. In order to achieve a rapid temperature increase or decrease, a temperature-measuring device is provided in the process chamber so as to measure the temperature of the target object. The temperature-measuring device may be comprised of a thermocouple. However, the thermocouple may contaminate the target object due to a metal constituting the thermocouple since the thermocouple must be brought into contact with the target object. [0009]
  • Accordingly, a pyrometer has been suggested, such as disclosed in Japanese Laid-Open Patent Application No. 11-258051, as a temperature-measuring device for measuring a temperature of the target object. The pyrometer calculates a temperature of the target object by converting an emissivity ε into a temperature, the emissivity ε being calculated by the following equation (1) based on an intensity of radiation of infrared light radiated from a back surface of the target object.[0010]
  • E m(T)=εE BB(T)  (1)
  • In equation (1), E[0011] BB(T) represents an intensity of radiation from a black body having a temperature T, Em(T) represents an intensity of radiation from a target object, and ε represents an emissivity of the target object.
  • In operation, the target object is introduced into the process chamber through the gate valve, and supported by a holder on its periphery. During a thermal process, a process gas such as nitrogen or oxygen is introduced into the process chamber through gas supply nozzles. On the other hand, the target object absorbs an infrared light radiated by the halogen lamp, thereby increasing the temperature of the target object. An output of the halogen lamp is feedback-controlled in accordance with a result of measurement of the temperature-measuring device. [0012]
  • However, the conventional quartz window has a thickness as large as several millimeters, there are following problems. First, the light emitted by the lamp is absorbed by the quartz, which results in a decrease in an efficiency of irradiation of the target object. Second, The quartz window easily breaks due to a difference in thermal stress between front and back surfaces of the quartz window when a rapid temperature increase is performed such as in the RTP apparatus since a temperature difference is generated between the lamp facing surface and an opposite surface of the quartz window. Third, if the quartz window is bent, a distance between the lamp and the target object is increased, which deteriorates directivity of the irradiation by the lamp. Fourth, especially when a film deposition process is performed, a deposition film or a reaction by-product may deposit on the surface of the quartz window due to an increase in the temperature of the quartz window, which may deteriorate reproducibility of a process temperature and increase a frequency of cleaning operations of the process chamber. On the other hand, if the thickness of the process chamber is reduced, absorption of the light radiated from the lamp by the quartz window can be reduced. [0013]
  • However, there is a problem in that the quartz window is easily breaks due to a difference between a negative pressure in the process chamber and an atmospheric pressure and, thereby, such a quarts window cannot applied to the process chamber in which a negative pressure environment is created. [0014]
  • SUMMARY OF THE INVENTION
  • It is a general object of the present invention to provide an improved and useful quartz window in which the above-mentioned problems are eliminated. [0015]
  • A more specific object of the present invention is to provide a quartz window, which can withstand a pressure difference between an atmospheric pressure and a negative pressure environment created in a thermal processing apparatus that applies a thermal process to a target object under the negative pressure environment. [0016]
  • Another object of the present invention is to provide a quartz window, which absorbs a relatively small amount of heat radiated from a heat source. [0017]
  • In order to achieve the above-mentioned objects, there is provided according to one aspect of the present invention a quartz window of a process chamber adapted to be positioned between a radiation heat source and an object to be subjected to a heat treatment in the process chamber, the quartz window comprising: a plate made of quartz; and a plurality of ribs formed on the plate so as to reinforce the plate. [0018]
  • According to the above-mentioned invention, the plate of the quartz window has a reduced thickness since the ribs reinforce the plate. Thus, the overall thickness of the quartz plate is reduced, which improves the directivity of heat supply by the radiation heat source to the object to be processed. Additionally, an amount of heat absorbed by the quartz window is reduced. [0019]
  • In the quartz window according to the present invention, the ribs may be made of quartz, and the ribs may be integrally formed with the plate. In one embodiment, the ribs may include a plurality of radial ribs extending in radial directions of the plate. The radial ribs may be symmetrically arranged with respect to the center of the plate. Additionally, the ribs may include a plurality of circumferential ribs extending in circumferential directions of the plate. The circumferential ribs may be concentrically arranged. [0020]
  • The plate of the quartz window preferably has a thickness equal to or smaller than 10 mm. Each of the ribs preferably has a thickness equal to or less than 10 mm and a height equal to or less than 15 mm. [0021]
  • The ribs may have openings to let air pass therethrough. [0022]
  • Additionally, there is provided according to another aspect of the present invention a thermal processing apparatus comprising: a process chamber in which an object to be processed is placed; an exhaust part connected to the process chamber so as to evacuate gas inside the process chamber; radiation heat sources which apply heat to the object placed in the process chamber; and a quartz window situated between the radiation heat source and the object, wherein the quartz window has a plurality of ribs formed on a quartz plate so as to reinforce the quartz plate. [0023]
  • According to the above-mentioned invention, the plate of the quartz window has a reduced thickness since the ribs reinforce the plate. Thus, the overall thickness of the quartz plate is reduced, which improves the directivity of heat supply by the radiation heat source to the object to be processed. Additionally, an amount of heat absorbed by the quartz window is reduced. [0024]
  • In the thermal processing apparatus according to the present invention, the ribs may be made of quartz, and the ribs may be integrally formed with the plate. In one embodiment, the ribs may include a plurality of radial ribs extending in radial directions of the plate, and the radial ribs may be symmetrically arranged with respect to the center of the plate. Additionally, the ribs may include a plurality of circumferential ribs extending in circumferential directions of the plate, and the circumferential ribs may be concentrically arranged. [0025]
  • Additionally, the thermal processing apparatus according to the present invention may further comprise a reflector which reflects a heat radiated from the radiation heat source toward the object through the quarts window, and the reflector has accommodating parts accommodating the radiation heat source and a plurality of extending parts extending toward the plate of the quartz window, the extending parts being engaged with the respective ribs of the quartz window. [0026]
  • Since the reflector has grooves that receive the respective ribs of the quartz window, the overall thickness of the structure including the quartz window and the reflector is reduced, which reduced a distance between the radiation heat source and the object to be processed. Additionally, the ribs of the quartz window engage with the inner walls of the grooves when the quartz window is bent due to a pressure difference caused by a vacuum created in the process chamber. Thereby, the quartz window is prevented from being further deformed, which further reduces the thickness of the quartz window. [0027]
  • In one embodiment, each of the extending parts may have a groove which receives a respective one of the ribs of the of the quartz window. Each of the ribs may protrude into a respective one of the accommodating parts so that each of the extending parts of the reflector is positioned between adjacent ones of the ribs.[0028]
  • Other objects, features and advantages of the present invention will become more apparent from the following detailed description when read in conjunction with the accompanying drawings. [0029]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an illustrative cross-sectional view of a thermal processing apparatus according to an embodiment of the present invention; [0030]
  • FIG. 2 is a plan view of a quartz window shown in FIG. 1; [0031]
  • FIG. 3 is an enlarged cross-sectional view of the quartz window; [0032]
  • FIG. 4 is an illustration of a double end type lamp; [0033]
  • FIG. 5 is an illustrative cross-sectional view of a part of a reflector with the quartz window and lamps for explaining an influence of the light projected from the lamps; [0034]
  • FIG. 6 is an enlarged cross-sectional view of a part of a reflector; [0035]
  • FIG. 7 is an enlarged cross-sectional view of the reflector shown in FIG. 6 with the quartz window being deformed by a pressure exerted thereon; [0036]
  • FIG. 8 is a plan view of the quartz window with [0037] lamps 130 arranged between ribs of the quartz window;
  • FIG. 9 is an enlarged cross-sectional view of a part of the structure shown in FIG. 8; [0038]
  • FIG. 10 is a cross-sectional view of a part of the reflector with the double end type lamps being replaced by single end type lamps; [0039]
  • FIG. 11 is a plan view of the reflector with the double end type lamps being replaced by the single end type lamps; [0040]
  • FIG. 12 is an enlarged cross-sectional view of a variation of the structure including a part of a quartz window and a part of a reflector shown in FIG. 6; [0041]
  • FIG. 13 is an enlarged cross-sectional view of a radiation thermometer shown in FIG. 1 and a part near the radiation thermometer; [0042]
  • FIG. 14 is an illustrative plan view of a chopper of the radiation thermometer; [0043]
  • FIG. 15 is a graph showing a relationship between a temperature of the target object and a temperature of the center of the target object; [0044]
  • FIG. 16 is a graph showing a relationship between a temperature of the target object and a temperature of an edge of the target object; [0045]
  • FIG. 17 is an illustrative cross-sectional view for explaining errors contained in the measurement of the temperature of the target object; [0046]
  • FIG. 18 is a graph showing a relationship between a real temperature of the target object and a temperature of the center of the target object obtained by the radiation thermometer shown in FIG. 1; [0047]
  • FIG. 19 is a graph showing a relationship between a real temperature of the target object and a temperature of an edge the target object obtained by the radiation thermometer shown in FIG. 1; [0048]
  • FIG. 20 is a graph showing a result of simulation with respect to a cooling rate of the target object; [0049]
  • FIG. 21 is an illustrative cross-sectional view of a thermal processing apparatus having a bottom part that is movable relative to the target object; [0050]
  • FIG. 22 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object and the bottom part when the target object is subject to a heating process; [0051]
  • FIG. 23 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object and the bottom part when the target object is subject to a cooling process; and [0052]
  • FIG. 24 is an illustrative enlarged cross-sectional view of the bottom part shown in FIG. 23 for explaining the supply of helium gas.[0053]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • A description will now be given, with respect to FIG. 1, of a [0054] thermal processing apparatus 100 according to an embodiment of the present invention. FIG. 1 is an illustrative cross-sectional view of the thermal processing apparatus 100 according to an embodiment of the present invention.
  • As shown in FIG. 1, the thermal processing apparatus comprises a [0055] process chamber 110, a quartz window 120, a heating lamp 130, a reflector 140, a support ring 150, a bearing 160, a permanent magnet 170, a gas introducing part 180, an exhaust part 190, a radiation thermometer 200 and a control unit 300.
  • The [0056] process chamber 110 is formed of stainless steel or aluminum, and is connected with the quartz window 120. A sidewall 112 of thee process chamber 110 and the quartz window 120 together define a process space for applying a thermal process to a target object W. A support ring 150 and a support part connected to the support ring are situated in the process space. The target object W such as a semiconductor wafer is placed on the support ring 150. The gas introducing part 180 and the exhaust part 190 are connected to the sidewall 112 of the process chamber 110. The process space is maintained at a negative pressure environment by being evacuated through the exhaust part 190. It should be noted that a gate valve through which the target object W is transported is omitted in FIG. 1.
  • A [0057] bottom part 114 of the process chamber 110 is connected to cooling pipes 116 a and 116 b (hereinafter, simple referred as cooling pipe 116) so that eh bottom part 114 can serve as a cooling plate. If necessary, a temperature control arrangement may be provided to the cooling plate 114. The temperature control arrangement may comprise a control unit 300, a temperature sensor and a heater, and cooling water is supplied thereto from a water source such as a water line. Instead of cooling water, other kinds of coolant such as alcohol, gulden or chlorofluorocarbon may be used. As for the temperature, a known sensor such as a PTC thermistor, an infrared sensor or a thermocouple can be used. The heater may be a heater wire wound on a periphery of the cooling pipe 116 so that a temperature of water flowing through the cooling pipe 116 is adjusted by controlling a current supplied to the heater wire.
  • The [0058] quartz window 120 is mounted to the process chamber 110 in airtight manner so as to maintain the pressure difference between the negative pressure in the process chamber 110 and an atmosphere while transmitting a heat radiation light projected from the lamp 130. As shown in FIGS. 2 and 3, the quartz window 120 comprises a circular quartz plate 121 and ribs 122. The quartz plate 121 has a radius of about 400 mm and a thickness of about 2 mm to 6 mm. The thickness of the quartz plate is preferably equal to or less than 10 mm so as to reduce the overall thickness of the quartz window 120. FIG. 2 is a plan view of the quartz window 120. FIG. 3 is an enlarged cross-sectional view of the quartz window 120 with the lamps 130 and the reflector 140.
  • The [0059] ribs 122 include circumferential ribs 124 and radial ribs 126. Each of the circumferential ribs 124 extends in a circumferential direction so as to reinforce the quartz window 120 in the circumferential direction. Each of the radial ribs 126 extends in a radial direction so as to reinforce the quartz window 120 in the radial direction. Air passages 128 are formed at predetermined positions of the circumferential ribs 124 so as to supply cooling air for cooling the quartz window 120 and the lamps 130. The thickness of each of the circumferential ribs 124 and the radial ribs 126 is preferably equal to or less than 10 mm, and more preferably 2 mm to 6 mm. The height of each of the circumferential ribs 124 and the radial ribs 126 is preferably equal to or greater than 15 mm. Although the ribs 124 and 126 face the lamps 130 in the present embodiment, the ribs 124 and 126 may be provided on the side of quartz window 120 opposite to the lamps 130, or may be provided both sides of the quartz window 120.
  • Since the [0060] quartz plate 121 is reinforced by the ribs 122, the quarts plate 121 is not required to be bent in a direction away from the process chamber 110. That is, the quartz plate 121 can be a flat shape. As a result, the quartz plate 121 can be more easily produced than a conventional quarts plate. In the present embodiment, the ribs 122 are integrally formed with the quartz plate 121. However, the ribs 122 may be welded to the quartz plate 121.
  • As mentioned above, since the thickness of the [0061] quartz plate 121 is equal to or less than 10 mm and the height of the ribs 122 are also equal to or less than 10 mm, preferably 2 mm to 6 mm, the overall thickness of the quartz window 120 is less than the thickness of a conventional quartz window which is about several ten millimeters (about 30 mm to 40 mm). As a result, the quartz window 120 has an advantage over the conventional quartz window in that an amount of light projected by the lamps 130 absorbed by the quartz window 120 is small. Thus, the quartz window 120 has the following advantages.
  • First, the a rapid temperature increase can be achieved with a reduced power consumption since the irradiation efficiency of the light projected from the lamps [0062] 13 to the target object is improved. Second, the quartz window 120 is hardly broken since the temperature difference (that is, a difference in thermal stress) between the top and bottom surfaces thereof can be maintained less than that of the conventional quartz plate. This effect is also provided to the ribs 122. Third, a film or a by-product material is prevented from being deposited on the quartz window 120 since the temperature of the quartz window 120 is lower than the conventional quartz window. Accordingly, the temperature repeatability is maintained, and a frequency of cleaning operations for the process chamber 110 can be reduced.
  • Each of the [0063] lamps 130 can be a double end type a single end type. The lamps 130 may be replaced by electric wire heaters or other heat radiating sources. FIG. 4 is an illustration of the double end type lamp 130 which has two opposite end electrodes 132. The single end type lamp has a shape similar to a light bulb having a single end electrode. The lamps 130 serve as a heat source for heating the target object. The lamps 130 can be halogen lamps in the present embodiment, but not limited to the halogen lamps. The output of each of the lamps 130 is determined by a lamp driver 310, which is controlled by the control unit 300, as described later, so as to supply an appropriate power to each of the lamps 130.
  • As shown in FIG. 4, each of the [0064] lamps 130 has the two opposite end electrodes 132 and a lamp house 134. The lamp house 134 has a filament connected to the two electrodes 132. The power supplied to the electrodes 132 is determined by the lamp driver 310 which is controlled by the control unit 300. A part between the each of the electrodes 132 and the lamp driver 310 is sealed by a seal part 136 as described later.
  • As shown in FIG. 4, the [0065] lamp house 134 comprises an arc-like horizontal part 134 b and two vertical part 134 a extending from opposite ends of the horizontal part 134 b in a direction perpendicular to the horizontal part 134 b. The length of the horizontal part 134 b is determined so that the horizontal part 134 b can be accommodated between the adjacent circumferential ribs 124 forming concentric circles and between the radial ribs 126. However, each of the lamps 130 does not always completely cover the space between the adjacent radial ribs 126, and the lamps 130 can be arranged with a predetermined interval.
  • Accordingly, in the present embodiment, the [0066] lamps 130 are concentrically arranged in response to the circular target object W. When viewed along a circumferential direction of the quartz window 120, a plurality of lamps 130 each having an ark-like shape and having the same radius with respect to the center of the quartz window 120 are arranged. On the other hand, when viewed along a radial direction, a plurality of lamps 130 having different radiuses are arranged.
  • The present invention doe not excludes the use of a double end type lamp having a straight horizontal part. When such a double end type lamp having a straight horizontal part is used, the shapes of the [0067] ribs 122 may be changed so that the lamps can be accommodated. However, the lamps 130 according to the present embodiment is superior to the double end type lamp having a straight horizontal part since the double end type lamp having a straight horizontal part covers a wide area of the target object W and is positioned to traverse the surface of the target object W. That is, the double end type lamp having a straight horizontal part has a lower directivity, and is difficult to perform a control on an individual area basis. On the other hand, since the lamps 130 according to the present embodiment are arranged substantially in a concentric manner, the temperature control on an individual area basis can be easily achieved, thereby providing a good directivity. Thus, a direct projection onto the target object W can be efficiently performed.
  • The [0068] reflector 140 has a function to reflect the heart radiation light of the lamps 130. The reflector 140 has a plurality of vertical holes 142 into which the vertical parts 134 of the lamps 130 are inserted. Additionally, the reflector 140 has a plurality of concentrically arranged horizontal grooves 144 on the bottom thereof so as to accommodate the horizontal parts 134 b of the lamps 130. A cooling pipe (not shown in the figure) is provided on or in the top portion of the reflector 140. As shown in FIG. 3, the reflector 140 has horizontal parts 145 that face the respective ribs 122 of the quartz window 120.
  • FIG. 5 is an illustrative cross-sectional view of a part of the [0069] reflector 140 with the quartz window 120 and the lamps 130 for explaining an influence of the light projected from the lamps 130. According to the reflector 140, the length of an optical path 2 within the rib 122 is longer than the length of an optical path 1 within the quartz plate 121. Accordingly, the rib 122 absorbs more heat than the quartz plate 121. Thus, there is a difference in temperature between the quartz plate 121 and the ribs 122, and a crack may occur in a connecting portion 123 between the quartz plate 121 and the ribs 122 due to a difference in thermal expansion between the quartz plate 121 and the ribs 122. Such a problem may be solved by adjusting the thickness of the ribs 122. Alternatively, such a problem can be solved by using a reflector 140A shown in FIG. 6.
  • FIG. 6 is an enlarged cross-sectional view of a part of the [0070] reflector 140A. The reflector 140A is different from the reflector 140 in that the reflector 140A has grooves 144A, which are deeper than the grooves 144, thereby forming extending parts 147 that extend toward the quartz plate 121. A slit or groove 146 is formed in each of the extending parts 147 so as to accommodate a respective one of the ribs 122. According to the reflector 140A, the light projected from the lamps 130 is prevented from being directly incident on the ribs 122 since the ribs 122 are inserted into the respective grooves 146. Additionally, the structure of the reflector 140A has an advantage that the quartz window 120 is prevented from being deformed and broken due to an atmospheric pressure when a vacuum is formed in the process chamber 110 since the ribs 122 of the quartz window 120 are brought into contact with inner walls of grooves 146 when the quartz window 120 is deformed as shown in FIG. 7. FIG. 7 is an enlarged cross-sectional view of the reflector with the quartz window 120 being deformed by a pressure exerted on the quartz window 120. It should be noted that the reflector may have protrusions to support the ribs 122 so as to strengthen the quartz window 120.
  • A description will now be given, with reference to FIGS. 8 and 9, of a relationship between the [0071] air passages 128 and the sealing parts 136. FIG. 8 is a plan view of the quartz window 120 with lamps 130 arranged between the ribs 122. FIG. 9 is an enlarged cross-sectional view of a part of the structure shown in FIG. 8.
  • Cooling air passes through the [0072] air passages 128 as shown in FIG. 8. Circles shown in FIG. 8 indicate positions of the sealing parts 136 of the lamps 130. An electric power is supplied to each of the lamps 130 through the electrode 132 and the sealing part 136 provided in the vertical part 134 a of the lamp house 134. The electrode 132 and the sealing part 136 are positioned within a through hole 142 formed in the reflector 140A. The cooling air passes through the through hole 142 so as to effectively cool the sealing part 136. It should be noted that a cooling air introducing means is not indicated in FIG. 1.
  • In the present embodiment, the double [0073] end type lamps 130 may be replaced by single end type lamps as shown in FIGS. 10 and 11. FIG. 10 is a cross-sectional view of a part of the reflector 140A with the double end type lamps 130 being replaced by single end type lamps 130A. FIG. 11 is a plan view of the reflector 140A with the double end type lamps 130 being replaced by the single end type lamps 130A. The single end type lamps 130A provide a good directivity and controllability of the heat radiation light.
  • A description will now be given, with reference to FIG. 12, of a variation of the structure including the [0074] quartz window 120 and the reflector 140. FIG. 12 is an enlarged cross-sectional view of a structure including a part of a quartz window 120A and a part of a reflector 140B.
  • Similar to the [0075] reflector 140A, the reflector 140B has grooves 144A, which accommodate the respective lamps 130. The reflector 140A also has the extending parts 147 that extend toward the quartz plate 121 of the quartz window 120A.
  • Different from the [0076] ribs 122 of the quartz window 120, ribs 122A of the quartz window 120A protrude into the respective grooves 144A. Accordingly, each of the extending parts 147 of the reflector 140B is positioned between the adjacent ones of the ribs 122A.
  • A description will now be given, with reference to FIGS. 13 and 14, of the [0077] radiation thermometer 200 shown in FIG. 1. FIG. 13 is an enlarged cross-sectional view of the radiation thermometer 200 and a part near the radiation thermometer 200. FIG. 14 is an illustrative plan view of a chopper 230 of the radiation thermometer 200. The radiation thermometer 200 is provided on the side opposite to the lamps 130 with respect to the target object W. The present invention does not exclude a structure in which the radiation thermometer 200 and the lamps 130 are provided on the same side with respect to the target object W. However, it is preferable that the light projected from the lamps 130 is prevented from being incident on the radiation thermometer 200.
  • The [0078] radiation thermometer 200 is mounted on a bottom part 114 of the process chamber 110. A surface 114 a of the bottom part 114 of the process chamber 110 is provided with gold plating or the like so that the surface 114 a serves as a reflecting surface (high-reflectance surface). If the surface 114 a is a low-reflectance surface such as a black surface, the surface 144 a absorbs heat radiated by the target object W, which renders an output of the lamps 130 being undesirably increased. The radiation thermometer 200 comprises a rod 210, a casing 220, a chopper or sector 230, a motor 240, a lens 250, an optical fiber 260 and a radiation detector 270. The rod 210 is inserted into a cylindrical through hole 115 formed in the bottom part 114 of the process chamber 110.
  • In the present embodiment, the [0079] rod 210 is made of sapphire or quartz. Sapphire or Quartz is used because of its good heat resistance and good optical characteristic as described later. However, the rod 210 is not limited to the sapphire or quartz. Since the rod 210 has a good heat resistance, there is no need to provide a cooling arrangement to cool the rod 210, which contributes miniaturization of the apparatus 100.
  • The [0080] rod 210 may be projected by a predetermined distance toward an interior of the process chamber 110, if necessary. Rod 210 is inserted into the through hole 115 provided in the bottom part 114 of the process chamber 110, and sealed by an O-ring 190. Thereby, the process chamber 110 can be maintained at a negative pressure although the through hole 115 is formed in the bottom part 114 of the process chamber 110.
  • The [0081] rod 210 can contain the heat radiation light incident thereon, and guides the heat radiation light to the casing 230 with less attenuation. Accordingly, the rod 210 has a superior light gathering efficiency. Additionally, the rod 210 enables a multiple reflection of the radiation light between a high-reflectance surface 232 of the chopper 230 and the target object W. The temperature of the target object W can be accurately measured by positioning the rod 210 close to the target object W.
  • The [0082] rod 210 enables separation of the casing 220 from the target object W. Thus, the rod 210 can omit a cooling arrangement to cool the casing 220, and contributes to miniaturization of the apparatus 100. If the cooling arrangement to cool the casing 220 is provided, the rod 210 can minimize a power supplied to the cooling arrangement of the rod 210.
  • The [0083] rod 210 according to the present embodiment can be made of quartz or sapphire with a multi-core optical fiber. In such a case, the multi-core optical fiber is provided between the quartz or sapphire rod and the chopper 230. Thereby, the rod 210 is provided with flexibility, which increases a freedom in positioning the radiation thermometer 200. Additionally, since a main body or the casing 220 of the radiation thermometer 200 can be separated from the target object W, each part of the radiation thermometer 200 is prevented from being deformed sue to influence of the temperature of the target object W, thereby maintaining an accurate measurement of the temperature of the target object W.
  • The [0084] casing 220 has a substantially cylindrical shape, and is provided on the bottom part 114 so as to cover the through hole 115.
  • The [0085] chopper 230 has a disk-like shape, and is positioned vertically so that a part of the chopper 230 is positioned under the through hole 115 within the casing 220. The chopper 230 is connected to a rotation axis of the motor 240 at the center thereof so as to be rotated by the motor 240. The surface of the chopper 230 is divided into four equal parts including two high-reflectance surfaces 232 and two low-reflectance surfaces 234. The surfaces 232 and 234 are alternatively arranged, and each of the surfaces 232 and 234 has a slit 231. The high-reflectance surfaces 232 are formed, for example, by aluminum or gold plating. The low-reflectance surfaces 234 are formed, for example, by black painting. Each of the high-reflectance surfaces 232 has a measurement area 232 a corresponding to the slit 231 and a measurement area 232 b other than the slit 231. Similarly, each of the low-reflectance surfaces 234 has a measurement area 234 a corresponding to the slit 231 and a measurement area 234 b other than the slit 231.
  • The [0086] chopper 230 may have a structure other than the structure shown in FIG. 14. For example, the chopper may have a semicircular high-reflectance surface with the slit 231. Alternatively, the chopper may be divided into four or six equal parts with the high-reflectance surface with the slits 231 and notch portions arranged alternately. The slit may 231 be provided only to the high-reflectance surfaces.
  • When the [0087] chopper 230 is rotated by the motor 240, the high-reflectance surface 232 and the low-reflectance surface 234 alternately appear under the rod 210. When the high-reflectance surface 232 is positioned under the rod 210, a large par of the light propagated through the rod 210 is reflected by the high-reflectance surface 232, and propagates again through the rod 210 and projected onto the target object W. On the other hand, when the low-reflectance surface 234 is positioned under the rod 210, a large part of the light propagates through the rod 210 is absorbed by the low-reflectance surface 234. Thus, a very small amount of light is reflected by the low-reflectance surface 234. The slits 231 guide the radiation light from the target object W or multi-reflected light to the detector 270.
  • The [0088] detector 270 comprises an image forming lens (not shown in the figure), Si-photocell and amplification circuit. The radiation light incident on the image forming lens is supplied to the control unit 300 after converting into an electric signal representing radiation intensities E1(T) and E2(T) as described later. The control unit 300 has a CPU and a memory so as to calculate the emissivity ε and the temperature T of the target object W in accordance with the radiation intensities E1(T) and E2(T). It should be noted that the calculation can be performed by an arithmetic unit (not shown in the figure) of the radiation thermometer 200.
  • More specifically, the light passed through the [0089] slit 231 is gathered by the lens 250, and is transmitted to the detector 270 by the optical fiber 260. The radiation intensities at the high-reflectance surface 232 and the low-reflectance surface 234 are represented by the following equations (2) and (4), respectively.
  • E 1(T)=εE BB(T)/[1−R(1−ε)]  (2)
  • Where, E[0090] 1(T) is a radiation intensity of the high-reflectance surface 232 at the temperature T obtained by the detector 270; R is an effective reflectance of the high-reflectance surface 232; ε is a reflectance of the target object W; and EBB(T) is a radiation intensity of a black body at the temperature T. The equation (2) is obtained by the following equation (3). It is assumed that the target object W had no heat radiation.
  • E 1(T)=εE BB(T)+εR(1−ε)E BB(T)+ε[R(1−ε)]2+ . . . ∞=εE BB(T)/[1−R(1−ε)]  (3)
  • E 2(T)=εE BB(T)  (4)
  • Where, E[0091] 2(T) is a radiation intensity of the low-reflectance surface 234 at the temperature T obtained by the detector 270. The equation (49 is obtained from the prank Planck's law. The emissivity ε is represented by the following equation (5).
  • ε=[E 2(T)/E 1(T)+R−1]/R  (5)
  • Generally, spectral concentration of a radiant emittance of an electromagnetic wave radiated by a black body can be given by the prank Planck's law. When the [0092] radiation thermometer 200 measures a temperature of a black body, the relationship between the temperature T of the black body and the radiation intensity EBB(T) can be represented by the following equation (6) and (7) by using constants A, B and C which are determined by an optical system of the radiation thermometer 200.
  • E BB(T)=Cexp[−C 2/(AT+B)]  (6)
  • T=C 2 /A[InC−InE BB(T)]−R/A  (7)
  • Where, C[0093] 2 is a second constant of radiation.
  • The [0094] detector 270 or the control unit 300 can obtain the radiation intensity EBB(T), and thereby the temperature T can be obtained by entering the radiation intensity EBB(T) in the equation (7). Thus, the control unit 300 can obtain the temperature T of the target object W.
  • However, in practice, the temperature obtained by the equation (7) includes an error of about 20° C. to 40° C., as shown in FIGS. 15 and 16, in comparison with the real temperature of the target object W. FIG. 15 is a graph showing a relationship between a temperature of the target object W and a temperature of the center of the target object W obtained by the [0095] radiation thermometer 200 using the equation (1). FIG. 16 is a graph showing a relationship between a temperature of the target object W and a temperature of an edge of the target object W obtained by the radiation thermometer 200 using the equation (1).
  • The inventors of the present invention considered the reason for the error, and found that some errors must be taken into consideration when the equation (1) is used for measuring the temperature of the target object W. Additionally, as shown in FIG. 17, the errors include: 1) a multi-reflected light J which is radiated by the target object W and reflected by the [0096] surface 114 a; 2) a light K radiated by the target object W; 3) a transmission loss L due to reflection at an edge of the rod 210; and 4) an absorption loss M of the rod 210. The light J and the light K may be referred to as stray light. The stray light provided large influence to the measurement error especially in the single wafer process chamber 110 in which a reflectance of an inner surface of the process chamber 110 and parts surrounding the target object W is set high so as to increase a thermal efficiency. FIG. 17 is an illustrative cross-sectional view for explaining errors contained in the measurement of the temperature of the target object which measurement is obtained by using the equation (1).
  • In order to compensate for the errors, the inventors of the present invention changed the equation (1) to equation (8).[0097]
  • E m(T)=G{[ε/[(1−α(1−ε))−β]}{E BB(T)+S)  (8)
  • In the equation (8), the error 1) caused by the multi-reflected light J is corrected by ε/[(1−α(1−ε)); the error 2) caused by the light K radiated by the target object W is corrected by S; the error 3) caused by the transmission loss L due to reflection at an edge of the rod and the fiber is corrected by β; and the error 4) caused by the absorption loss M is corrected by G (gain). It should be noted that the result of temperature calculation based on the equation (1) can be approximated by adopting not all but at least one of the above-mentioned corrections. The temperature measurement calculation program using the equation (8) or the equation (8) adopting at least one of the corrections may be stored in a computer readable medium such as a floppy disk or a CD-ROM. Alternatively, the program can be distributed through a communication network such as the Internet. [0098]
  • FIGS. 18 and 19 show graphs in which a temperature measured by using the equation (8) is compared with a real temperature of target object W. More specifically, FIG. 18 is a graph showing a relationship between the real temperature of the target object W and the temperature of the center of the target object W obtained by the [0099] radiation thermometer 200 using the equation (8). FIG. 19 is a graph showing a relationship between the real temperature of the target object W and the temperature of an edge the target object W obtained by the radiation thermometer 200 using the equation (8). It can be interpreted from FIGS. 18 and 19 that the difference between the real temperature and the temperature measured by the radiation thermometer 200 using the equation (8) can be maintained within a range of ±3° C.
  • The [0100] control unit 300 is provided with a CPU and a memory inside thereof so as to feedback-control the output of the lamps 130 by detecting the temperature T of the target object W and controlling the lamp driver 310. Additionally, the control unit 300 sends a drive signal to the motor driver 320 at a predetermined timing so as to control a rotation speed of the target object W.
  • The [0101] gas introducing part 180 includes, for example, a gas supply source (not shown in the figure), a flow adjust valve, a mass-flow controller, a gas supply nozzle and a gas supply passage connecting the aforementioned parts. The gas introducing part 180 introduces a process gas used for heat treatment into process chamber 110. It should be noted that although the gas introducing part 180 is provided to the sidewall 112 of the process chamber 110 in the present embodiment, the position of the gas introducing part 180 is not limited to the side of the process chamber 110. For example, the gas introducing part 180 may be constituted as a showerhead, which introduces a process gas from an upper portion of the process chamber 110.
  • If annealing is performed, N[0102] 2 or Ar may be used as the process gas. If nitriding is performed, N2 or NH3 may be used. Additionally, if a film deposition is performed, NH3, SiH2CL2 or SiH4 may be used. However, the process gas is not limited to the aforementioned gases. The mass-flow controller controls a flow rate of the process gas. The mass-flow controller comprises, for example, a bridged circuit, an amplification circuit, a comparator control circuit, a flow adjust valve, etc. The mass-flow controller measures a flow rate by detecting a heat transfer form an upstream to a downstream of the gas flow so as to control the flow adjust valve. The gas supply passage may be made of a seamless pipe and a bite type coupling or a metal gasket coupling is used so as to prevent impurities from entering the process gas to be supplied through the gas supply passage. Additionally, in order to prevent generation of dust particles due to dirt or corrosion of an interior of the pipe, the pipe is made of a corrosion resistant material or the inner wall of the pipe is covered by an insulating material such as PTFE (Teflon), PFA, polyimide, PBI or the like. Additionally, an electro polishing may be applied to the inner wall. Further, a dust particle trap filter may be provided to the gas introducing part 180.
  • The [0103] exhaust part 190 is provided substantially parallel to the gas introducing part 180 in the present embodiment. However, the position and the number of the exhaust parts 190 are not limited to such an arrangement. A desired vacuum pump such as a turbomolecular pump, a sputter-ion pump, a getter pump, a sorption pump or a cryostat pump is connected to the exhaust part 190 together with a pressure adjust pump. It should be noted that the process chamber 110 is maintained at a negative pressure in the present embodiment, the present invention does not always require such a negative pressure environment. For example, the present invention may be applicable to an apparatus, which perform a process under a pressure ranging from 133 Pa to an atmospheric pressure. As described later with reference to FIGS. 21 through 25, the exhaust part 190 also has a function to evacuate helium gas before a subsequent process is started.
  • FIG. 20 is a graph showing a result of simulation with respect to a cooling rate of the target object W. In FIG. 20, a gap means a distance between the target object W and the [0104] bottom part 114 of the process chamber 110. It can be appreciated from FIG. 20 that: 1) the cooling rate increases as the gap decreases; and 2) the cooling rate remarkably increases by supplying helium gas having a high-thermal conductivity to a space between the target object W and the bottom part 114.
  • In the structure of the [0105] RTP apparatus 100 shown in FIG. 1, an upper surface of the target object W is heated by the lamps 130 and the bottom part 114 serving as a cooling plate faces a lower surface of the target object W. Accordingly, the structure shown in FIG. 1 has a high cooling rate, but requires a large power to rapidly increase the temperature of the target object W since the heat radiated from the target object W is large. In order to decrease the heat radiation from the target object W, the supply of the cooling water 116 to the cooling pipe 116 may be stopped. However, this method is not preferable since a total process time is increased, which decreases yield rate.
  • Accordingly, as shown in FIGS. 21 through 23, the [0106] bottom plate 114 serving as a cooling plate may be replaced by a bottom part 114A, which is movable relative to the target object W. More preferably, helium gas having a high thermal conductivity is supplied to a space between the target object W and the bottom part 114A so as to increase a cooling efficiency. FIG. 21 is an illustrative cross-sectional view of the thermal processing apparatus having the bottom part 114A that is movable relative to the target object W. FIG. 22 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object W and the bottom part 114A when the target object W is subject to a heating process. FIG. 23 is an illustrative cross-sectional view of the thermal processing apparatus shown in FIG. 21 for explaining a positional relationship between the target object W and the bottom part 114A when the target object W is subject to a cooling process. It should be noted that in FIGS. 21 through 23, the radiation thermometer 200 and the cooling pipe 116 are omitted for the sake of simplification of the figure.
  • As shown in FIG. 21, the [0107] bottom part 114A is vertically movable relative to the target object W. A bellows 117 is provided between the sidewall 112 of the process chamber 110 and the bottom part 114A so that a negative pressure can be maintained in the process chamber 110. The bottom part 114A is vertically moved by a vertical moving mechanism 118, which can be any conventional moving mechanism. It should be noted that the, instead of moving the bottom part 114A, the target object W or the support ring 150 may be moved relative to the bottom part 114A. When eating the target object W, the bottom part 114A is moved away from the target object W, as shown in FIG. 22, and the supply of helium gas is stopped. At this time, a distance between the target object W and the bottom part 114A is, for example, 10 mm. Since the distance between the target object W and the bottom part 114A is large, the target object W hardly receives an influence of the bottom part 114A, thereby enabling a rapid temperature rise. The position of the bottom part 114A shown in FIG. 22 is set as a home position.
  • When cooling the target object W, the [0108] bottom part 114A is vertically moved toward the target object W and the supply of helium gas is started, as shown in FIG. 23. Since the distance between the bottom part 114A and the target object W is small, the target object receives an influence of the bottom part 114A, thereby enabling a rapid cooling process. In this state, the distance between the target object W and the bottom part 114A is, for example, 1 mm. FIG. 24 is an illustrative enlarged cross-sectional view of the bottom part 114A for explaining the supply of helium gas. As shown in FIG. 24, The bottom part 114A is provided with many small holes 115 a and a case 410 is mounted to the bottom surface of the bottom part 114A so as to introduce the helium gas into a space between the target object W and the bottom part 114A. A case 410 is provided with a valve 400 which is connected to a helium gas supply pipe (not shown in the figure).
  • Although the present embodiment is directed to a relative movement of the bottom part (cooling plate) [0109] 114A and the target object W, the present invention is *applicable to a relative movement between the lamps 130 and the target object W.
  • A description will now be given, with reference to FIG. 1, of a rotating mechanism for rotating the target object W. In order to maintain a good electric performance and a high yield rate of the integrated circuit elements formed on the target object W, it is required to perform a uniform heat treatment over an entire surface of the target object W. If the temperature distribution of the target object W is uneven, a thickness of a film deposited on the target object W may not be uniform, or a slip may occur in the silicon crystal due to a thermal stress. Accordingly, the [0110] RTP apparatus 100 cannot provide a high-quality thermal process. Such an uneven temperature distribution may be caused by an uneven distribution of irradiation by the lamps 130, or caused by a removal of heat from the surface of the target object W by the process gas introduces into a space near the gas introducing part 180. The rotating mechanism allows the target object W to be uniformly heated by the lamps 130 by horizontally rotating the target object W.
  • The rotating mechanism of the target object W comprises a [0111] support ring 150, an annular permanent magnet 170, an annular magnetic member 172, a motor driver 320 and a motor 330.
  • The [0112] support ring 150 is made of, for example, a ceramic material having a heat resistance such as SiC. The support ring 150 serves as a stage on which the target object W is placed. The support ring 150 may have an electrostatic chuck or a clamp mechanism so as to fix the target object W thereto. The support ring 150 prevents deterioration of the uniform heating due to heat released from an edge of the target object W.
  • An outer periphery of the [0113] support ring 150 is connected to a support part 152. If necessary, a thermal insulating member such as a quartz glass is interposed between the support ring 150 and the support part 152 so as to thermally protect the magnetic member 172. The support part 152 of the present embodiment is constituted by an opaque quartz member having a hollow cylindrical shape. A bearing 160 is fixed to the support member 152 and the inner wall 112 of the process chamber 110 so as to enable the support member 152 to rotate while the process chamber 110 is maintained at a negative pressure. The magnetic member 172 is provided on an end of the support part 152.
  • The annular [0114] permanent magnet 170 and the magnetic member 172 are magnetically coupled, and the permanent magnet is rotated by the motor 330. The motor 330 is driven by the motor driver 320, which is controlled by the control unit 300.
  • As a result, when the permanent magnet is rotated, the magnetically coupled [0115] magnetic member 172 rotates together with the support part 152, thereby rotating the support ring 150 and the target object W. The rotation speed is 90 RPM in this embodiment. However, the rotation speed may be determined based on the material and size of the target object W or a kind or temperature of the process gas so that a uniform temperature distribution is achieved in the target object W and a turbulent flow of the gas in the process chamber 110 is prevented. The permanent magnet 170 and the magnetic member 172 can be reversed as long as they are magnetically coupled, or both members may be magnets.
  • A description will now be given of an operation of the RTP apparatus. A transport arm of a cluster tool (not shown in the figure) carry the target object W in the [0116] process chamber 110 through a gate valve (not shown in the figure). When the transport arm supporting the target object W reaches a position directly above the support ring 150, a lifter pin vertically moving system (not shown in the figure) moves lifter pins (for example, three pins) so as to support the target object W thereon. As a result, the support of the target object W is shifted from the transport arm to the lifter pins. Thus, the transport arm returns through the gate valve. Thereafter, the gate valve is closed, and the transport arm may move to the home position.
  • On the other hand, the lifter pin vertically moving system returns the lifter pins below the [0117] support ring 150 so that the target object W is placed on the support ring 150. The lifter pin vertically moving system uses a bellows (not shown in the figure) so as to maintain the process chamber at a negative pressure while the lifter pins are vertically moved and prevent the atmosphere inside the process chamber 110 from flowing out of the process chamber 110.
  • Thereafter, the [0118] control unit 300 controls the lamp driver 310 to drive the lamps 130. In response, the lamp driver 310 drives the lamps 130 so as to heat the target object W at 800° C. for example. A heat radiation of the lamps 130 passes through the quartz window 120 and is irradiated onto the upper surface of the target object W so as to rapidly raise the temperature of the target object W at a heating rate of about 200° C./sec. Generally, a peripheral portion of the target object W releases a larger amount of heat than the center portion thereof. Thus, the lamps 130 according to the present embodiment are concentrically arranged, which enables a local control of the power provided to the lamps 130, so as to provide a sharp directivity and temperature controllability. If the apparatus 100 uses the structure shown in FIG. 20, the bottom part 114A is at the home position as shown in FIG. 21. Since the target object W is distant from the bottom part 114A (cooling plate) in the structure shown in FIG. 21, the target object W is hardly influenced by the bottom part 114A, thereby achieving an efficient heating. At the same time or the exhaust part 190 maintains a negative pressure in the process chamber 110 at the same time or before of after the heating process is performed.
  • At the same time, the [0119] control unit 300 controls the motor driver 320 to drive the motor 330. In response, the motor driver 320 drives the motor 330 so as to rotate the annular permanent magnet 170. As a result, the support part 152 is rotated, and the target object W rotates together with the support ring 150. Since the target object W rotates, the temperature of the target object can be maintained uniform.
  • The quartz window provides some advantages when the heating process is being performed since the [0120] quartz plate 121 of the quartz window 120 is relatively thin. The advantages are: 1) an irradiation efficiency to the target object W is not deteriorated since the quartz window absorbs less heat; 2) thermal stress destruction hardly occurs since the temperature difference between the front and back surfaces of the quartz plate 121 is small; 3) a deposition film or a by-product hardly adheres on the surface of the quartz plate 121 since the temperature rise of the quartz plate 121 is small; and 4) a difference between a negative pressure and in the process chamber 110 and the atmospheric pressure can be maintained even if the thickness of the quartz plate 121 is small since the ribs 122 increase the strength of the quartz window 120. Additionally, if the ribs 122 of the quartz window 120 are inserted into the respective grooves 146 of the reflector 140A as shown in FIG. 6, 5) the quartz plate 121 and the ribs 122 are prevented from being broken due to a thermal stress since the temperature rise in the ribs 122 is small, and 6) a withstand characteristic is improved with respect to the pressure difference between the negative pressure in the process chamber 110 and the atmospheric pressure.
  • The temperature of the target object W is measured by the [0121] radiation thermometer 200, and the control unit 300 feedback-controls the lamp driver 310 based on the result of the measurement. Since the target object W is rotated, the uniform temperature distribution is expected in the target object W. However, if desired, the radiation thermometer 200 can measure temperatures of a plurality of positions (for example, the center and periphery) of the target object W. Thus, if the measurement indicates that the temperature distribution is not uniform, the control unit 300 may instruct to locally change the output of the lamps 130.
  • The main body of the [0122] radiation thermometer 200 hardly receives an influence of the target object W since the radiation thermometer 200 has the rod 210, which separates the chopper 230 from the target object W. Thereby, the radiation thermometer 200 has a high accuracy of measurement. Additionally, the cooling arrangement of the main body of the radiation thermometer 200 can be omitted or minimized, which contributes to miniaturization and improvement in economical efficiency of the apparatus 100. When he target object w is maintained under a high-temperature environment for a long time, the electric property of the integrated circuit formed on the target object W is deteriorated. Accordingly, the temperature control of the target object W is indispensable so as to achieve a rapid heating and rapid cooling. The radiation thermometer 200 satisfies such a requirement. Especially, since the calculation of temperature of the target object W by the radiation thermometer 200 or the control unit 300 using the equation (8) maintains the error within a range of ±3° C., the RTP apparatus 100 can provide a high-quality thermal treatment.
  • After the [0123] process chamber 110 reaches the predetermined negative pressure environment is formed and the target object W starts to rotate, the flow-controlled process gas is introduced into the process chamber 110 from the gas introducing part (not shown in the figure). Then, after a predetermined heat treatment (for example, 10 seconds) is completed, the control unit 300 controls the lamp driver 310 to stop the drive of the lamps 130. In response, the lamp driver 310 stops the supply of the power to the lamps 130. If the apparatus 100 uses the structure shown in FIG. 21, the control unit 300 controls the vertically moving mechanism 118 to move the bottom part 114A to the cooling position shown in FIG. 23. preferably, helium gas, which has a high conductivity, is introduced into a space between the target object W and the bottom part 114A as shown in FIG. 24. Thereby, A cooling efficiency of the target object W is improved, and a rapid cooling can be achieved with a relatively low power consumption. The cooling rate is, for example, 200° C./sec.
  • After completion of the heat treatment, the target object W is carried out of the [0124] process chamber 110 through the gate valve by the transport arm performing the above-mentioned operations in reverse order. Thereafter, if necessary, the transport arm carries the target object W to an apparatus of the next stage such as a film deposition apparatus.
  • The present invention is not limited to the specifically disclosed embodiments, and variations and modifications may be made without departing from the scope of the present invention. [0125]
  • The present application is based on Japanese priority application No. 2000-121575 filed Apr. 21, 2000, the entire contents of which are hereby incorporated by reference. [0126]

Claims (18)

What is claimed is:
1. A quartz window of a process chamber adapted to be positioned between a radiation heat source and an object to be subjected to a heat treatment in the process chamber, the quartz window comprising:
a plate made of quartz; and
a plurality of ribs formed on said plate so as to reinforce said plate.
2. The quartz window as claimed in
claim 1
, wherein said ribs are made of quartz.
3. The quartz window as claimed in
claim 2
, wherein said ribs are integrally formed with said plate.
4. The quartz window as claimed in
claim 1
, wherein said ribs include a plurality of radial ribs extending in radial directions of said plate.
5. The quartz window as claimed in
claim 4
, wherein said radial ribs are symmetrically arranged with respect to the center of said plate.
6. The quartz window as claimed in
claim 1
, wherein said ribs include a plurality of circumferential ribs extending in circumferential directions of said plate.
7. The quartz window as claimed in
claim 6
wherein said circumferential ribs are concentrically arranged.
8. The quartz window as claimed in
claim 1
, wherein said plate has a thickness equal to or smaller than 10 mm.
9. The quartz window as claimed in
claim 1
, wherein each of said ribs has a thickness equal to or less than 10 mm.
10. The quartz window as claimed in
claim 1
, wherein each of said ribs has a height equal to or less than 15 mm.
11. The quartz window as claimed in
claim 1
, wherein said ribs have openings to let air pass therethrough.
12. A thermal processing apparatus comprising:
a process chamber in which an object to be processed is placed;
an exhaust part connected to said process chamber so as to evacuate gas inside said process chamber;
radiation heat sources which apply heat to said object placed in said process chamber; and
a quartz window situated between said radiation heat source and said object,
wherein said quartz window has a plurality of ribs formed on a quartz plate so as to reinforce the quartz plate.
13. The thermal processing apparatus as claimed in
claim 12
, wherein said ribs are made of quartz, and said ribs are integrally formed with said plate.
14. The thermal processing apparatus as claimed in
claim 12
, wherein said ribs include a plurality of radial ribs extending in radial directions of said plate, and said radial ribs are symmetrically arranged with respect to the center of said plate.
15. The thermal processing apparatus as claimed in
claim 12
, wherein said ribs include a plurality of circumferential ribs extending in circumferential directions of said plate, and said circumferential ribs are concentrically arranged.
16. The thermal processing apparatus as claimed in
claim 12
, further comprising a reflector which reflects a heat radiated from said radiation heat source toward said object through said quarts window, and said reflector has accommodating parts accommodating said radiation heat source and a plurality of extending parts extending toward said plate of said quartz window, said extending parts being engaged with the respective ribs of said quartz window.
17. The thermal processing apparatus as claimed in claimed 16, wherein each of said extending parts has a groove which receives a respective one of said ribs of said of said quartz window.
18. The thermal processing apparatus as claimed in
claim 16
, wherein each of said ribs protrudes into a respective one of said accommodating parts so that each of said extending parts of said reflector is positioned between adjacent ones of said ribs.
US09/839,157 2000-04-21 2001-04-23 Quartz window having reinforcing ribs Expired - Lifetime US6435869B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000121575A JP4540796B2 (en) 2000-04-21 2000-04-21 Quartz window, reflector and heat treatment equipment
JP2000-121575 2000-04-21

Publications (2)

Publication Number Publication Date
US20010034004A1 true US20010034004A1 (en) 2001-10-25
US6435869B2 US6435869B2 (en) 2002-08-20

Family

ID=18632202

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/839,157 Expired - Lifetime US6435869B2 (en) 2000-04-21 2001-04-23 Quartz window having reinforcing ribs

Country Status (2)

Country Link
US (1) US6435869B2 (en)
JP (1) JP4540796B2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060272937A1 (en) * 2005-06-02 2006-12-07 Seagate Technology Llc Method & apparatus for formation of oriented magnetic films for magnetic recording media
US20080170842A1 (en) * 2007-01-15 2008-07-17 Aaron Muir Hunter Temperature measurement and control of wafer support in thermal processing chamber
CN100405535C (en) * 2005-03-31 2008-07-23 东京毅力科创株式会社 Processing chamber and processing device
US20110097900A1 (en) * 2009-10-28 2011-04-28 Lam Research Corporation Quartz window for a degas chamber
US20110146705A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Uv lamp assembly of degas chamber having rotary shutters
US20110259455A1 (en) * 2008-10-23 2011-10-27 P2I Limited Vacuum processing apparatus
US8208238B1 (en) 2008-03-21 2012-06-26 Seagate Technology, Llc Apparatus for orienting soft-underlayer deposition
US20120231633A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
CN102676775A (en) * 2012-05-31 2012-09-19 无锡宇吉科技有限公司 Furnace door structure for bogie hearth type heat treatment furnace
CN102808152A (en) * 2011-06-01 2012-12-05 北京北方微电子基地设备工艺研究中心有限责任公司 Heating device, chamber device and substrate processing equipment
WO2013063919A1 (en) * 2011-11-03 2013-05-10 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate processing device and chamber apparatus thereof
US8492736B2 (en) 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
CN103884185A (en) * 2014-03-25 2014-06-25 济钢集团有限公司 Non-water-cooling furnace door of slab heating furnace
US20170032989A1 (en) * 2015-07-28 2017-02-02 Inotera Memories, Inc. Electrostatic chuck and temperature-control method for the same
US20170178979A1 (en) * 2015-12-22 2017-06-22 SCREEN Holdings Co., Ltd. Thermal processing apparatus and thermal processing method through light irradiation
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US20180233388A1 (en) * 2017-02-15 2018-08-16 Globalfoundries Singapore Pte. Ltd. Method and system for detecting a coolant leak in a dry process chamber wafer chuck
CN109103125A (en) * 2017-06-20 2018-12-28 Asm Ip控股有限公司 Semiconductor processing equipment and method for calibrating semiconductor processing equipment
CN115020303A (en) * 2022-08-09 2022-09-06 北京屹唐半导体科技股份有限公司 Heat treatment device for wafer

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100509085B1 (en) * 2000-04-20 2005-08-18 동경 엘렉트론 주식회사 Thermal processing system
JP4666427B2 (en) * 2000-11-10 2011-04-06 東京エレクトロン株式会社 Quartz window and heat treatment equipment
JP3872952B2 (en) * 2000-10-27 2007-01-24 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US6576565B1 (en) * 2002-02-14 2003-06-10 Infineon Technologies, Ag RTCVD process and reactor for improved conformality and step-coverage
CN100536089C (en) * 2003-12-30 2009-09-02 中芯国际集成电路制造(上海)有限公司 Method and apparatus for quickly cooling and annealing of wafer
KR100621777B1 (en) * 2005-05-04 2006-09-15 삼성전자주식회사 Substrate heat processing apparatus
EP1760170B1 (en) * 2005-09-05 2011-04-06 Japan Pionics Co., Ltd. Chemical vapor deposition apparatus
CN101479840B (en) * 2006-06-30 2010-12-22 Memc电子材料有限公司 Wafer platform
JP2009010005A (en) * 2007-06-26 2009-01-15 Yac Co Ltd Heating and cooling apparatus
US8052419B1 (en) * 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (en) * 2008-05-06 2015-02-11 Novellus Systems Inc Photoresist stripping method and apparatus
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8986454B2 (en) * 2010-06-08 2015-03-24 Applied Materials, Inc. Window assembly for use in substrate processing systems
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104040710B (en) 2012-01-06 2017-11-28 诺发系统公司 Adaptive heat-transferring method and system for uniformly transfer heat
US10727093B2 (en) * 2014-05-23 2020-07-28 Applied Materials, Inc. Light pipe window structure for low pressure thermal processes
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP2022045565A (en) * 2020-09-09 2022-03-22 株式会社Screenホールディングス Thermal treatment device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61202419A (en) * 1985-03-05 1986-09-08 Nippon Denso Co Ltd Method and device for semiconductor heat treatment
JPH07249589A (en) * 1994-03-10 1995-09-26 Tokyo Electron Ltd Heat treatment device
US5532457A (en) * 1994-06-22 1996-07-02 International Business Machines Corporation Modified quartz plate to provide non-uniform light source
US6127658A (en) * 1998-08-04 2000-10-03 Steag C.V.D. Systems, Ltd. Wafer heating apparatus and method with radiation absorptive peripheral barrier blocking stray radiation
US6284051B1 (en) * 1999-05-27 2001-09-04 Ag Associates (Israel) Ltd. Cooled window
JP3438658B2 (en) * 1999-07-22 2003-08-18 ウシオ電機株式会社 Lamp unit and light irradiation type heating device
JP3988338B2 (en) * 1999-10-07 2007-10-10 ウシオ電機株式会社 Control device for light irradiation type rapid heat treatment equipment
US6350964B1 (en) * 2000-11-09 2002-02-26 Applied Materials, Inc. Power distribution printed circuit board for a semiconductor processing system

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100405535C (en) * 2005-03-31 2008-07-23 东京毅力科创株式会社 Processing chamber and processing device
US20060272937A1 (en) * 2005-06-02 2006-12-07 Seagate Technology Llc Method & apparatus for formation of oriented magnetic films for magnetic recording media
US8591710B2 (en) * 2005-06-02 2013-11-26 Seagate Tchnology LLC Method and apparatus for formation of oriented magnetic films for magnetic recording media
US20080170842A1 (en) * 2007-01-15 2008-07-17 Aaron Muir Hunter Temperature measurement and control of wafer support in thermal processing chamber
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20110089166A1 (en) * 2007-01-15 2011-04-21 Aaron Muir Hunter Temperature measurement and control of wafer support in thermal processing chamber
US8208238B1 (en) 2008-03-21 2012-06-26 Seagate Technology, Llc Apparatus for orienting soft-underlayer deposition
US20110259455A1 (en) * 2008-10-23 2011-10-27 P2I Limited Vacuum processing apparatus
US20110097900A1 (en) * 2009-10-28 2011-04-28 Lam Research Corporation Quartz window for a degas chamber
US8603292B2 (en) 2009-10-28 2013-12-10 Lam Research Corporation Quartz window for a degas chamber
US20110146705A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Uv lamp assembly of degas chamber having rotary shutters
US8584612B2 (en) 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
US8492736B2 (en) 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US9818587B2 (en) 2011-03-11 2017-11-14 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9863038B2 (en) 2011-03-11 2018-01-09 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20120231633A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
CN102808152A (en) * 2011-06-01 2012-12-05 北京北方微电子基地设备工艺研究中心有限责任公司 Heating device, chamber device and substrate processing equipment
WO2013063919A1 (en) * 2011-11-03 2013-05-10 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate processing device and chamber apparatus thereof
CN102676775A (en) * 2012-05-31 2012-09-19 无锡宇吉科技有限公司 Furnace door structure for bogie hearth type heat treatment furnace
CN103884185A (en) * 2014-03-25 2014-06-25 济钢集团有限公司 Non-water-cooling furnace door of slab heating furnace
US20170032989A1 (en) * 2015-07-28 2017-02-02 Inotera Memories, Inc. Electrostatic chuck and temperature-control method for the same
US9870934B2 (en) * 2015-07-28 2018-01-16 Micron Technology, Inc. Electrostatic chuck and temperature-control method for the same
US20170178979A1 (en) * 2015-12-22 2017-06-22 SCREEN Holdings Co., Ltd. Thermal processing apparatus and thermal processing method through light irradiation
TWI663655B (en) * 2015-12-22 2019-06-21 日商斯庫林集團股份有限公司 Thermal processing apparatus and thermal processing method
US10573569B2 (en) * 2015-12-22 2020-02-25 SCREEN Holdings Co., Ltd. Thermal processing apparatus and thermal processing method through light irradiation
US20180233388A1 (en) * 2017-02-15 2018-08-16 Globalfoundries Singapore Pte. Ltd. Method and system for detecting a coolant leak in a dry process chamber wafer chuck
US10395955B2 (en) * 2017-02-15 2019-08-27 Globalfoundries Singapore Pte. Ltd. Method and system for detecting a coolant leak in a dry process chamber wafer chuck
CN109103125A (en) * 2017-06-20 2018-12-28 Asm Ip控股有限公司 Semiconductor processing equipment and method for calibrating semiconductor processing equipment
CN115020303A (en) * 2022-08-09 2022-09-06 北京屹唐半导体科技股份有限公司 Heat treatment device for wafer

Also Published As

Publication number Publication date
JP4540796B2 (en) 2010-09-08
US6435869B2 (en) 2002-08-20
JP2001308022A (en) 2001-11-02

Similar Documents

Publication Publication Date Title
US6435869B2 (en) Quartz window having reinforcing ribs
US20010036706A1 (en) Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
US6566630B2 (en) Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
US6630991B2 (en) Thermal processing apparatus
JP4948701B2 (en) Heating apparatus, heat treatment apparatus having the heating apparatus, and heat treatment control method
US6860634B2 (en) Temperature measuring method, heat treating device and method, computer program, and radiation thermometer
US6437290B1 (en) Heat treatment apparatus having a thin light-transmitting window
KR101624217B1 (en) Apparatus including heating source reflective filter for pyrometry
US5683173A (en) Cooling chamber for a rapid thermal heating apparatus
JP5049443B2 (en) Heat treatment system
US6825615B2 (en) Lamp having a high-reflectance film for improving directivity of light and heat treatment apparatus having such a lamp
WO2007040916A2 (en) Apparatus temperature control and pattern compensation
JP4902914B2 (en) Window cooled
US6035100A (en) Reflector cover for a semiconductor processing chamber
TWI805708B (en) Support ring with plasma spray coating
JP4346208B2 (en) Temperature measuring method, heat treatment apparatus and method, and computer-readable medium
JP4828031B2 (en) Lamp, heat treatment equipment using lamp
JP4646354B2 (en) Heat treatment apparatus and method
JP4666427B2 (en) Quartz window and heat treatment equipment
JP2002261038A (en) Heat treatment device
JP4905907B2 (en) Lamp and heat treatment apparatus
TW202024403A (en) Purged viewport for quartz dome in epitaxy reactor
US20210189593A1 (en) Linear lamp array for improved thermal uniformity and profile control
JP2002198319A (en) Heat treatment apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KITAMURA, MASAYUKI;REEL/FRAME:011746/0888

Effective date: 20010416

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12