US20020019099A1 - Super self-aligned trench-gate dmos with reduced on-resistance - Google Patents

Super self-aligned trench-gate dmos with reduced on-resistance Download PDF

Info

Publication number
US20020019099A1
US20020019099A1 US09/296,959 US29695999A US2002019099A1 US 20020019099 A1 US20020019099 A1 US 20020019099A1 US 29695999 A US29695999 A US 29695999A US 2002019099 A1 US2002019099 A1 US 2002019099A1
Authority
US
United States
Prior art keywords
trench
layer
gate
polysilicon
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/296,959
Other versions
US6413822B2 (en
Inventor
Richard K. Williams
Wayne Grabowski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Analogic Technologies Inc
Original Assignee
Advanced Analogic Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US09/296,959 priority Critical patent/US6413822B2/en
Application filed by Advanced Analogic Technologies Inc filed Critical Advanced Analogic Technologies Inc
Priority to CNB2004100946560A priority patent/CN100367478C/en
Priority to TW089107593A priority patent/TW494529B/en
Priority to EP00930123A priority patent/EP1186023A4/en
Priority to JP2000614495A priority patent/JP4180800B2/en
Priority to AU48001/00A priority patent/AU4800100A/en
Priority to KR1020017013334A priority patent/KR100679538B1/en
Priority to CNB008083932A priority patent/CN1192425C/en
Priority to CNB2007101618092A priority patent/CN100568470C/en
Priority to PCT/US2000/010770 priority patent/WO2000065646A1/en
Assigned to ADVANCED ANALOGIC TECHNOLOGIES, INC. reassignment ADVANCED ANALOGIC TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GRABOWSKI, WAYNE, WILLIAMS, RICHARD K.
Publication of US20020019099A1 publication Critical patent/US20020019099A1/en
Priority to US10/146,568 priority patent/US6756274B2/en
Priority to US10/146,668 priority patent/US6750507B2/en
Application granted granted Critical
Publication of US6413822B2 publication Critical patent/US6413822B2/en
Priority to US10/767,030 priority patent/US7052963B2/en
Priority to US10/767,028 priority patent/US6924198B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7808Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a breakdown diode, e.g. Zener diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon

Definitions

  • FIG. 1 illustrates a conventional vertical double-diffused MOSFET (DMOS) 10 with a trench gate 11 , a diffused P-type body diffusion (P B ), a shallow N+ source region 12 , a P+ body contact region 13 , formed in an N-type epitaxial layer N epi , grown on an N+ substrate.
  • the source and body contact regions 12 , 13 are shorted by a source metal 14 , using a butting contact structure.
  • the gate 11 is embedded in a trench 15 etched into the epitaxial layer N epi , oxidized and then filled with doped polysilicon.
  • the channel of the device is formed along the sidewall of the trench in the silicon region extending between the N+ source-to-P B body junction to the junction formed between the P B body and the N-type epitaxial drain.
  • the gate oxide 16 on the trench sidewalls and bottom is formed simultaneously and is therefore of uniform thickness (except for the subtle variations due to compressive oxidation effects on curved surfaces and differing oxidizing rates on various crystallographic planes).
  • the drain doping is typically lower in concentration than the P B body region so as to provide substantial depletion spreading in the drain and minimal depletion spreading in the channel for any applicable voltage.
  • the heavier doping in the P B body avoids punchthrough breakdown and other undesirable effects of the short channel, which normally has an effective length of 0.3 to 1 ⁇ m.
  • the on-resistance of such a device is determined by the sum of its resistive components shown in FIG. 2, namely its substrate resistance (R sub ), its epitaxial drain resistance (R epi ), its channel resistance (R ch ), its source contact resistance (R c ), and its metal interconnect resistance (R M ).
  • the epitaxial resistance (R epi ) is subdivided between a region where current emanating from the channel is spreading out (R epi1 ) and, in the case of thicker epi layers, another region where the current has become uniform (R epi2 ).
  • R DS R M +R c +R ch +R epi +R sub (1)
  • R epi R epi1 +R epi2 (2)
  • the channel resistance is minimized by maximizing the channel perimeter for a given area.
  • the individual cells of the MOSFET may be constructed in any striped or polygonal shape. Ideally, the shape chosen should be one that can be repeated at a regular pitch so that more cells can be connected in parallel in a given area. By paralleling many cells and operating them in tandem an extremely low on-resistance can be achieved.
  • the figure of merit A/W needs to be reduced to lower the channel resistance.
  • the equations for area A and perimeter W can be defined in terms of the trench width (the surface dimension Y G of the trench, as distinguished from the “gate width W”) and the width Y SB of the source-body “mesa” between trenches.
  • the A/W for a stripe geometry is simply one-half of the pitch.
  • the perimeter is
  • the square cell geometry offers a lower resistance whenever the gate is small compared to the source-body dimension. Since in a conventional trench-gated DMOS, manufacturing a small trench is not as difficult as manufacturing a small silicon mesa, the closed cell geometry is superior in performance. In the event that the gate dimension is larger than the source-body mesa dimension, the stripe geometry offers superior performance. This circumstance is difficult to achieve in practice, especially in narrow trench gate designs where the alignment tolerances needed to form the source and body regions and to establish a contact to them leads to a wide mesa. Whenever the gate dimension Y G and the source-body mesa dimension Y SB are equal, then there is no difference between the two geometries in terms of minimizing A/W.
  • the trench gate surface dimension and the surface dimension of the mesa should both be minimized as long as A/W is reduced.
  • the minimum possible trench dimension is a function of the trench etch equipment, the trench width and depth, the shape of the trench including rounding, and the trench refill process.
  • the minimum drawn feature size of the trench is a single layer dimension, i.e., its minimum feature size is determined by the wafer fab's ability to print, etch and fill a trench, not by some interaction to other photomasking layers.
  • the minimum trench size is then specified as a single layer mask feature.
  • a single mask layer design feature is commonly referred to as a single layer dimension or SLD.
  • SLD single layer dimension
  • the minimum dimension of the source-body mesa is determined by the design rules associated with more than one photomasking layer, i.e. it involves multi-layer dimensions (MLD) design rules.
  • the rules account for variability both in a critical dimension (referred to as ⁇ CD) and registration error of one masking layer to another, known as overlay, or OL.
  • ⁇ CD variations in a feature size are a consequence of variability in photoresist thickness and viscosity, exposure time, optical reflections, photoresist erosion during etching, etching time, etch rates, and so on.
  • the variability due to OL layer-to-layer misalignment is more substantial.
  • FIGS. 5 A- 5 E illustrate the components of variability in setting the minimum size of the trench DMOS mesa.
  • the mesa width is set by three design rules
  • [0029] Minimum overlap of metal contact and N+ source.
  • the purpose of the design rule illustrated in FIG. 5B is to guarantee contact between the metal contact layer and the N+ source (see FIG. 5E for an example of misalignment).
  • OL represents at least two successive misalignments, i.e., one misalignment can occur in aligning the contact mask to the trench, and a second (statistically independent) misalignment can occur between the N+ source mask and the trench.
  • ⁇ CD 3 represents the variation in the width of the N+ source region while ⁇ CD 2 represents the variation in the size of the contact (to metal).
  • the minimum mesa width is determined by two contact-to-trench rules (one on each side of the mesa), two N+ contact rules (to guarantee contact to the N+ source on both sides of the mesa), and a single P+ rule. But since a misalignment in the contact mask toward one trench increases the distance to the other, each design rule must be considered only once when calculating the minimum mesa dimension. Assuming all OL and ⁇ CD rules, the minimum width of the mesa is:
  • Another design and process consideration in a trench-gated DMOS is the resistance of the body region P B and the quality of the body contact shorting it to the source metal.
  • the source-to-body short prevents conduction and snapback breakdown of the parasitic NPN bipolar transistor (see the cross-sectional view of FIG. 7A) by maintaining the emitter and base at the same potential. Shorting the emitter and base terminals ideally prevents forward-biasing of the emitter-base junction and avoids consequent minority carrier (electron) injection into the MOSFET's body (i.e. base).
  • the frequency of the body pickup determines the base resistance along the z-direction.
  • the P+ body contact regions occasionally interrupt the N+ source stripe to pick up the body region electrically (see the plan view of FIG. 7B and the three-dimensional projection view of FIG. 7C).
  • the “pinch resistance” of the portion of the P-body region P B that lies under the N+ source region must be maintained at a low value without adversely affecting other device characteristics such as the threshold voltage.
  • the method used to form the P-body region and the integration of a shallow P+ region used to achieve a low resistance ohmic contact to the body are specific to each trench-gated DMOS design and process. Many commercial power MOSFETs today are inadequate in this regard and suffer from snapback and ruggedness problems as a result. The smaller or less frequent the P+ contact, the more likely smapback will occur.
  • the deposition via sputtering of the top metal such as aluminum-silicon, aluminum-copper, or aluminum-copper-silicon, follows the contact shape conformally, leading to a notch or gap in the middle of the metal layer 70 .
  • the notch is not too severe in the case of a thin metal layer. But the resistance of a thin metal layer, especially under 1.2 ⁇ m thick, is too high to be useful in a power device.
  • the oxide step height in the active contact area can be reduced by depositing a thinner interlayer dielectric (ILD), but the thinner dielectric may exhibit metal breakage wherever metal runs over the polysilicon gate bus.
  • the thinner ILD also can cause shorts between the source metal and the polysilicon gate bus or lead to a thin oxide sensitive to ESD damage.
  • FIG. 9A shows a metal layer 90 crossing over a gate bus 92 .
  • the metal step coverage problem occurs anywhere in the die where the source metal crosses the polysilicon gate bus, because the surface polysilicon is too thick. It occurs because the polysilicon gate bus sitting on the die surface has a thickness resulting from the polysilicon planarization of the trench.
  • This thickness of the polysilicon must be thick enough to fill the trench at its widest point. Assuming a 1 ⁇ m wide trench, the widest point occurs at the trench corner on the diagonal, with a dimension of around 1.4 ⁇ m (see FIG. 9B).
  • the thickness of the polysilicon above the surface of the die after deposition needs to be at least half the dimension of the diagonal to fill the trench, as shown in FIG. 9C, to ensure that the polysilicon does not dip below the die surface later during etchback.
  • This entire polysilicon thickness, in the example case 0.7 ⁇ m, plus an underlying oxide will be present on top of the die in the gate bus, so a 1 to 1.5 ⁇ m step is likely.
  • the area of the gate bus is normally masked during the planarization etchback of the polysilicon, resulting in the step.
  • the thick polysilicon also limits the possible manufacturing process sequence because the polysilicon is too thick to introduce dopants through it.
  • one problem with existing conventional trench-gated vertical DMOS devices is that the cell density cannot be increased and the geometric-area-to-gate-perimeter ratio cannot be further reduced to produce improvements in the area efficiency of low-on-resistance switches, since the construction of conventional trench-gated vertical DMOS imposes fundamental restrictions in cell dimensions.
  • the resistance penalty is especially significant for low voltage devices where a large portion of the total resistance is attributable to the resistance of the MOS channel (R ch ).
  • the limitations on cell density are primarily a consequence of the minimum width of the mesa between trenches. The minimum width of the mesa is determined by the use of multiple mask layers and is especially due to the design rules associated with the contact mask.
  • Stripe geometries reduce or eliminate the need for frequent or large area abutting source/body shorts, allowing tighter cell pitches but potentially creating problems in achieving good breakdown and snapback characteristics. Pushing the minimum possible contact dimension requires a solution to the metal step coverage problem in the active contact areas and over the gate bus. But without pushing the design rules to the point where the width of the mesa equals the width of the gate trench, the A/W of the stripe geometry is inferior to the A/W of a square cell geometry having a similar cell pitch.
  • An SSA trench MOSFET comprises a semiconductor body having a trench formed therein, a wall of the trench intersecting a major surface of the semiconductor body at a trench corner.
  • the semiconductor body comprises a source region of a first conductivity type adjacent the trench and the major surface of the semiconductor body; a body region of a second conductivity type forming a junction with the source region, the body region comprising a channel region adjacent a wall of the trench; and a drain region of the first conductivity type forming a junction with the body region.
  • a gate is disposed in the trench. The gate is bordered by a gate oxide layer.
  • the gate oxide layer includes a first portion adjacent the channel region and a second portion overlying the gate, the first portion being thicker than the second portion.
  • a metal layer is in contact with the major surface of the semiconductor body, and the contact between the metal layer and the major surface extends laterally to the trench corner.
  • the first portion of the gate oxide layer prevents shorting between the gate and the source, thereby allowing the contact between the metal layer and the major surface to extend to the corner of the trench.
  • the gate oxide layer also comprises a third portion adjacent the bottom of the trench, the third portion being thicker than the first portion. This reduces the gate-drain capacitance and avoids field plate induced breakdown.
  • a heavily-doped buried layer patterned to conform generally to the shape of the trench gate, is used to reduce the on-resistance of the DMOSFET.
  • One way of achieving this structure is to implant the buried layer after the trenches have been formed.
  • An SSA trench MOSFET is advantageously produced by a process described herein.
  • the process comprises: providing a body of a semiconductor material having a surface; forming a first mask over the surface, the first mask having an opening where a trench is to be located in the body; etching the semiconductor material through the opening in the first mask to form a trench in the semiconductor body; forming a first oxide layer on a sidewall of in the trench; filling the trench with polysilicon; with the first mask in place oxidizing an exposed surface of the polysilicon to form a second oxide layer at the top of the trench, the second oxide layer extending down into the trench; removing the first mask; and depositing a metal layer on the surface of the second oxide layer and the surface of the semiconductor body.
  • the polysilicon gate filling the trench is deposited in two polysilicon layers.
  • the first polysilicon layer does not cover the mesas, thereby enabling easy ion implantation of the mesas after the formation of the trench.
  • the polysilicon diodes are formed in a layer of polysilicon overlying the surface of the semiconductor body.
  • an oxide feature defined by a contact mask may be disposed over the top of the trench to reduce interelectrode capacitance the source contact metal and the gate.
  • the contact in the event that a contact mask with a small feature is employed, the contact may be planarized with a metal such as tungsten to avoid step coverage problems.
  • FIG. 1 illustrates a cross-sectional view of a conventional vertical trench DMOSFET.
  • FIG. 2 illustrates a cross-sectional view of a conventional vertical trench DMOSFET showing the resistive components of the device.
  • FIGS. 3A and 3B illustrate cross-sectional views of a conventional vertical trench DMOSFET showing the benefit of cell density in improving epitaxial drain spreading resistance.
  • FIGS. 4 A- 4 D illustrate plan and cross-sectional views of various trench DMOS source geometries.
  • FIG. 4A shows a stripe geometry.
  • FIG. 4B shows a square cell geometry.
  • FIG. 4C shows a square cell geometry with a source corner block.
  • FIG. 4D shows a hexagonal cell geometry.
  • FIGS. 5 A- 5 F illustrate the design rules for the mesa of a conventional trench DMOSFET.
  • FIG. 5A shows the contact-to-trench design rule.
  • FIG. 5B shows the contact-to-source design rule.
  • FIG. 5C shows the P+ contact to the body.
  • FIG. 5D shows an example of a gate-to-source short.
  • FIG. 5E shows an example of an uncontacted or insufficiently contacted source.
  • FIG. 5F shows an example of an uncontacted body.
  • FIG. 6 illustrates a cross-sectional view of a conventional stripe trench DMOSFET with a contact mask feature and with the N+ source extending across the entire mesa between adjacent trenches.
  • FIGS. 7A, 7B and 7 C are cross-sectional, plan and perspective views, respectively, of a “ladder”-source trench DMOS with contact mask.
  • FIG. 8A is a cross-sectional view of a conventional trench DMOSFET illustrating the step coverage problem with a conformal thin metal layer.
  • FIG. 8B is a cross-sectional view of a conventional trench DMOSFET illustrating the step coverage problem with a thick metal layer.
  • FIG. 8C illustrates the keyhole problem with a thick metal layer.
  • FIG. 9A illustrates a cross-sectional view of the step coverage problem of a metal layer over a polysilicon gate bus in a conventional trench DMOSFET.
  • FIG. 9B illustrates a plan view of the intersection of gate trenches in a conventional trench DMOSFET.
  • FIG. 9C illustrates a cross-sectional view showing the minimum polysilicon refill thickness in a trench DMOSFET.
  • FIG. 10A is a graph showing the equivalent vertical MOSFET cell density as a function of mesa width.
  • FIG. 10B is a graph showing the equivalent vertical MOSFET cell density as a function of cell pitch.
  • FIGS. 11 A- 11 E are cross-sectional views that illustrate the steps of a process sequence for manufacturing a super self-aligned (SSA) source contact in a trench-gated MOSFET.
  • SSA super self-aligned
  • FIGS. 12A and 12B are cross-sectional views that show the comparison of a MOSFET manufactured with a conventional contact mask (FIG. 12A) and a MOSFET manufactured using the SSA process (FIG. 12B).
  • FIG. 12C shows a MOSFET manufactured by the SSA process but with a contact-mask-defined oxide feature overlying the trench.
  • FIG. 13 is a graph of the vertical DMOS cell perimeter ratio A/W as a function of mesa width.
  • FIG. 14 is a graph of the vertical DMOS cell perimeter ratio A/W as a function of cell density.
  • FIGS. 15 A- 15 D are cross-sectional views of various embodiments of a SSA trench DMOSFET.
  • FIG. 15A shows a full mesa N+ source wherein the P-body is contacted in the third dimension.
  • FIG. 15B shows an embodiment similar to the one shown in FIG. 15A, except that the MOSFET includes a deep clamping diode.
  • FIG. 15C shows an embodiment similar to the one shown in FIG. 15B, except that the MOSFET includes a relatively shallow clamping diode.
  • FIG. 15D shows an embodiment wherein the source metal is in contact with a P+ body contact and wherein there is no clamping diode.
  • FIG. 16A is a cross-sectional view illustrating the contours of impact ionization occurring at trench corners at the onset of avalanche breakdown.
  • FIG. 16B is a graph of the breakdown voltage BV DSS as a function of the thickness of the gate oxide layer.
  • FIG. 17A is a graph illustrating the parasitic capacitances between the gate and the drain (C GD ), the body (C GB ) and the source (C GS ) in a trench-gated DMOSFET.
  • FIG. 17B is a graph illustrating the gate voltage V g as a function of gate charge Q g .
  • FIG. 18 is a perspective view of a SSA trench DMOSFET in a stripe geometry with a “ladder” P+ source-body design and a thick bottom oxide in the trench
  • FIGS. 19 A- 19 F are plan views of various source-body designs.
  • FIG. 19 A shows a “corrugated” P+ body contact region with a continuous N+ source.
  • FIG. 19B shows a corrugated P+ body contact region with periodic P+ straps.
  • FIG. 19C shows continuous P+ body contact region with N+ source “islands”.
  • FIG. 19D shows a “bamboo” ladder structure (alternating N+ and P+ regions).
  • FIG. 19E shows a continuous N+ source region with P+ body contact “windows”
  • FIG. 19F shows P+ body contact “windows” alternating with periodic P+ “straps”.
  • FIGS. 20A, 20B and 20 D illustrate circuit diagrams of polysilicon diode arrangements for voltage-clamping the gate to the source of a trench-gated MOSFET.
  • FIG. 20C shows a cross-sectional view of a polysilicon diode arrangement.
  • FIG. 21A illustrates a cross-sectional view of SSA trench DMOSFET with a thick oxide layer at the bottom of the trench overlapping a heavily-doped buried layer which was implanted immediately after the formation of the epitaxial layer.
  • FIGS. 21B and 21C show embodiments similar to the embodiment shown in FIG. 21A except that the buried layer was implanted after the formation of the trench but before the filling of the trench with the gate material.
  • FIG. 22 is a diagram of a process flow for manufacturing an SSA trench DMOSFET, including variants.
  • FIG. 23 is a cross-sectional view of an SSA trench DMOSFET, including an active cell array, a gate bus, a polysilicon ESD diode and an edge termination.
  • FIGS. 24 A- 24 Q illustrate cross-sectional views of a step-by step process for manufacturing an SSA trench DMOSFET, including an active cell array, a gate bus, a polysilicon ESD diode and an edge termination.
  • FIGS. 25 A- 25 C illustrate cross-sectional views of a process for manufacturing a trench having a thick oxide layer on the bottom.
  • FIG. 26A shows the dopant profile in a conventional MOSFET.
  • FIG. 26B shows the dopant profile in a MOSFET formed using a chained body implant in accordance with an aspect of this invention.
  • FIGS. 27 A- 27 D MOSFET structures that can be fabricated using a high pressure process for depositing a metal contact layer.
  • FIGS. 28 A- 28 D illustrate the steps of a process of fabricating another MOSFET in accordance with the invention.
  • FIGS. 10A and 10B illustrate the advantages in cell density that can be obtained by reducing the width of the source/body mesa and the cell pitch.
  • the graph is divided into three regions, namely:
  • the limit in cell densities for this type of device ranges from 67 to 100 Mcells/in 2 , although 30 to 40 Mcells/in 2 densities are the highest in production.
  • region III represents devices that are manufacturable using present technology.
  • the graph of FIG. 10A illustrates, however, the possible cell that could be obtained if technical problems encountered in regions I and II could be overcome.
  • FIG. 10B illustrates some specific examples of cell densities possible using different technologies, reflecting the complexity (and initial capital equipment investment cost) of various wafer fabrication facilities.
  • a 0.8-um capable wafer fab is needed to manufacture a 32 Mcell/in trench DMOS, while a 0.6-um fab is needed for 180 Mcell/in 2 designs.
  • the term “0.6 ⁇ m fab” refers to the feature size of the highest density CMOS IC process that the a facility is capable of producing, with the requisite level of air and water cleanliness. So the term “0.6 ⁇ m” refers not only to the gate dimension, but the minimum contact window, the metal rules and even the type of surface planarization needed.
  • metal step coverage is an issue using small contact windows and requires techniques and equipment commonly not available in a 0.8 ⁇ m fab. Achieving high cell densities is therefore not simply a matter of using better, more modern wafer fabs. New developments are needed to solve the problems of manufacturing reliable, high yield, ultra dense power MOSFETs.
  • FIGS. 11 A- 11 E illustrate the basic elements of a process of forming a super-self-aligned (SSA) trench DMOSFET.
  • the process describes a method to form a dense array of trench capacitors with access to the silicon on the backside or to the surface between the trenches without the need for a contact mask to contact the top of the silicon mesa regions.
  • This SSA capacitor is consistent with the formation of trench-gated DMOSFETs but is not limited as such.
  • the SSA array could be used in insulated gate bipolar transistors (IGBTs), MOS-gated bipolar devices, and other types of devices.
  • IGBTs insulated gate bipolar transistors
  • MOS-gated bipolar devices MOS-gated bipolar devices
  • a nitride layer 102 (or a layer of another “hard” material such as oxide) is chosen to define the trench 104 (FIG. 11A) so as to survive subsequent process operations, some of which will be at a higher temperature than photoresist can withstand. Nitride is preferable since it can be removed by chemical etch techniques which do not attack the oxide used to protect the trench gate.
  • the nitride layer 102 typically is formed over a thin oxide layer 106 on a major surface 103 of the silicon body 108 , to reduce any stress from the thermal coefficient of expansion (TCE) between the silicon body 108 and the nitride layer 102 . In some processes, thin oxide layer 106 can be eliminated.
  • An additional oxide layer may also be formed over the nitride layer 102 to avoid erosion during the trench etch process.
  • the photoresist layer (not shown) used to define the nitride feature may also be left on top of the nitride or oxide-nitride sandwich during the silicon etch process.
  • the trench is formed by etching with known processes (e.g., reactive ion etching (RIE)). This results in the structure shown in FIG. 11A.
  • RIE reactive ion etching
  • “Mesas” 114 are formed between the segments of trench 104 .
  • silicon body 108 includes an epitaxial layer, but the invention is not so limited.
  • FIGS. 11 A- 11 E show several MOSFET cells of an array which would typically include millions of cells in a power MOSFET. As shown, the structure produced is a large area capacitor which is a structural element of a trench power MOSFET.
  • the trench is then oxidized to form a sacrificial oxide (not shown) to reduce any surface damage caused by the trench etching process.
  • the sacrificial oxide is subsequently removed.
  • a gate oxide layer 110 is formed and the trench is filled with polysilicon.
  • the polysilicon is etched back to planarize the gate 112 with the major surface of the silicon body 108 . (FIG. 11B)
  • a variety of dopants may be introduced by predeposition or ion implantation during these steps according to the desired construction of the device and its requisite PN junctions. Such details will be described below for the exemplary fabrication of a trench power MOSFET.
  • the exposed surface of the polysilicon gate 112 is oxidized to form a thick oxide layer 116 overlying the gate 112 (FIG. 11C).
  • Thick oxide layer 116 protects gate 112 from subsequent etches and “embeds” gate 112 in the trench 104 so that gate 112 will not short to the (source) metal that will overlay the trench 104 in a completed device.
  • Nitride layer 102 prevents the oxide layer 106 over the mesas 114 from being oxidized.
  • a single mask (nitride layer 102 ) has defined both the silicon mesa 114 and the embedded gate trench 104 protected by the oxide layer 116 .
  • oxide layer 116 the oxide used to embed the gate is not localized or “self-aligned” to the trench region, but may extend on to or across the mesas.
  • nitride layer 102 is essentially the contact mask operation in the SSA process flow, since the oxide layer 106 below nitride layer 102 is chosen to be thin compared to the thick oxide layer 116 that overlies the gate 112 .
  • the structure following the removal of nitride layer 102 is shown in FIG. 11D.
  • a short dip in hydrofluoric acid HF typically diluted in water
  • a short isotropic plasma oxide etch removes the oxide layer 106 from over the mesa 114 without uncovering the embedded polysilicon gate 112 .
  • the resulting contact area 118 between the silicon and the metal layer (to be deposited) extends all the way across mesa 114 , from one segment to the next of trench 104 , a feature defined by the original trench mask itself.
  • the contact is therefore self-aligned to the trench itself and extends to a trench corner 120 , where a wall of the trench 104 intersects the surface 103 of the silicon.
  • the exposed mesa 118 , or contact is therefore defined by the same mask feature that defined the trench 104 and the thick oxide layer 116 . In this way it is possible to reduce the width of mesa 114 .
  • the contact is defined by another feature, the so-called “contact mask”.
  • the feature of the contact mask is necessarily smaller than the width of the mesa to allow for imperfect alignment and for variations in oxide etch (see FIG. 12A).
  • thick oxide layer 116 is formed after polysilicon etchback (FIG. 11B), the top surface of thick oxide layer 116 is nearly planar with the surface of mesa 114 , resulting in a smaller step between mesa and oxide than results from the use of deposited oxide and a classic contact mask. This is evident from a comparison of FIG. 12A, which shows a conventional trench DMOSFET, and FIG. 12B, which shows a mesa according to this invention with a metal layer 122 in contact with the top surface of the mesa 114 .
  • a known figure of merit for a power MOSFET is the area-to-width ratio A/W, which is a measure of the area of the die required to provide a given “channel width” (roughly speaking, the total perimeter of the MOSFET cells).
  • A/W ratio is a measure of the area of the die required to provide a given “channel width” (roughly speaking, the total perimeter of the MOSFET cells).
  • FIG. 13 makes this A/W comparison (using the previously defined equations) as a function of the silicon mesa width Y SB .
  • the square cell has a U-shaped curve with a minimum whenever the mesa and the trench are equal width. Whenever the source-body dimension is smaller than the gate dimension, any reduction in mesa width reduces the cell perimeter to a greater degree than it saves area, thereby increasing the A/W ratio.
  • the minimum A/W for a closed cell occurs geometrically where the mesa Y SB is also 1 ⁇ m wide, which results in a cell pitch of 2 ⁇ m. At this minimum point, the A/W for a 2 ⁇ m pitch device is the same for either closed cell or stripe geometries.
  • each incremental reduction in cell pitch reduces the channel perimeter significantly more than the area it saves. Accordingly, further decreasing mesa dimensions smaller than the trench gate dimension produces a rapid rise in A/W as Y SB is reduced. Notice also that the A/W minima of both 1 ⁇ m cell designs occur in Region II having Y SB values between unity and 2 ⁇ m. As described earlier, in Region II, where only stripe designs are practical, contact dimensions result in metal step coverage problems. Practical state-of-the-art production devices identified as the two rightmost circles on the curves are still in Region III far from their A/W optima.
  • FIG. 13 also illustrates that 0.8 ⁇ m and 0.5 ⁇ m stripe designs continue to improve, i.e. reduce, the A/W ratio well below the 1 ⁇ m square cell design.
  • a 1.2 ⁇ m mesa can achieve a sub-unity A/W value still using a contact mask based stripe design (Region II).
  • the A/W values are nowhere close to their minima, further shrinking of the mesa into Region I using self-alignment to achieve mesa widths below 0.9 ⁇ m, is still beneficial and warranted.
  • A/Ws below 0.5 ⁇ m are realistically feasible.
  • FIGS. 15 A- 15 D illustrate cross-sectional views of a variety of trench DMOS designs, each with a uniform gate oxide thickness along the trench sidewalls and bottom.
  • uniform is defined as a gate oxide not intentionally manufactured in a way that produces a different oxide thickness on the trench sidewalls than on its bottom surface.
  • the oxide thickness is expected to vary along the trench surface according to different oxidation rates of the various crystallographic planes intersected by the trench itself, and by stress-induced enhanced or retarded oxidation.
  • the body region P B is uniform and no specific region is tailored to exhibit a lower breakdown than the body-to-drain junction 150 , i.e. to serve as a voltage clamp.
  • Such a device could be subject to hot carrier degradation of the gate oxide and undesirably exhibit avalanche near the thin gate oxide. Hot carrier generation can be minimized by keeping the body-to-drain junction 150 as close as possible to the bottom of the polysilicon gate.
  • a deep P region 152 is used to lower the breakdown locally and serve as a voltage clamp (represented schematically on the cross-sectional view as a zener diode 154 between deep P region 152 and N buried layer 156 ).
  • the voltage clamp may be repeated randomly or at regular intervals throughout the device or cell array.
  • the clamp concept is not manufacturable in ultradense devices using the methods known in the prior art. Contact to the small-dimension clamp is not generally possible using conventional methods without creating a short to the gate.
  • the device shown in FIG. 15C is similar to the device of FIG. 15B except that the heavy doping setting the voltage clamp's avalanche breakdown is located inside the P B body region, but with a higher concentration. Contact to the small-dimension clamp is not generally possible using conventional methods without creating a short to the gate.
  • FIG. 15D a butting source/body contact is illustrated, applicable to either closed cell or stripe designs.
  • Metal layer contacts both N+ source region 159 and P+ body contact region 160 , thereby shorting the source and body together.
  • FIGS. 15A, 15B and 15 C a body contact in the z-dimension (along the trench not in the cutaway plane shown in the drawing) is assumed.
  • those devices showing no P+ contact to the P B region could be designed and produced so that the body region is fully depleted in its off state. Because the self-aligned contact extends to the edge of the trench, the length of the N+ source can be shortened and still guarantee a good ohmic contact.
  • the dimensions of the N+ source region and therefore the mesa cannot be achieved without using the techniques described herein.
  • FIG. 16A illustrates the phenomenon of field plate induced (FPI) breakdown in thin gate oxide trench DMOS devices.
  • FPI field plate induced
  • FIG. 17A Another disadvantage of a thin gate oxide trench DMOSFET is the resulting overlap capacitance between the gate and the drain, and the increase in gate charge resulting from this capacitance (see FIG. 17A).
  • the effect of the gate-drain capacitance C GD on the input capacitance and corresponding gate charge is further exacerbated by the Miller effect.
  • the Miller effect is an increase in the input capacitance due to feedback from the gate-drain capacitance. The effect is seen as a flat plateau in the gate voltage curves of FIG. 17B, where the rise of the gate voltage with increasing gate charge is halted while the drain voltage is dropping and the device turns on.
  • the gate voltage resumes its rise proportional to input charge.
  • the gate charge was used to counterbalance the ⁇ V DG occurring across the gate-to-drain capacitance. Since the addition of the plateau requires more charge (value plotted on the x-axis), then the “effective” input capacitance is increased and the device will exhibit a higher energy loss during switching. While the gate-body and gate-source capacitances C GB and C GS are also present, the magnitude of their contribution to the input gate charge, shown in FIG. 17B as the slope of the curve prior to the plateau, is less substantial than the drain term, i.e., the plateau is wider.
  • MOSFET 180 is formed in a stripe design in an N-epitaxial layer 188 , with fully self-aligned features of the trench gate 181 , the silicon mesa 182 , and the contact mask. Across the mesa (in the y-direction), the N+ source region 183 and P B body region 184 is likewise self-aligned to the trench. The N+ source region 183 is periodically interrupted in the z-dimension by P+ body contact regions 185 for contacting the underlying P B body region 184 . This feature is not critical in setting the cell pitch in a stripe design, so self-alignment is not needed for the z-dimension features.
  • the trench top oxide layer 186 embeds the gate below the surface to avoid shorting to the source metal (not shown), but without significantly protruding above the top surface of the silicon mesas 182 . Step coverage problems with the source metal are thus avoided.
  • a uniform N-type buried layer (NBL) 187 is shown in N-epitaxial layer 188 and N+ substrate 189 , indicating that the distance from the top surface to the NBL can be set by ion implantation after the N+ epitaxial layer 188 is grown.
  • NBL N-type buried layer
  • a thick oxide layer portion 190 is formed at the trench bottom but not on the trench sidewalls overlapping the channel region 191 of the device.
  • the gate dimension Y G is chosen as 0.5 ⁇ m and the silicon mesa forming the source-body elements of the device has a dimension Y SB of 0.5 ⁇ m.
  • Y SB Y G (as it does in the preferred embodiment of this design)
  • the A/W for square and stripe geometries are identical, so use of a stripe design does not impose any resistance penalty.
  • the source and body contact construction can also be varied geometrically for the stripe design, as shown in the plan views of FIGS. 19 A- 19 F.
  • the design can be selected to maximize the N+ source perimeter (to achieve the lowest possible resistance) or to maximize the P+ contact to the body region (to suppress parasitic bipolar turn-on, prevent snapback and ruggedize the device), or to compromise between the two.
  • both the N+ source region and P+ body contact form continuous stripes, but with periodic widening of the P+ opening (the hole in the N+) to improve body contact.
  • the narrow portion of the N+ region can be made as small as photolithographic alignment will allow without risking that the N+ region will disappear.
  • the N+ region could be make 0.2 ⁇ m wide (each side), leaving a 0.4 ⁇ m hole for the P+ region.
  • the minimum manufacturable mesa width is therefore around 0.8 ⁇ m for a pitch of 1.3 ⁇ m (assuming a 0.5 ⁇ m trench gate), a density of 59 Mcell cm 2 (381 Mcells/in 2 ) and an A/W of 0.65 ⁇ m.
  • Such a “corrugated” design is a compromise between resistance and ruggedness.
  • the P+ region may become so narrow as to provide only a fairly resistive contact.
  • the P+ region would narrow to 0.2 ⁇ m.
  • the lateral diffusion of the N+ region into the P+ region must be minimized by limiting the amount of high temperature processing after the N+ implantation (a rapid thermal anneal is preferred).
  • the segmented N+ source design of FIG. 19C reduces the N+ contact and the channel perimeter further, compromising on-resistance to achieve enhanced ruggedness.
  • the minimum manufacturable mesa width for this design is preferably around 0.9 ⁇ m for a pitch of 1.4 ⁇ m (assuming a 0.5 ⁇ m trench gate), a density of 51 Mcell/cm 2 (329 Mcells/in 2 ) and an A/W of 0.7 ⁇ m.
  • the N+ contact resistance of this design could vary considerably in manufacturing, however, since each island of N+ requires its own good quality contact.
  • FIG. 19D Another design which does not compromise N+ contact resistance at all is the bamboo or ladder structure of FIG. 19D where the N+ source is contacted along its length except for an occasional P+ strap.
  • the minimum manufacturable mesa width is not restricted by its structure.
  • a 0.5 ⁇ m wide mesa yields a pitch of 1.0 ⁇ m (assuming a 0.5 ⁇ m trench gate), a cell density of 100 Mcell/cm 2 (645 cells/in 2 ) and an A/W of 0.5 ⁇ m (increased linearly by the periodicity of the P+ straps).
  • Such a design should be scaleable in the future to 1 Gcell/in 2 densities (a 0.8 ⁇ m pitch) and an A/W of 0.4 ⁇ m.
  • the window and strapped window based designs of FIGS. 19E and 19F have similar geometric features to the corrugated and strapped corrugated designs of FIGS. 19A and 19B, respectively, but with better N+ contact resistance and less P+ contact area
  • a preferred embodiment of an SSA trench DMOSFET is expected to exhibit structural and electrical characteristics as summarized in the Table 1.
  • the ESD protection shown in Table 1 invokes a combination of back-to-back PN junction diodes D 1 , D 2 produced in a polysilicon layer and electrically shunting the gate to source electrodes of the trench power DMOS. Below a specified voltage, typically 6.5- to 8-V per series-diode pair, the diodes D 1 , D 2 remain open circuit (except for junction leakage in the sub-microamp range). Above the diode voltage, they experience avalanche breakdown and conduct, clamping the maximum gate voltage.
  • a single pair shown in FIG. 20A can protect against ESD pulses to some degree but still may allow some overvoltage stress of the gate oxide to occur. Furthermore a single stage design cannot survive a DC overvoltage stress under a steady state condition.
  • the 2-stage clamp of FIG. 20B avoids this problem altogether by limiting the current flowing into the second diode pair D 3 , D 4 by the value selected for the series gate resistor R 1 .
  • the network can survive a DC overvoltage condition above the gate rupture voltage indefinitely as long as the inner diode pair D 1 , D 2 breaks down and protects the oxide while the voltage on the device's terminals does not exceed the breakdown of the outer diode pair D 3 , D 4 .
  • the blocking voltage of the diode pair D 3 , D 4 can be equal to the blocking voltage of the diode pair D 1 , D 2 .
  • the poly diode construction is shown in FIG. 20C for two back-to-back pairs D 5 , D 6 , D 7 , D 8 series connected, i.e. NPNPN, using the N+ from the source implant as the N+ cathode, and likely using a dedicated P-type implant as the anode doping to set the value of the breakdown.
  • the diodes D 5 -D 8 are formed in a polysilicon layer 198 which overlies an oxide or dielectric layer 199 .
  • Contact to the cathodes of diodes D 5 and D 8 are made by a metal layer 197 . If a gate oxide layer capable of withstanding a voltage lower than 6.5V is to be protected, the inner avalanche diode stack must be replaced by an array of paralleled forward biased diodes, instead (see FIG. 20D).
  • FIG. 21A illustrates an SSA trench DMOSFET 210 with the N buried layer NBL 212 overlapping the thick oxide layer 214 at the bottom of the gate trench to achieve an improved on-resistance in lower breakdown voltage devices (especially for avalanche breakdown voltages below 12 V), by eliminating the epitaxial component of drain resistance.
  • the N buried layer (NBL) may be implanted immediately after the growth of the epi layer, i.e., before the formation of the trench, or after the formation of the trench prior to the refill of the trench.
  • the NBL when the NBL is implanted after the trench formation, it exhibits a shape which conforms to or follows the shape of the silicon top surface during the implantation. Accordingly, the NBL extends further into the substrate in regions underneath the trench than in the mesa areas between the trenches. In the regions beneath the mesas, the NBL extends further into the epitaxial layer and toward the trenches, even overlapping into the mesa regions between trenches. In FIG. 21C, the contours of the NBL follow those of the trench, and the regions of the mesas between the thick oxide at the bottoms of the trenches become doped.
  • Such a shape can be formed by ion implantation at some intermediate stage of the trench formation, e.g., after the thick bottom oxide deposition but before the refilling of the trench with the gate polysilicon, or after the polysilicon refill and etchback but before the deposition of the second polysilicon layer.
  • Fabrication of an SSA trench DMOSFET is outlined in the flow chart of FIG. 22. Included are major blocks associated with:
  • the flow chart of FIG. 22 details the steps used to form each structural element as a series of labeled rectangles. Those steps where the corner of the rectangle is clipped are optional and may be omitted if certain structural features are not required for a particular embodiment. Multiple paths for the arrows indicate an optional process flow. The flows described do not preclude other sequences which produce similar structural elements and are thus not meant to be limiting.
  • FIG. 23 A cross-sectional view of an SSA trench MOSFET produced by this process sequence is shown in FIG. 23. While the device shown is an N-channel SSA trench DMOS, the flow can also produce an SSA P-channel device by substituting N-type dopants for P-type, and vice-versa. Since the process is, in its preferred embodiment, a low-thermal-budget fabrication sequence, the diffusion cycles need not be altered significantly to produce a P-channel device.
  • FIG. 23 illustrates the important features of the device 250 including its active cell array 260 , gate bus region 270 , polysilicon diode region 280 , and edge termination region 290 .
  • the drawing is schematic and illustrative in the sense that the spatial relationship among the various regions may vary based on the device layout, and the devices may occur in various combinations depending on which cross-sectional cut line is chosen.
  • the purpose of FIG. 23 is to show a variety of regions in a single drawing to illustrate the manufacture of such a device.
  • a number of trench gate segments 262 form an array or grid containing an embedded polysilicon gate 264 with a thin gate oxide layer portion 266 on the sidewalls adjacent the channel regions 263 , a thicker oxide layer portion 268 overlying the polysilicon gate 264 (to electrically isolate the gates from the overlying source metal layer 269 ), and in a preferred embodiment, a thicker gate oxide layer portion 261 located at the bottom of the trench.
  • the embedded polysilicon gate 264 extends below the bottom extent of the body region, labeled P B , and into the epitaxial drain material 267 , which may be uniformly doped, may be graded or stepped in concentration with the lightest doping near the trench, or may contain the implanted buried layer 265 as shown.
  • the buried layer 265 is identifiable as an implanted layer since its center (vertically in the x-dimension) is not located near the interface between the epitaxial layer 267 and the N+ substrate 300 .
  • An N+ source region 302 extends across the mesas formed by the transecting trench segments and is in contact from trench-to-trench with a barrier metal sandwich 303 (such as Ti/TiN or W).
  • the barrier metal can be reacted at an elevated temperature to form a silicide with the silicon mesa.
  • the barrier metal is covered by the thick source metal layer 269 , preferably pure aluminum (Al), aluminum with 1% copper (AlCu), aluminum with 1% copper and 1% silicon (AlCuSi), or possibly pure copper.
  • Body contact is achieved with the periodic introduction of shallow P+ doped regions where N+ is not located, either at the edge of the array or throughout the array along the stripes according to the structures of FIGS. 19 A- 19 F.
  • the gate bus region 270 includes a gate 272 with a heavily doped polysilicon portion embedded in a trench 271 and extending onto the top surface with a strapping metal layer 273 , which may represent a gate bus or a gate bonding pad area.
  • the polysilicon layer 278 outside of the trench sits atop a nitride layer 274 , with a thin oxide layer 275 beneath nitride layer 274 .
  • the polysilicon is oxidized on its edges and the entire structure is encapsulated with another nitride layer 276 , 295 on top.
  • the polysilicon diode region 280 includes the same structure as the gate bus, except that the portion of polysilicon layer 278 that is in the diode region 280 is moderately doped with a P A anode implant and selectively counterdoped by the N+ source implant to form a series of diodes 288 .
  • Any polysilicon (such as layer 278 ) extending laterally along the surface in the gate bus or polysilicon diode structure includes a P B body junction beneath it, except in the termination region 290 .
  • the polysilicon gate 272 and polysilicon layer 278 are contacted by the metal layers 269 , 273 , with the intervening Ti/TiN barrier metal 281 localized to the contact windows.
  • the opening of the contact window 281 to contact the polysilicon layer 278 is defined by a contact mask, which etches through the encapsulating nitride layer 276 and the thin polysilicon oxide 283 .
  • the series of polysilicon diodes 288 is generally electrically connected to the source metal layer 269 on one end and to the polysilicon gate 272 on the other.
  • the N+ portion of polysilicon gate 272 and polysilicon layer 278 is connected either by a metal layer (not shown) or through N+ polysilicon embedded in the trenches to other polysilicon gate regions such as the gates 264 in the active region 260 .
  • the outer termination region 290 includes a polysilicon field plate 291 (a portion of polysilicon layer 278 and an extension of polysilicon electrode 293 ) sitting atop nitride layer 274 and oxide sandwich 275 and extending past the P-body 292 .
  • Polysilicon electrode 293 /field plate 291 may be biased at either the gate or the source potential.
  • the contact to polysilicon electrode 293 /field plate 291 is made through source metal layer 269 . If, instead the source metal layer 269 were split from the polysilicon electrode 293 /field plate 291 , then polysilicon electrode 293 /field plate 291 could alternatively be electrically shorted to the gate electrode 272 via strapping metal layer 273 .
  • the operation of the gate 272 and field plate 291 would be identical.
  • the additional gate bias above the source potential in the on-state does not substantially modify the operation of the field plate, so the field plate is capable of performing the tasks of a termination in all gate bias conditions.
  • a second polysilicon electrode 294 and second field plate 299 biased at the drain potential circumscribe the outer edge of the device and extend laterally toward the body junction, stopping to form an intervening gap laterally between it and the source field plate 291 .
  • the gap is filled with nitride 295 , which also seals and encapsulates the polysilicon field plates 291 and 299 and protects thin oxide sandwich 275 .
  • the outer polysilicon electrode 294 and field plate 299 are shorted via metal 296 to the outer edge of the device, i.e. the drain potential, by a N+ contact 297 to the portion of epitaxial layer 267 at the die edge.
  • the second field plate 299 could be extended to the outer edge of the chip and into the scribe line area, where the saw used to separate the chips would cut through the field plate 299 thereby shorting it to the drain.
  • the main structural feature of the disclosed invention is its SSA (super-self-alignment) as defined by the nitride layer 274 .
  • the process flow is defined in FIGS. 24 A- 24 Q.
  • the process begins with N+ substrate 300 , on which N-epitaxial (epi) layer 267 is grown by a known process. Stress relief oxide layer 275 is formed, and blanket buried layer 265 is implanted, as shown in FIG. 24B.
  • the steps are uniform for the active array region 260 , gate bus region 270 , polysilicon diode region 280 and edge termination region 290 .
  • the energy of the NBL implant can be adjusted to program the BV DSS of the device.
  • Table 2 shows typical process parameters.
  • the symbols B + , P + and P ++ refer to singly ionized boron, singly ionized phosphorus, and doubly ionized phosphorus, respectively.
  • N-channel N++ substrate 300 1 to 5 m ⁇ cm 1 to 3
  • Lowest possible P++ boron arsenic/phosphorus m ⁇ -cm resistivity Same spec N-epi layer 267 1 to 10 ⁇ m 3 ⁇ m Set by BV DSS P-epitaxy (thickness and 10 to 4 ⁇ 10 17 cm ⁇ 3 spec doping phosphorus concentration)
  • Stress relief oxide 30 to 700 ⁇ 90 ⁇ Implant As N+ Layer may be layer 275 (thickness, 800 to 1100° C. 850° C.
  • the gate trench formation involves the photomask definition and etching of the trench using a hardmask of nitride layer 274 or another dielectric that will survive the etching process.
  • Nitride layer 274 is deposited by chemical vapor deposition (CVD) and may be capped with a thin oxide to help reduce its erosion.
  • the nitride layer 274 or other dielectric must have a good dry etch selectivity versus oxide later in the process.
  • FIG. 24C shows the deposition of nitride layer 274 . Any other dielectric which shows such selectivity compared to oxide could also suffice.
  • FIG. 24D shows the trench-masking step with fine lines and spaces to form the trench segments 262 in the active array region 260 .
  • a photoresist layer 320 is deposited over nitride layer 274 and patterned using known photolithographic processes (Mask 1 ).
  • Mosk 1 known photolithographic processes
  • the trench etch, shown in FIG. 24E, is performed using a RIE etcher (the same equipment commonly used for polysilicon etching).
  • Photoresist layer 320 may be left in place during the silicon trench etch, even though the nitride or oxide-nitride stack will act as a mask. Hard-baking the photoresist at a higher than average temperature (e.g., 10-20° C. higher than conventional bakes) will make the photoresist stiffer by improving cross-linking of the photoresist. Exposure to ultraviolet (UV) light has a similar effect. The steep profile of the photoresist will therefore be maintained during etching. Specifically, these steps minimize the erosion of the nitride during the silicon trench etch. Afterward, the photoresist is stripped. Typical process parameters are shown in Table 3.
  • the trench is oxidized and the sacrificial oxide layer is etched (not shown) to remove any damage.
  • the trench is then oxidized to form the gate oxide layer 266 .
  • a thick oxide layer 261 is formed on the bottom of the trench before the final sidewall gate oxide layer 266 is grown.
  • the first polysilicon layer 322 is then deposited and preferably doped simultaneously in situ to a low resistivity, preferably with phosphorus for an N-channel device or with boron for a P-channel device.
  • polysilicon layer 322 could be deposited, undoped, and implanted with phosphorus at an energy of 60 to 100 KeV at a dose of 1 to 7 ⁇ 10 15 cm ⁇ 2 and then annealed at 900 to 1100° C. for 10 minutes to 2 hours.
  • the first polysilicon layer 322 is then etched back down into the trench, or at least below the surface of nitride layer 274 .
  • the polysilicon layer 322 is totally removed from the polysilicon diode region 280 at this step.
  • Illustrative process parameters for the steps shown in FIGS. 24F and 24G are shown in Table 4. TABLE 4 Feature Range Target Requirement P-channel Sacrificial oxide 70 to 200 ⁇ 300 ⁇ Remove damage same (thickness, anneal 800 to 900° C. 850° C.
  • an oxide layer will remain on top of the nitride layer 274 after the etchback of the polysilicon (not shown in FIG. 24G). This oxide is preferably removed at this step prior to subsequent ion implantations. Care must be taken not to etch or damage the gate oxide during an oxide etch.
  • the body region P B is next introduced through the nitride layer 274 . Since boron is a small ion, it is the preferred P-type dopant. Boron easily penetrates the nitride layer 274 and can be implanted deep into the epitaxial layer 267 forming the body doping profile from ion implantation alone, without the need for a long drive-in diffusion. In the event that the final profile is ion-implanted, the photoresist layer 324 needed to block implantation from the termination region 290 must be thick enough, generally over 1 to 3 ⁇ m, to block implants up to the MeV range.
  • a boron implant in the range of 800 keV to 3 MeV with a dose in the range of 8 ⁇ 10 cm ⁇ 2 to 8 ⁇ 10 13 cm ⁇ 2 is recommended.
  • the feature size of photoresist layer 324 is not critical since only the termination requires implant blocking.
  • the body implant can be introduced later in the cycle, but implantation at this stage has the benefit the resulting body-drain junction is uniform, avoiding any localized junction breakdown problems.
  • the body implant in a conventional diffused version is at a dose in the range of 1 ⁇ 10 13 to 1 ⁇ 10 14 cm ⁇ 2 and energy of 60 to 100 keV. This shallow implant is then followed by a drive-in diffusion at 1050° C. to 1150° C. for 6 to 15 hours, resulting in a typical junction depth of 1.7 ⁇ m. More information on typical process parameters is given in Table 5.
  • P-channel Body mask (Mask No small mask 4 ⁇ m at die Block body Same but 2) features except edge implant up to blocking (photoresist) termination MeV range phosphorus Body implant 10 13 to 10 14 cm ⁇ 2 ; 6 ⁇ 10 13 cm ⁇ 2 ; After diffusion; P + implant; (conventional) 60 to 150 keV 80 keV 400 to 900 ⁇ /sq.
  • a “chained implant” technique can be used to form the body region.
  • a succession of “chained” boron implants can be performed at a dose of 7 ⁇ 10 12 cm ⁇ 2 and at energies of 1 MeV, 700 keV, 525 keV, 375 keV, 225 keV and 125 keV.
  • different doses and energies can be used, and more than one dose can be used in a single device.
  • This process produces a dopant profile of the general form shown in FIG. 26B (wherein a “chain” of four implants is shown), which can be compared to the conventional dopant profile of a single implanted body as shown in FIG. 26A.
  • the chained implant method produces a more uniform body doping concentration and a steeper concentration gradient (at the body-drain junction), with a higher total body charge for a given threshold voltage, thereby reducing the vulnerability of the device to punchthrough breakdown.
  • This technique also has the advantage that the depth of the source-body junction does not, to a first order, affect the threshold voltage of the device, as it does in DMOS devices formed with conventional diffused body processes.
  • the body-drain junction can be targeted at the same depth as in a conventional diffused-body MOSFET.
  • the maximum implant energy is chosen to penetrate the nitride and set the junction at the desired depth.
  • the implants into the mesa regions do not need to penetrate the thick first polysilicon layer 322 , since polysilicon layer 322 was removed from over the mesas in the etchback step described above.
  • the gate bus and polysilicon diode are formed in a second deposited polysilicon layer 278 , deposited across all device areas as shown in FIG. 241, contacting with the exposed remaining portions of polysilicon layer 322 .
  • Polysilicon layer 278 is deposited undoped or lightly doped so that it can easily be counterdoped by subsequent implants, such as the diode implant or the source implant. No interfacial oxide can be present between the polysilicon layers 322 and 278 .
  • the polysilicon layer 278 is next blanket-implanted with boron to form the anodes of the PN junctions in the polysilicon diode.
  • an optional thin oxide layer 328 is then formed on top of the polysilicon layer 278 , and a nitride layer 330 is deposited by chemical vapor deposition and patterned by the “polymask” (not shown).
  • the nitride patterning is referred to as the “polymask” because it is this mask feature which will determine where polysilicon emerges out of the trench and onto the surface so that a contact to the polysilicon can be made. It is also the polymask that determines where polysilicon layer 278 will sit atop the field oxide to define the gate buses and the field plates in the drain and diode areas.
  • the nitride layer 330 will be subjected to an etchback whereby the polysilicon will be removed from the surface and etched back into the trenches (i.e., embedded). Accordingly, the nitride layer 330 is removed in the active array region 260 , but left protecting the gate bus region 270 and the polysilicon diode region 280 . Two regions in the termination region 290 are also left protected by the nitride layer 330 , one for the source field plate 291 , the other for the drain field plate 299 .
  • the nitride layer 330 serves two roles: first it defines where the polysilicon layer 278 will not be etched back, and secondly, it prevents the subsequent oxidation of the polysilicon bus 278 , source and drain field plates 291 , 299 and the polysilicon diode region 280 .
  • polysilicon layer 278 is etched back in the exposed areas to a level even with the bottom of nitride layer 274 .
  • Nitride layer 274 is exposed in the center of the termination region 290 and on top of all the silicon mesas in the active array region 260 .
  • Typical process parameters for the steps illustrated in FIGS. 24 I- 24 K are given in Table 6.
  • the exposed surfaces of polysilicon layer 278 in trench segments 262 is oxidized to form oxide layers 268 in the active array.
  • the side edges of polysilicon layer 278 in the gate bus region 270 and the termination region 290 i.e., the exposed areas not covered by nitride layer 330 , are also oxidized.
  • the mesas in the active array 260 are protected from oxidation by nitride layer 274 , and the polysilicon layer 278 in the gate bus region 270 , the polysilicon diode region 280 and the termination region 290 is protected from oxidation by nitride layer 330 .
  • the nitride layer 274 is stripped from the active array region 260 exposing the thin oxide layer 275 atop the silicon mesas for the first time since the beginning of the process.
  • Nitride layer 330 is also removed, leaving the top surfaces of the polysilicon gate bus, the polysilicon diode and the field plates 291 , 299 covered only by the thin polysilicon oxide 328 that was grown after the deposition of polysilicon layer 278 .
  • the polysilicon layer 278 is doped with a blanket anode implant of P-type impurity (not shown), so that polysilicon layer becomes P-type except where layer 278 contacts the in-situ doped polysilicon layer 322 , where some out diffusion may occur into layer 278 .
  • the updiffusing of the highly doped N+ polysilicon layer 322 may cause some of the overlying undoped portions of the polysilicon layer 278 to become doped with N-type impurity to a concentration that is higher than concentration of P-type dopant from the anode implant.
  • the portions of polysilicon layer 278 directly above the trenches will exhibit an N+ dopant concentration, while the portions of polysilicon layer 278 in the field plates 291 , 299 may remain P-type until the N+ source implant (described below).
  • a photoresist layer 332 is then applied, defining the N+ source regions 302 in the active array region 260 and the cathodes of the diodes in the polysilicon diode region 280 .
  • Photoresist layer 332 also fills the gaps in the nitride layer 274 in the gate bus region 270 and the termination region 290 .
  • Photoresist layer 332 is then removed. Typical process parameters for the steps shown in FIGS. 24 L- 24 N are shown in Table 7.
  • passivation nitride layer 276 is then deposited by chemical vapor deposition, as shown in FIG. 24O. This is followed by a contact mask (not shown) which opens nitride layer 276 and exposes polysilicon layer 278 (covered only by thin oxide layer 328 ) in the regions to be electrically contacted. In the active array region 260 the nitride layer 276 is completely removed. A shallow boron implant is next introduced as a blanket implant, preferably using BF 2 at a low energy and a low concentration so as not to counterdope the N+ regions.
  • the nitride layer 276 also protects the regions between the field plates 291 , 299 in the termination region 290 .
  • the boron implant can be performed through a photomask defined photoresist layer and limited to the regions where the body contact is to be formed (described below) Contacts are made to the polysilicon diode cathodes, and to the gate bus. This step is accomplished by a contact mask which opens areas for these selective contacts since they are not defined by the remaining portions of nitride layer 276 . If the contact mask covers the active array, the oxide 328 is etched in the contact windows and then the mask can be removed, followed by a dip to remove the remaining oxide remaining under the nitride in the active areas. If the photomask has an open feature in the polysilicon diode region 280 , and edge termination region 290 , and the active array area 260 , care must be taken not to overetch oxide layer above the trenches so as to cause a short.
  • the thin oxide layer 328 exposed in the active contact areas is then dipped off, without undue etching of the oxide layer 268 atop the polysilicon gates embedded in the trench.
  • the barrier metal 303 is then applied in the areas where the polysilicon layer 278 and the silicon surface of the mesa in the active array region 260 have been exposed. Typical parameters for the process steps shown in FIGS. 24 O- 24 Q are shown in Table 8.
  • the mask should keep P+ dopant from entering the channel regions along the trench sidewalls except in the areas where the body is to be contacted. Table 9 gives some process variables for this optional step.
  • P-channel P+ mask Blocks BF 2 2 ⁇ m Defines body Blocks As (photoresist) implant feature contact implant P+ implant (energy 20 to 80 keV BF2t 0.8 ⁇ m No depth Ast and dose) 7 ⁇ 10 14 to 8 ⁇ 10 15 restriction 60 keV cm ⁇ 2 5 ⁇ 10 15 cm ⁇ 2
  • metal layer 269 completes the fabrication. No passivation mask is needed since the nitride layer 276 passivates the termination and the polysilicon gate buses.
  • the process variables for the metal layer 269 are shown in Table 10. TABLE 10 Feature Range Target Requirement P-channel Metal layer 269 0.5 to 5 ⁇ m 3 ⁇ m Ohmic contact same (thickness and AlCu, AlCuSi, AlSi AlCu composition) Metal mask 1 to 20 ⁇ m lines 2 ⁇ m No shorts same (Mask 6) 1 to 3 ⁇ m spaces lines and (photoresist/etch) spaces
  • FIGS. 25 A- 25 C illustrate the steps of one method for forming a thick oxide layer on the bottom of the trench (see FIG. 24F).
  • a sacrificial gate oxide layer 352 is formed on the bottom and sidewalls of the trench by a thermal process to repair damage to the silicon caused by the etching process.
  • Oxide layer 352 is then removed.
  • Oxide is then deposited in a vertical direction by CVD to fill the trench 262 and overflow the nitride layer 274 , as shown in FIG. 25A.
  • the result is oxide layer 350 .
  • Oxide layer 350 is then etched back until all that remains is the thick oxide layer 261 on the bottom of the trench 262 , as shown in FIG. 25B.
  • Thin oxide layer 266 is then grown on the sidewalls of trench 262 by a thermal process. As noted in Table 4, gate oxide layer 266 is typically 70 to 700 ⁇ thick.
  • the problems associated with combining a contact mask with a narrow mesa leading to metal step coverage problems can be overcome by one of several additional techniques. These techniques permit the structure shown in FIG. 12A to be fabricated but with the size of the “large” contact being reduced laterally sufficiently to produce devices in Region II of FIG. 13 or, in conjunction the SSA techniques described herein, in Region I.
  • FIG. 27A illustrates a trench MOSFET wherein a contact with a mesa has a submicron width, even though the oxide layer 400 has a thickness greater than the width ⁇ N+ of the contact.
  • This structure can be fabricated by performing the deposition of the metal layer 402 (e.g., aluminum) at a high pressure, typically several times atmospheric pressure (e.g., 1.2-4 atmospheres). The high pressure helps to force the metal ions (typically aluminum or copper) into the contact window, thereby avoiding the confirmal deposition properties that give rise to the notches and voids shown in FIGS. 8B and 8C.
  • the metal layer 402 e.g., aluminum
  • atmospheric pressure typically several times atmospheric pressure (e.g., 1.2-4 atmospheres).
  • the high pressure helps to force the metal ions (typically aluminum or copper) into the contact window, thereby avoiding the confirmal deposition properties that give rise to the notches and voids shown in FIGS. 8B and 8C.
  • deposition of aluminum-copper-silicon can be performed at conditions that are identical to those normally used but at pressures elevated above atmospheric, giving rise to improved step coverage. For example, at two atmospheres and a wafer temperature of 250° C., the step coverage is better than at atmospheric pressure.
  • the high pressure deposition of the thick metal layer 402 can be combined with the formation of a barrier layer 404 .
  • a barrier such as a sandwich of Ti and TiN
  • the deposition can be performed at elevated temperatures, e.g., over 400° C. and even approaching the melting temperature of the metal (e.g., aluminum), without causing the metal in layer 402 to alloy with or sinter into the barrier metal so as to produce metal “spikes” that can short the N+ source region (or the P-body) to the to the gate electrode or crystal defects that lower the quality of the gate oxide layer.
  • the temperature is sufficiently high (e.g., 400 to 450° C.)
  • the deposition can be conducted at atmospheric pressure.
  • the deposition can be performed, for example, by sputtering, evaporation, chemical vapor deposition (CVD),.or plasma-enhanced chemical vapor deposition (PECVD).
  • the contact windows can be filled with another material such as tungsten or copper and then planarized using known procedures, to form plugs 406 which interconnect the top metal layer 408 with the barrier metal 404 .
  • the device shown in FIG. 27C is formed using a process flow that includes a contact mask.
  • An oxide layer 400 is deposited, masked and etched to form the contact openings.
  • the dashed lines represent the boundary between the oxide resulting from the oxidized gate polysilicon and the deposited oxide layer 400 .
  • the device shown in FIG. 27D is formed using the SSA process of this invention.
  • a layer 412 of a glass such as borophosphosilicate glass (BSPS) is then flowed over the SSA structure, and a contact mask is used to define contact openings 414 in the glass layer 412 which are filled with the metal that forms plugs 406 .
  • the glass layer 412 is deposited on top of the oxidized surface of the polysilicon embedded gate, i.e., the top oxide.
  • Layer 413 is nitride that remains from the nitride layer used in the SSA process.
  • the motivation for a contact mask and intervening glass in the SSA flow is primarily to reduce the coupling capacitance between the source metal and the top of the embedded trench gate.
  • FIGS. 28 A- 28 D show a process sequence for forming a device according to this aspect of the invention.
  • the trench MOSFET has been coated with a glass layer 420 , which could for example be borophosphosilicate glass (BPSG), to have a relatively flat top surface.
  • BPSG borophosphosilicate glass
  • the device is then masked and etched to form contact openings 422 , and an optional barrier metal layer 424 is deposited on the surface of the N+ source regions.
  • a layer 428 of a material such as tungsten is used to fill the contact openings 422 , the layer 428 extending to a level well above the surface of the glass layer 420 .
  • tungsten layer 428 is either etched back or ground flat, using chemical-mechanical polishing, and a metal layer 430 is deposited of layer 428 .
  • Tungsten layer 428 provides a flat surface so that the metal layer 430 does not have to extend over the step formed by glass layer 420 .

Abstract

A novel super-self-aligned (SSA) structure and manufacturing process uses a single photomasking layer to define critical features and dimensions of a trench-gated vertical power DMOSFET. The single critical mask determines the trench surface dimension, the silicon source-body mesa width between trenches, and the dimensions and location of the silicon mesa contact. The contact is self-aligned to the trench, eliminating the limitation imposed by contact-to-trench mask alignment in conventional trench DMOS devices needed to avoid process-induced gate-to-source shorts. Oxide step height above the silicon surface is also reduced avoiding metal step coverage problems. Poly gate bus step height is also reduced. Other features described include polysilicon diode formation, controlling the location of drain-body diode breakdown, reducing gate-to-drain overlap capacitance, and utilizing low-thermal budget processing techniques.

Description

    BACKGROUND
  • FIG. 1 illustrates a conventional vertical double-diffused MOSFET (DMOS) [0001] 10 with a trench gate 11, a diffused P-type body diffusion (PB), a shallow N+ source region 12, a P+ body contact region 13, formed in an N-type epitaxial layer Nepi, grown on an N+ substrate. The source and body contact regions 12, 13 are shorted by a source metal 14, using a butting contact structure. The gate 11 is embedded in a trench 15 etched into the epitaxial layer Nepi, oxidized and then filled with doped polysilicon. The channel of the device is formed along the sidewall of the trench in the silicon region extending between the N+ source-to-PB body junction to the junction formed between the PB body and the N-type epitaxial drain. In conventional devices, the gate oxide 16 on the trench sidewalls and bottom is formed simultaneously and is therefore of uniform thickness (except for the subtle variations due to compressive oxidation effects on curved surfaces and differing oxidizing rates on various crystallographic planes).
  • The drain doping is typically lower in concentration than the P[0002] B body region so as to provide substantial depletion spreading in the drain and minimal depletion spreading in the channel for any applicable voltage. The heavier doping in the PB body avoids punchthrough breakdown and other undesirable effects of the short channel, which normally has an effective length of 0.3 to 1 μm.
  • The on-resistance of such a device is determined by the sum of its resistive components shown in FIG. 2, namely its substrate resistance (R[0003] sub), its epitaxial drain resistance (Repi), its channel resistance (Rch), its source contact resistance (Rc), and its metal interconnect resistance (RM). The epitaxial resistance (Repi) is subdivided between a region where current emanating from the channel is spreading out (Repi1) and, in the case of thicker epi layers, another region where the current has become uniform (Repi2).
  • R DS =R M +R c +R ch +R epi +R sub  (1)
  • where[0004]
  • R epi =R epi1 +R epi2  (2)
  • The primary design goal for a power MOSFET used as a switch is to achieve the lowest on-resistance by simultaneously minimizing each of its resistive constituents. The following factors must be considered: [0005]
  • 1. The metal resistance is minimized through the use of a thicker metal layer. [0006]
  • 2. Grinding the wafer to the thinnest possible dimension minimizes the substrate resistance. The grinding must be performed near the end of the fabrication process so that the risk of breakage from handling is minimized. [0007]
  • 3. There is an unavoidable tradeoff between the avalanche breakdown voltage and the on-resistance of the device. Higher breakdown voltages require thicker, more lightly doped epitaxial layers contributing higher epitaxial resistances. Generally, the doping of the epitaxial layer is chosen so as to provide the most highly-doped layer capable of supporting the required off-state blocking voltage (i.e. its specified avalanche breakdown voltage). [0008]
  • 4. The channel resistance is minimized by maximizing the channel perimeter for a given area. The individual cells of the MOSFET may be constructed in any striped or polygonal shape. Ideally, the shape chosen should be one that can be repeated at a regular pitch so that more cells can be connected in parallel in a given area. By paralleling many cells and operating them in tandem an extremely low on-resistance can be achieved. [0009]
  • 5. Higher cell densities have the advantage that the current in the epitaxial drain becomes uniform closer to the surface, more fully utilizing the epitaxial layer for conduction and reducing the spreading resistance term (R[0010] epi1) of the epitaxial resistance. As may be seen be by comparing FIG. 3A with FIG. 3B, a smaller cell pitch reduces the area wasted where no current flows, conducting current uniformly through a greater percentage of the total thickness of the epitaxial layer. The more uniform conducting epitaxial layer exhibits a lower drain resistance.
  • Maximizing the perimeter of the trench gate for a given area lowers the channel resistance (R[0011] ch), since the equation for the MOSFET channel conduction depends on the total “perimeter” of the gate, not the area of the device.
  • The equation for the channel resistance of a conventional lateral MOSFET can be used to approximate the channel resistance of a vertical DMOS. [0012] R ch = 1 μ · C ox · W L ch · ( V GS - V t ) where ( 3 ) C ox = ɛ ox χ ox combining · gives ( 4 ) R ch · W = 1 μ · C ox · 1 L ch · ( V GS - V t ) ( 5 )
    Figure US20020019099A1-20020214-M00001
  • Expressed in terms of area using the geometric figure of merit A/W yields the form [0013] R ch A = R ch W · A W whereby ( 6 ) R ch A = 1 μ · C ox · 1 L ch · ( V GS - V t ) · A W ( 7 )
    Figure US20020019099A1-20020214-M00002
  • Since it is desirable to maximize W and minimize A, the figure of merit A/W needs to be reduced to lower the channel resistance. To determine the A/W for various cell geometries, the equations for area A and perimeter W can be defined in terms of the trench width (the surface dimension Y[0014] G of the trench, as distinguished from the “gate width W”) and the width YSB of the source-body “mesa” between trenches. For the continuous stripe of surface length Z, as shown in FIG. 4A, we have
  • A=Z·(Y G +Y SB)  (8)
  • and[0015]
  • W=2Z  (9)
  • yielding [0016] A W = ( Y G + Y SB ) 2 ( 10 )
    Figure US20020019099A1-20020214-M00003
  • In other words, the A/W for a stripe geometry is simply one-half of the pitch. For the square cell of FIG. 4B, the perimeter is[0017]
  • A=(Y G +Y SB)2  (11)
  • and[0018]
  • W=4Y SB  (12)
  • so [0019] A W = ( Y G + Y SB ) 2 4 Y SB ( 13 )
    Figure US20020019099A1-20020214-M00004
  • Compared to the stripe geometry, the square cell geometry offers a lower resistance whenever the gate is small compared to the source-body dimension. Since in a conventional trench-gated DMOS, manufacturing a small trench is not as difficult as manufacturing a small silicon mesa, the closed cell geometry is superior in performance. In the event that the gate dimension is larger than the source-body mesa dimension, the stripe geometry offers superior performance. This circumstance is difficult to achieve in practice, especially in narrow trench gate designs where the alignment tolerances needed to form the source and body regions and to establish a contact to them leads to a wide mesa. Whenever the gate dimension Y[0020] G and the source-body mesa dimension YSB are equal, then there is no difference between the two geometries in terms of minimizing A/W.
  • The presence of a source at the square corners in an array of trench-gated DMOS cells has been found to lead to off-state leakage in the device, possibly due to defects along the trench corners or some enhanced diffusion of the source along the corners. One solution to this problem is to block the N+ source from being implanted into the corners of the trench using a photoresist mask, as shown in FIG. 4C. Unfortunately, this corner block feature reduces the gate perimeter of the device and increases channel resistance. Assume the donut-shaped source has a width of Y[0021] S, which necessarily must be less than half the mesa width YSB. If we remove only the corners from the source mask as shown, the perimeter of the device is no longer 4YSB, but drops to
  • W=4·(Y SB −Y S)  (14)
  • so [0022] A W = ( Y SB + Y G ) 2 4 · ( Y SB - Y S ) ( 15 )
    Figure US20020019099A1-20020214-M00005
  • The predicted resistance penalty due to the corner block is linear, so if Y[0023] S is 20% of YSB, the gate perimeter is reduced by 20% and the channel resistance is increased accordingly. This explanation is a worst case model since it assumes no conduction in the corner-blocked region. In reality, some current flows in the corner blocked regions, but they correspond to a transistor having a longer channel length and possibly a different threshold voltage. Furthermore, as the cell is scaled to smaller dimensions it becomes impractical to continue to employ the corner block concept since the corners become too close together. The reduction of source perimeter becomes substantial in such a case and the contact area of the source also suffers.
  • The need for corner blocking may conceivably be eliminated in a hexagonal cell trench DMOS (see FIG. 4D), since the angles around the perimeter of the hexagonal mesas are less acute (actually obtuse). On the other hand, the etched surfaces of the trench do not run parallel to natural crystallographic planes in silicon. By cutting across multiple crystal surfaces, the surface roughness of the channel is increased, channel mobility declines, and channel resistance increases. Despite some claims to the contrary in commercial and industry trade magazines, the packing density of hexagonal cells is no better then the conventional square cell design, resulting in exactly the same A/W. [0024]
  • Thus, to maximize the cell density and minimize the cell pitch of a vertical trench-gated DMOS, the trench gate surface dimension and the surface dimension of the mesa should both be minimized as long as A/W is reduced. The minimum possible trench dimension is a function of the trench etch equipment, the trench width and depth, the shape of the trench including rounding, and the trench refill process. Despite all these variations, the minimum drawn feature size of the trench is a single layer dimension, i.e., its minimum feature size is determined by the wafer fab's ability to print, etch and fill a trench, not by some interaction to other photomasking layers. The minimum trench size is then specified as a single layer mask feature. A single mask layer design feature is commonly referred to as a single layer dimension or SLD. As photomasking equipment now used exclusively for microprocessor and DRAM manufacturing becomes available for power semiconductor production, the trench width SLD is likely to shrink. [0025]
  • The minimum dimension of the source-body mesa is determined by the design rules associated with more than one photomasking layer, i.e. it involves multi-layer dimensions (MLD) design rules. The rules account for variability both in a critical dimension (referred to as ΔCD) and registration error of one masking layer to another, known as overlay, or OL. ΔCD variations in a feature size are a consequence of variability in photoresist thickness and viscosity, exposure time, optical reflections, photoresist erosion during etching, etching time, etch rates, and so on. The variability due to OL layer-to-layer misalignment is more substantial. [0026]
  • FIGS. [0027] 5A-5E illustrate the components of variability in setting the minimum size of the trench DMOS mesa. In this case the mesa width is set by three design rules
  • 1. Minimum space of contact to trench. The purpose of the design rule illustrated in FIG. 5A is to prevent the metal contact from shorting to the gate (see catastrophic failure shown in FIG. 5D). Assuming that the contact is aligned to the trench, OL represents a single overlay misalignment. ΔCD[0028] 1 represents the variation in the width of the trench width, while ΔCD2 represents the variation in the contact size. The values for ΔCD1 and ΔCD2 are divided by two for the half cells. The minimum space considering all variation must exceed zero to prevent a short between the embedded gate polysilicon and the source metal. DR cntct / trench OL 1 misalignment + Δ CD 1 2 + Δ CD 2 2 ( 16 )
    Figure US20020019099A1-20020214-M00006
  • 2. Minimum overlap of metal contact and N+ source. The purpose of the design rule illustrated in FIG. 5B is to guarantee contact between the metal contact layer and the N+ source (see FIG. 5E for an example of misalignment). Assuming that the contact mask is aligned to the trench feature on the wafer, OL represents at least two successive misalignments, i.e., one misalignment can occur in aligning the contact mask to the trench, and a second (statistically independent) misalignment can occur between the N+ source mask and the trench. ΔCD[0029] 3 represents the variation in the width of the N+ source region while ΔCD2 represents the variation in the size of the contact (to metal). The minimum space per side considering all variations must exceed a net overlap δN+ to guarantee an ohmic contact between the metal contact and the N+ source region. DR N + OL 2 misalignments + Δ CD 3 2 + Δ CD 2 2 + δ N + ( 17 )
    Figure US20020019099A1-20020214-M00007
  • 3. Minimum contact between P+ body contact region and metal contact. The purpose of the design rule illustrated in FIG. 5C is to guarantee ohmic contact between the metal contact and the P+ body contact region by insuring that the N+ source region does not completely cover the P+ body contact region. ΔCD[0030] 3 is the variation in the width of the N+ source region. Since the total size of the opening through the N+ source region can shrink by ΔCD/2 on each side, a total possible variation in size is ΔCD. The minimum space considering all variation must exceed a net overlap δP+ to guarantee an ohmic contact between the metal contact and the P+ body contact region. In the extreme case, shown in FIG. 5F, the entire P+ region is covered by the lateral extensions of the N+ regions, overlapping at the center of the cell. For the half cell,
  • DR P+ ≧ΔCD 3P+  (18)
  • In conclusion, the minimum mesa width, then, is determined by two contact-to-trench rules (one on each side of the mesa), two N+ contact rules (to guarantee contact to the N+ source on both sides of the mesa), and a single P+ rule. But since a misalignment in the contact mask toward one trench increases the distance to the other, each design rule must be considered only once when calculating the minimum mesa dimension. Assuming all OL and ΔCD rules, the minimum width of the mesa is:[0031]
  • Y SB(min·mesa)=3ΔCD+3OL+2δN+P+  (19)
  • For example, assuming a ±3-sigma OL error of 0.25 μm, a 3-sigma ΔCD of 0.1 μm, a minimum N+ overlap of 0.1 μm (for each N+ as drawn), and a minimum N+ opening (to contact the P+) of 0.3 μm, the minimum source-body mesa size is: [0032] Y SB ( min · mesa ) = 3 ( 0.1 ) + 3 ( 0.25 ) + 2 ( 0.15 ) + 0.65 = 2.0 ( 20 )
    Figure US20020019099A1-20020214-M00008
  • In practice, however, an additional 0.5 μm may be needed to achieve high yields, good defect tolerance, and improved P+ contact areas. Below this 2 μm mesa it becomes difficult to implement a trench DMOS using a contact mask and a butting N+/P+ source-body contact. In such a case, a design wherein the N+ source region extends from trench-to-trench across the silicon mesa must be used. The P+ body contact used to connect to the underlying P[0033] B body diffusion can be contacted in the z-dimension (along the length of the stripe). Two contact-to-trench features and the contact dimension then determine the mesa width.
  • Y SB(min·mesa)=2ΔCD+2OL+δ N+  (21)
  • which, applying the same tolerances but with a 0.4 μm N+ contact window, yields [0034] Y SB ( min · mesa ) = 2 ( 0.1 ) + 2 ( 0.25 ) + 0.4 = 1.1 ( 22 )
    Figure US20020019099A1-20020214-M00009
  • In practice, to achieve high yields and good defect tolerance, larger dimensions are likely required, as large as 1.5 μm. Below a mesa width of around 0.9 to 1.1 μm, even fine line contacts and accurate layer-to-layer alignments become difficult. Moreover, at these dimensions other manufacturing-related problems exist. [0035]
  • Another design and process consideration in a trench-gated DMOS is the resistance of the body region P[0036] B and the quality of the body contact shorting it to the source metal. The source-to-body short prevents conduction and snapback breakdown of the parasitic NPN bipolar transistor (see the cross-sectional view of FIG. 7A) by maintaining the emitter and base at the same potential. Shorting the emitter and base terminals ideally prevents forward-biasing of the emitter-base junction and avoids consequent minority carrier (electron) injection into the MOSFET's body (i.e. base).
  • The frequency of the body pickup determines the base resistance along the z-direction. In a “ladder” design, the P+ body contact regions occasionally interrupt the N+ source stripe to pick up the body region electrically (see the plan view of FIG. 7B and the three-dimensional projection view of FIG. 7C). The “pinch resistance” of the portion of the P-body region P[0037] B that lies under the N+ source region must be maintained at a low value without adversely affecting other device characteristics such as the threshold voltage. The method used to form the P-body region and the integration of a shallow P+ region used to achieve a low resistance ohmic contact to the body, are specific to each trench-gated DMOS design and process. Many commercial power MOSFETs today are inadequate in this regard and suffer from snapback and ruggedness problems as a result. The smaller or less frequent the P+ contact, the more likely smapback will occur.
  • Whenever a small contact feature is used to achieve a small mesa and high cell density, another problem occurs with respect to the step coverage of the metal contact. As shown in FIG. 8A, the deposition via sputtering of the top metal such as aluminum-silicon, aluminum-copper, or aluminum-copper-silicon, follows the contact shape conformally, leading to a notch or gap in the middle of the [0038] metal layer 70. The notch is not too severe in the case of a thin metal layer. But the resistance of a thin metal layer, especially under 1.2 μm thick, is too high to be useful in a power device. Surface metal resistance can add milliohms of resistance to a trench-gated DMOS laterally (as current flows along the surface of the device to the bond wire or source pickup), producing a significant fractional increase in the on-resistance of a large die product. A thick metal layer (e.g. 3 to 4 μm in thickness) is needed to minimize the on-resistance problem. However, as shown in FIG. 8B, thick metal layer 72 exhibits extreme notching which results in thin metal at the contact step caused by the oxide layer 71. Since all of the current must flow through the thin metal and over the step, the device still exhibits high metal resistance, but also suffers from poor electromigration performance, despite the thick metal deposition.
  • The oxide step height in the active contact area can be reduced by depositing a thinner interlayer dielectric (ILD), but the thinner dielectric may exhibit metal breakage wherever metal runs over the polysilicon gate bus. The thinner ILD also can cause shorts between the source metal and the polysilicon gate bus or lead to a thin oxide sensitive to ESD damage. As an example, FIG. 9A shows a metal layer [0039] 90 crossing over a gate bus 92. The metal step coverage problem occurs anywhere in the die where the source metal crosses the polysilicon gate bus, because the surface polysilicon is too thick. It occurs because the polysilicon gate bus sitting on the die surface has a thickness resulting from the polysilicon planarization of the trench. This thickness of the polysilicon must be thick enough to fill the trench at its widest point. Assuming a 1 μm wide trench, the widest point occurs at the trench corner on the diagonal, with a dimension of around 1.4 μm (see FIG. 9B). The thickness of the polysilicon above the surface of the die after deposition needs to be at least half the dimension of the diagonal to fill the trench, as shown in FIG. 9C, to ensure that the polysilicon does not dip below the die surface later during etchback. This entire polysilicon thickness, in the example case 0.7 μm, plus an underlying oxide will be present on top of the die in the gate bus, so a 1 to 1.5 μm step is likely. The area of the gate bus is normally masked during the planarization etchback of the polysilicon, resulting in the step. The thick polysilicon also limits the possible manufacturing process sequence because the polysilicon is too thick to introduce dopants through it.
  • To summarize, one problem with existing conventional trench-gated vertical DMOS devices is that the cell density cannot be increased and the geometric-area-to-gate-perimeter ratio cannot be further reduced to produce improvements in the area efficiency of low-on-resistance switches, since the construction of conventional trench-gated vertical DMOS imposes fundamental restrictions in cell dimensions. The resistance penalty is especially significant for low voltage devices where a large portion of the total resistance is attributable to the resistance of the MOS channel (R[0040] ch). The limitations on cell density are primarily a consequence of the minimum width of the mesa between trenches. The minimum width of the mesa is determined by the use of multiple mask layers and is especially due to the design rules associated with the contact mask.
  • Stripe geometries reduce or eliminate the need for frequent or large area abutting source/body shorts, allowing tighter cell pitches but potentially creating problems in achieving good breakdown and snapback characteristics. Pushing the minimum possible contact dimension requires a solution to the metal step coverage problem in the active contact areas and over the gate bus. But without pushing the design rules to the point where the width of the mesa equals the width of the gate trench, the A/W of the stripe geometry is inferior to the A/W of a square cell geometry having a similar cell pitch. [0041]
  • SUMMARY OF THE INVENTION
  • These problems are solved in a super self-aligned (SSA) trench DMOSFET in accordance with this invention. An SSA trench MOSFET according to this invention comprises a semiconductor body having a trench formed therein, a wall of the trench intersecting a major surface of the semiconductor body at a trench corner. The semiconductor body comprises a source region of a first conductivity type adjacent the trench and the major surface of the semiconductor body; a body region of a second conductivity type forming a junction with the source region, the body region comprising a channel region adjacent a wall of the trench; and a drain region of the first conductivity type forming a junction with the body region. A gate is disposed in the trench. The gate is bordered by a gate oxide layer. The gate oxide layer includes a first portion adjacent the channel region and a second portion overlying the gate, the first portion being thicker than the second portion. A metal layer is in contact with the major surface of the semiconductor body, and the contact between the metal layer and the major surface extends laterally to the trench corner. The first portion of the gate oxide layer prevents shorting between the gate and the source, thereby allowing the contact between the metal layer and the major surface to extend to the corner of the trench. Thus, with the contact being “self-aligned” to the trench without the risk of a gate-source short, the design rules discussed above can be avoided, and the width of the mesa between segments of the trench can be made smaller than was possible with conventional MOSFETs. As explained above, this in turn allows the cell density to be increased and the figure of merit A/W to be reduced. [0042]
  • According to another aspect of the invention, the gate oxide layer also comprises a third portion adjacent the bottom of the trench, the third portion being thicker than the first portion. This reduces the gate-drain capacitance and avoids field plate induced breakdown. [0043]
  • According to another aspect of the invention, a heavily-doped buried layer, patterned to conform generally to the shape of the trench gate, is used to reduce the on-resistance of the DMOSFET. One way of achieving this structure is to implant the buried layer after the trenches have been formed. [0044]
  • An SSA trench MOSFET is advantageously produced by a process described herein. The process comprises: providing a body of a semiconductor material having a surface; forming a first mask over the surface, the first mask having an opening where a trench is to be located in the body; etching the semiconductor material through the opening in the first mask to form a trench in the semiconductor body; forming a first oxide layer on a sidewall of in the trench; filling the trench with polysilicon; with the first mask in place oxidizing an exposed surface of the polysilicon to form a second oxide layer at the top of the trench, the second oxide layer extending down into the trench; removing the first mask; and depositing a metal layer on the surface of the second oxide layer and the surface of the semiconductor body. [0045]
  • According to another aspect of this invention, the polysilicon gate filling the trench is deposited in two polysilicon layers. The first polysilicon layer does not cover the mesas, thereby enabling easy ion implantation of the mesas after the formation of the trench. [0046]
  • According to another aspect of this invention, the polysilicon diodes are formed in a layer of polysilicon overlying the surface of the semiconductor body. [0047]
  • According to yet another aspect of this invention, an oxide feature defined by a contact mask may be disposed over the top of the trench to reduce interelectrode capacitance the source contact metal and the gate. [0048]
  • According to still another aspect of this invention, in the event that a contact mask with a small feature is employed, the contact may be planarized with a metal such as tungsten to avoid step coverage problems. [0049]
  • In the prior art, separate masks were typically used to define the trench and the source-metal contact, respectively. This led to the problems of alignment discussed above. According to the process of this invention, the same mask is used to define both the trench and the source-metal contact. The trench is “self-aligned” to the source-metal contact, and shorts between the gate and the source are prevented by the thick oxide layer overlying the gate.[0050]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a cross-sectional view of a conventional vertical trench DMOSFET. [0051]
  • FIG. 2 illustrates a cross-sectional view of a conventional vertical trench DMOSFET showing the resistive components of the device. [0052]
  • FIGS. 3A and 3B illustrate cross-sectional views of a conventional vertical trench DMOSFET showing the benefit of cell density in improving epitaxial drain spreading resistance. [0053]
  • FIGS. [0054] 4A-4D illustrate plan and cross-sectional views of various trench DMOS source geometries. FIG. 4A shows a stripe geometry. FIG. 4B shows a square cell geometry. FIG. 4C shows a square cell geometry with a source corner block. FIG. 4D shows a hexagonal cell geometry.
  • FIGS. [0055] 5A-5F illustrate the design rules for the mesa of a conventional trench DMOSFET. FIG. 5A shows the contact-to-trench design rule. FIG. 5B shows the contact-to-source design rule. FIG. 5C shows the P+ contact to the body. FIG. 5D shows an example of a gate-to-source short. FIG. 5E shows an example of an uncontacted or insufficiently contacted source. FIG. 5F shows an example of an uncontacted body.
  • FIG. 6 illustrates a cross-sectional view of a conventional stripe trench DMOSFET with a contact mask feature and with the N+ source extending across the entire mesa between adjacent trenches. [0056]
  • FIGS. 7A, 7B and [0057] 7C are cross-sectional, plan and perspective views, respectively, of a “ladder”-source trench DMOS with contact mask.
  • FIG. 8A is a cross-sectional view of a conventional trench DMOSFET illustrating the step coverage problem with a conformal thin metal layer. [0058]
  • FIG. 8B is a cross-sectional view of a conventional trench DMOSFET illustrating the step coverage problem with a thick metal layer. [0059]
  • FIG. 8C illustrates the keyhole problem with a thick metal layer. [0060]
  • FIG. 9A illustrates a cross-sectional view of the step coverage problem of a metal layer over a polysilicon gate bus in a conventional trench DMOSFET. [0061]
  • FIG. 9B illustrates a plan view of the intersection of gate trenches in a conventional trench DMOSFET. [0062]
  • FIG. 9C illustrates a cross-sectional view showing the minimum polysilicon refill thickness in a trench DMOSFET. [0063]
  • FIG. 10A is a graph showing the equivalent vertical MOSFET cell density as a function of mesa width. [0064]
  • FIG. 10B is a graph showing the equivalent vertical MOSFET cell density as a function of cell pitch. [0065]
  • FIGS. [0066] 11A-11E are cross-sectional views that illustrate the steps of a process sequence for manufacturing a super self-aligned (SSA) source contact in a trench-gated MOSFET.
  • FIGS. 12A and 12B are cross-sectional views that show the comparison of a MOSFET manufactured with a conventional contact mask (FIG. 12A) and a MOSFET manufactured using the SSA process (FIG. 12B). [0067]
  • FIG. 12C shows a MOSFET manufactured by the SSA process but with a contact-mask-defined oxide feature overlying the trench. [0068]
  • FIG. 13 is a graph of the vertical DMOS cell perimeter ratio A/W as a function of mesa width. [0069]
  • FIG. 14 is a graph of the vertical DMOS cell perimeter ratio A/W as a function of cell density. [0070]
  • FIGS. [0071] 15A-15D are cross-sectional views of various embodiments of a SSA trench DMOSFET. FIG. 15A shows a full mesa N+ source wherein the P-body is contacted in the third dimension. FIG. 15B shows an embodiment similar to the one shown in FIG. 15A, except that the MOSFET includes a deep clamping diode. FIG. 15C shows an embodiment similar to the one shown in FIG. 15B, except that the MOSFET includes a relatively shallow clamping diode. FIG. 15D shows an embodiment wherein the source metal is in contact with a P+ body contact and wherein there is no clamping diode.
  • FIG. 16A is a cross-sectional view illustrating the contours of impact ionization occurring at trench corners at the onset of avalanche breakdown. [0072]
  • FIG. 16B is a graph of the breakdown voltage BV[0073] DSS as a function of the thickness of the gate oxide layer.
  • FIG. 17A is a graph illustrating the parasitic capacitances between the gate and the drain (C[0074] GD), the body (CGB) and the source (CGS) in a trench-gated DMOSFET.
  • FIG. 17B is a graph illustrating the gate voltage V[0075] g as a function of gate charge Qg.
  • FIG. 18 is a perspective view of a SSA trench DMOSFET in a stripe geometry with a “ladder” P+ source-body design and a thick bottom oxide in the trench [0076]
  • FIGS. [0077] 19A-19F are plan views of various source-body designs. FIG. 19 A shows a “corrugated” P+ body contact region with a continuous N+ source.
  • FIG. 19B shows a corrugated P+ body contact region with periodic P+ straps. [0078]
  • FIG. 19C shows continuous P+ body contact region with N+ source “islands”. [0079]
  • FIG. 19D shows a “bamboo” ladder structure (alternating N+ and P+ regions). [0080]
  • FIG. 19E shows a continuous N+ source region with P+ body contact “windows”[0081]
  • FIG. 19F shows P+ body contact “windows” alternating with periodic P+ “straps”. [0082]
  • FIGS. 20A, 20B and [0083] 20D illustrate circuit diagrams of polysilicon diode arrangements for voltage-clamping the gate to the source of a trench-gated MOSFET. FIG. 20C shows a cross-sectional view of a polysilicon diode arrangement.
  • FIG. 21A illustrates a cross-sectional view of SSA trench DMOSFET with a thick oxide layer at the bottom of the trench overlapping a heavily-doped buried layer which was implanted immediately after the formation of the epitaxial layer. [0084]
  • FIGS. 21B and 21C show embodiments similar to the embodiment shown in FIG. 21A except that the buried layer was implanted after the formation of the trench but before the filling of the trench with the gate material. [0085]
  • FIG. 22 is a diagram of a process flow for manufacturing an SSA trench DMOSFET, including variants. [0086]
  • FIG. 23 is a cross-sectional view of an SSA trench DMOSFET, including an active cell array, a gate bus, a polysilicon ESD diode and an edge termination. [0087]
  • FIGS. [0088] 24A-24Q illustrate cross-sectional views of a step-by step process for manufacturing an SSA trench DMOSFET, including an active cell array, a gate bus, a polysilicon ESD diode and an edge termination.
  • FIGS. [0089] 25A-25C illustrate cross-sectional views of a process for manufacturing a trench having a thick oxide layer on the bottom.
  • FIG. 26A shows the dopant profile in a conventional MOSFET. [0090]
  • FIG. 26B shows the dopant profile in a MOSFET formed using a chained body implant in accordance with an aspect of this invention. [0091]
  • FIGS. [0092] 27A-27D MOSFET structures that can be fabricated using a high pressure process for depositing a metal contact layer.
  • FIGS. [0093] 28A-28D illustrate the steps of a process of fabricating another MOSFET in accordance with the invention.
  • DESCRIPTION OF THE INVENTION
  • FIGS. 10A and 10B illustrate the advantages in cell density that can be obtained by reducing the width of the source/body mesa and the cell pitch. [0094]
  • FIG. 10A illustrates a plot of the mesa dimension Y[0095] SB against the equivalent cell density for trench gate drawn surface dimensions YG of 1.0, 0.8 and 0.5 μm. The density is plotted both in Mcells/in2 (left axis) and Mcells/cm2 (right axis) from the equation D = 1 ( Y G + Y SB ) 2 · 10 8 μ m 2 cm 2 · Mcells 10 6 ( 23 )
    Figure US20020019099A1-20020214-M00010
  • The graph is divided into three regions, namely: [0096]
  • 1. Region III for Y[0097] SB>2 μm, where normal butting source-body contacts may be used. The limit in cell densities for this type of device ranges from 67 to 100 Mcells/in2, although 30 to 40 Mcells/in2 densities are the highest in production.
  • 2. Region II for 0.9 μm<Y[0098] SB<2 μm, where source stripe designs are possible using a contact mask aligned photolithographically to the trench. Maximum densities using such a structure can reach the 170 to 320 Mcell/in2 range, but only provided certain design and manufacturing related problems are overcome (the solutions for which are described later herein)
  • 3. Region I for Y[0099] SB<0.9 μm, where a new technique is required to form the contact feature in the active trench DMOS transistor cells. If this were possible, the limit of such a construction would be set only by the ability of photolithographic processing equipment to resolve (pattern) and etch smaller feature sizes.
  • Only region III represents devices that are manufacturable using present technology. The graph of FIG. 10A illustrates, however, the possible cell that could be obtained if technical problems encountered in regions I and II could be overcome. [0100]
  • FIG. 10B illustrates some specific examples of cell densities possible using different technologies, reflecting the complexity (and initial capital equipment investment cost) of various wafer fabrication facilities. For example a 0.8-um capable wafer fab is needed to manufacture a 32 Mcell/in trench DMOS, while a 0.6-um fab is needed for 180 Mcell/in[0101] 2 designs. In this context, the term “0.6 μm fab” refers to the feature size of the highest density CMOS IC process that the a facility is capable of producing, with the requisite level of air and water cleanliness. So the term “0.6 μm” refers not only to the gate dimension, but the minimum contact window, the metal rules and even the type of surface planarization needed. Specifically, metal step coverage is an issue using small contact windows and requires techniques and equipment commonly not available in a 0.8 μm fab. Achieving high cell densities is therefore not simply a matter of using better, more modern wafer fabs. New developments are needed to solve the problems of manufacturing reliable, high yield, ultra dense power MOSFETs.
  • FIGS. [0102] 11A-11E illustrate the basic elements of a process of forming a super-self-aligned (SSA) trench DMOSFET. The process describes a method to form a dense array of trench capacitors with access to the silicon on the backside or to the surface between the trenches without the need for a contact mask to contact the top of the silicon mesa regions. This SSA capacitor is consistent with the formation of trench-gated DMOSFETs but is not limited as such. For example, the SSA array could be used in insulated gate bipolar transistors (IGBTs), MOS-gated bipolar devices, and other types of devices.
  • A nitride layer [0103] 102 (or a layer of another “hard” material such as oxide) is chosen to define the trench 104 (FIG. 11A) so as to survive subsequent process operations, some of which will be at a higher temperature than photoresist can withstand. Nitride is preferable since it can be removed by chemical etch techniques which do not attack the oxide used to protect the trench gate. The nitride layer 102 typically is formed over a thin oxide layer 106 on a major surface 103 of the silicon body 108, to reduce any stress from the thermal coefficient of expansion (TCE) between the silicon body 108 and the nitride layer 102. In some processes, thin oxide layer 106 can be eliminated. An additional oxide layer (not shown) may also be formed over the nitride layer 102 to avoid erosion during the trench etch process. The photoresist layer (not shown) used to define the nitride feature may also be left on top of the nitride or oxide-nitride sandwich during the silicon etch process. After the trench has been defined, the trench is formed by etching with known processes (e.g., reactive ion etching (RIE)). This results in the structure shown in FIG. 11A. “Mesas” 114 are formed between the segments of trench 104. As shown, in this embodiment silicon body 108 includes an epitaxial layer, but the invention is not so limited.
  • As will be understood, FIGS. [0104] 11A-11E show several MOSFET cells of an array which would typically include millions of cells in a power MOSFET. As shown, the structure produced is a large area capacitor which is a structural element of a trench power MOSFET.
  • The trench is then oxidized to form a sacrificial oxide (not shown) to reduce any surface damage caused by the trench etching process. The sacrificial oxide is subsequently removed. A [0105] gate oxide layer 110 is formed and the trench is filled with polysilicon. The polysilicon is etched back to planarize the gate 112 with the major surface of the silicon body 108. (FIG. 11B)
  • A variety of dopants may be introduced by predeposition or ion implantation during these steps according to the desired construction of the device and its requisite PN junctions. Such details will be described below for the exemplary fabrication of a trench power MOSFET. Next, the exposed surface of the [0106] polysilicon gate 112 is oxidized to form a thick oxide layer 116 overlying the gate 112 (FIG. 11C). Thick oxide layer 116 protects gate 112 from subsequent etches and “embeds” gate 112 in the trench 104 so that gate 112 will not short to the (source) metal that will overlay the trench 104 in a completed device. Nitride layer 102 prevents the oxide layer 106 over the mesas 114 from being oxidized. At this point in the device fabrication, a single mask (nitride layer 102) has defined both the silicon mesa 114 and the embedded gate trench 104 protected by the oxide layer 116. In conventional processes the oxide used to embed the gate is not localized or “self-aligned” to the trench region, but may extend on to or across the mesas.
  • The removal of [0107] nitride layer 102 is essentially the contact mask operation in the SSA process flow, since the oxide layer 106 below nitride layer 102 is chosen to be thin compared to the thick oxide layer 116 that overlies the gate 112. The structure following the removal of nitride layer 102 is shown in FIG. 11D.
  • As shown in FIG. 11E, a short dip in hydrofluoric acid (HF typically diluted in water), or a short isotropic plasma oxide etch, removes the [0108] oxide layer 106 from over the mesa 114 without uncovering the embedded polysilicon gate 112. The resulting contact area 118 between the silicon and the metal layer (to be deposited) extends all the way across mesa 114, from one segment to the next of trench 104, a feature defined by the original trench mask itself. The contact is therefore self-aligned to the trench itself and extends to a trench corner 120, where a wall of the trench 104 intersects the surface 103 of the silicon. The exposed mesa 118, or contact, is therefore defined by the same mask feature that defined the trench 104 and the thick oxide layer 116. In this way it is possible to reduce the width of mesa 114.
  • By contrast, in conventional trench devices the contact is defined by another feature, the so-called “contact mask”. The feature of the contact mask is necessarily smaller than the width of the mesa to allow for imperfect alignment and for variations in oxide etch (see FIG. 12A). [0109]
  • Because thick oxide layer [0110] 116 is formed after polysilicon etchback (FIG. 11B), the top surface of thick oxide layer 116 is nearly planar with the surface of mesa 114, resulting in a smaller step between mesa and oxide than results from the use of deposited oxide and a classic contact mask. This is evident from a comparison of FIG. 12A, which shows a conventional trench DMOSFET, and FIG. 12B, which shows a mesa according to this invention with a metal layer 122 in contact with the top surface of the mesa 114.
  • As a result, no limitation in the size of the mesa-to-metal (source-metal) contact exists because no separate contact mask is used in the cell array itself, although a separate contact mask may still be needed to form contacts to the polysilicon gate bus, the termination, and the polysilicon PN diode array needed to achieve robust ESD performance. Likewise, no metal strip coverage problem exists in the active array since the step height is reduced. Even if a contact mask is desired (for example, to reduce the interelectrode capacitance between the polysilicon gate and the top metal), as shown in FIG. 12C, the step height can be reduced since some of the oxide is “below” the silicon surface. [0111]
  • A known figure of merit for a power MOSFET is the area-to-width ratio A/W, which is a measure of the area of the die required to provide a given “channel width” (roughly speaking, the total perimeter of the MOSFET cells). A comparison of various device designs can be performed using the A/W ratio as an indicator of the device performance and on-resistance. The smaller the A/W, the better the performance. [0112]
  • FIG. 13 makes this A/W comparison (using the previously defined equations) as a function of the silicon mesa width Y[0113] SB. The square cell has a U-shaped curve with a minimum whenever the mesa and the trench are equal width. Whenever the source-body dimension is smaller than the gate dimension, any reduction in mesa width reduces the cell perimeter to a greater degree than it saves area, thereby increasing the A/W ratio. For a 1-um-wide gate, the minimum A/W for a closed cell occurs geometrically where the mesa YSB is also 1 μm wide, which results in a cell pitch of 2 μm. At this minimum point, the A/W for a 2 μm pitch device is the same for either closed cell or stripe geometries.
  • In commercial practice, however, closed cell designs with active channel conduction in the trench corners exhibit anomalous leakage and reduced threshold due to a variety of reasons including short channel effects, transient enhanced diffusions and crystalline defects. As mentioned earlier in regard to FIG. 4C, the solution to this problem is the introduction of a “corner block” feature in the N+ source implant mask that prevents ion implantation into the corners of every mesa. Note that the inside corner of the trench gate grid is the same feature which forms the outside corner of the silicon mesa remaining after the formation of the trench. [0114]
  • Because of this corner block feature, each incremental reduction in cell pitch reduces the channel perimeter significantly more than the area it saves. Accordingly, further decreasing mesa dimensions smaller than the trench gate dimension produces a rapid rise in A/W as Y[0115] SB is reduced. Notice also that the A/W minima of both 1 μm cell designs occur in Region II having YSB values between unity and 2 μm. As described earlier, in Region II, where only stripe designs are practical, contact dimensions result in metal step coverage problems. Practical state-of-the-art production devices identified as the two rightmost circles on the curves are still in Region III far from their A/W optima.
  • FIG. 13 also illustrates that 0.8 μm and 0.5 μm stripe designs continue to improve, i.e. reduce, the A/W ratio well below the 1 μm square cell design. With a solution to the small-contact metal step coverage problem, a 1.2 μm mesa can achieve a sub-unity A/W value still using a contact mask based stripe design (Region II). But since the A/W values are nowhere close to their minima, further shrinking of the mesa into Region I using self-alignment to achieve mesa widths below 0.9 μm, is still beneficial and warranted. As shown, using such self-alignment techniques, A/Ws below 0.5 μm are realistically feasible. [0116]
  • Looking at the A/W ratio of the same geometric designs plotted against an abscissa defined as cell density (FIG. 14) rather than mesa width clearly reveals the benefit of using higher densities to lower A/W. Notice that the stripe design requires a higher cell density than the closed cell approach to achieve comparable A/W performance. For example, a 70 Mcell/in[0117] 2 stripe design is required just to reach parity with a 32 Mcell/in2 square cell design. In other words, the self-alignment and extensive dimensional scaling made possible through this invention are needed to compensate for the intrinsic disadvantage in A/W characteristic of the stripe geometry. Fortunately, continuity of the body and source diffusion (in the z-direction along the stripe) or remote body contacts (again in the z-direction) possible in stripe designs help compensate for the A/W disadvantage by allowing tighter dimensions. In the graph of FIG. 14, densities approaching 1 billion cells per square inch (1 Gcells/in2) are anticipated as realistic trench DMOS structures for manufacturing, using the invention described herein. Applying these methods, the scaling of such a design is not even limited to this number, but is expected to scale indefinitely, limited only by progress in photolithographic technology.
  • FIGS. [0118] 15A-15D illustrate cross-sectional views of a variety of trench DMOS designs, each with a uniform gate oxide thickness along the trench sidewalls and bottom. In this case uniform is defined as a gate oxide not intentionally manufactured in a way that produces a different oxide thickness on the trench sidewalls than on its bottom surface. Of course, the oxide thickness is expected to vary along the trench surface according to different oxidation rates of the various crystallographic planes intersected by the trench itself, and by stress-induced enhanced or retarded oxidation.
  • In FIG. 15A, the body region P[0119] B is uniform and no specific region is tailored to exhibit a lower breakdown than the body-to-drain junction 150, i.e. to serve as a voltage clamp. Such a device could be subject to hot carrier degradation of the gate oxide and undesirably exhibit avalanche near the thin gate oxide. Hot carrier generation can be minimized by keeping the body-to-drain junction 150 as close as possible to the bottom of the polysilicon gate.
  • In FIG. 15B, a deep P region [0120] 152 is used to lower the breakdown locally and serve as a voltage clamp (represented schematically on the cross-sectional view as a zener diode 154 between deep P region 152 and N buried layer 156). As described in application Ser. No. 08/459,555, filed Jun. 2, 1995, which is incorporated herein by reference, the voltage clamp may be repeated randomly or at regular intervals throughout the device or cell array. The clamp concept is not manufacturable in ultradense devices using the methods known in the prior art. Contact to the small-dimension clamp is not generally possible using conventional methods without creating a short to the gate.
  • The device shown in FIG. 15C is similar to the device of FIG. 15B except that the heavy doping setting the voltage clamp's avalanche breakdown is located inside the P[0121] B body region, but with a higher concentration. Contact to the small-dimension clamp is not generally possible using conventional methods without creating a short to the gate.
  • In FIG. 15D, a butting source/body contact is illustrated, applicable to either closed cell or stripe designs. Metal layer contacts both [0122] N+ source region 159 and P+ body contact region 160, thereby shorting the source and body together. In FIGS. 15A, 15B and 15C a body contact in the z-dimension (along the trench not in the cutaway plane shown in the drawing) is assumed. Alternatively, those devices showing no P+ contact to the PB region could be designed and produced so that the body region is fully depleted in its off state. Because the self-aligned contact extends to the edge of the trench, the length of the N+ source can be shortened and still guarantee a good ohmic contact. The dimensions of the N+ source region and therefore the mesa cannot be achieved without using the techniques described herein.
  • FIG. 16A illustrates the phenomenon of field plate induced (FPI) breakdown in thin gate oxide trench DMOS devices. As shown in FIG. 16A, ionization in FPI limited devices occurs at the trench corner overlapping the drain. As the oxide is thinned, the breakdown voltages decreases, as shown in FIG. 16B. In every event where FPI breakdown is prevalent, avalanche and carrier generation is located near the trench gate and its gate oxide, subjecting the gate to hot carrier damage and oxide wearout. [0123]
  • Another disadvantage of a thin gate oxide trench DMOSFET is the resulting overlap capacitance between the gate and the drain, and the increase in gate charge resulting from this capacitance (see FIG. 17A). The effect of the gate-drain capacitance C[0124] GD on the input capacitance and corresponding gate charge is further exacerbated by the Miller effect. The Miller effect is an increase in the input capacitance due to feedback from the gate-drain capacitance. The effect is seen as a flat plateau in the gate voltage curves of FIG. 17B, where the rise of the gate voltage with increasing gate charge is halted while the drain voltage is dropping and the device turns on. After the voltage across the device is low, i.e., it is fully turned on, then the gate voltage resumes its rise proportional to input charge. In essence, the gate charge was used to counterbalance the ΔVDG occurring across the gate-to-drain capacitance. Since the addition of the plateau requires more charge (value plotted on the x-axis), then the “effective” input capacitance is increased and the device will exhibit a higher energy loss during switching. While the gate-body and gate-source capacitances CGB and CGS are also present, the magnitude of their contribution to the input gate charge, shown in FIG. 17B as the slope of the curve prior to the plateau, is less substantial than the drain term, i.e., the plateau is wider. From the graph, it can clearly be seen that a thinner oxide turns on at a lower gate bias (a lower threshold voltage is desirable in many applications), but requires more gate charge to reach the same final value of gate bias (and likewise to reach the same channel enhancement). It is more desirable to achieve low threshold and high transconductance without increasing overlap capacitance, but a special process and device structure is needed to do so.
  • An embodiment of this invention is shown in FIG. 18. [0125] MOSFET 180 is formed in a stripe design in an N-epitaxial layer 188, with fully self-aligned features of the trench gate 181, the silicon mesa 182, and the contact mask. Across the mesa (in the y-direction), the N+ source region 183 and PB body region 184 is likewise self-aligned to the trench. The N+ source region 183 is periodically interrupted in the z-dimension by P+ body contact regions 185 for contacting the underlying PB body region 184. This feature is not critical in setting the cell pitch in a stripe design, so self-alignment is not needed for the z-dimension features. As shown, the trench top oxide layer 186 embeds the gate below the surface to avoid shorting to the source metal (not shown), but without significantly protruding above the top surface of the silicon mesas 182. Step coverage problems with the source metal are thus avoided. A uniform N-type buried layer (NBL) 187 is shown in N-epitaxial layer 188 and N+ substrate 189, indicating that the distance from the top surface to the NBL can be set by ion implantation after the N+ epitaxial layer 188 is grown. To reduce overlap capacitance and to avoid field plate induced breakdown effects whenever thin gate oxides are desired, a thick oxide layer portion 190 is formed at the trench bottom but not on the trench sidewalls overlapping the channel region 191 of the device.
  • In this embodiment, the gate dimension Y[0126] G is chosen as 0.5 μm and the silicon mesa forming the source-body elements of the device has a dimension YSB of 0.5 μm. As a stripe design, device construction requires no corner block (except perhaps at the ends of long fingers) and therefore does not penalize the A/W efficiency of the device. Moreover, whenever YSB=YG (as it does in the preferred embodiment of this design), the A/W for square and stripe geometries are identical, so use of a stripe design does not impose any resistance penalty.
  • The source and body contact construction can also be varied geometrically for the stripe design, as shown in the plan views of FIGS. [0127] 19A-19F. The design can be selected to maximize the N+ source perimeter (to achieve the lowest possible resistance) or to maximize the P+ contact to the body region (to suppress parasitic bipolar turn-on, prevent snapback and ruggedize the device), or to compromise between the two. In FIG. 19A, both the N+ source region and P+ body contact form continuous stripes, but with periodic widening of the P+ opening (the hole in the N+) to improve body contact. The narrow portion of the N+ region can be made as small as photolithographic alignment will allow without risking that the N+ region will disappear. For example, the N+ region could be make 0.2 μm wide (each side), leaving a 0.4 μm hole for the P+ region. The minimum manufacturable mesa width is therefore around 0.8 μm for a pitch of 1.3 μm (assuming a 0.5 μm trench gate), a density of 59 Mcell cm2 (381 Mcells/in2) and an A/W of 0.65 μm. Such a “corrugated” design is a compromise between resistance and ruggedness. In the portion where the N+ source region is wider than the P+ region, the P+ region may become so narrow as to provide only a fairly resistive contact. For example, if the N+ region were 0.3 μm wide, the P+ region would narrow to 0.2 μm. In such an event, the lateral diffusion of the N+ region into the P+ region must be minimized by limiting the amount of high temperature processing after the N+ implantation (a rapid thermal anneal is preferred).
  • A slight improvement in ruggedness can be achieved with the “strapped corrugated” design of FIG. 19B where a P+ stripe periodically transects the width of the mesa. A/W is reduced in linear proportion to its periodicity of use along the stripe. Actually, some conduction does occur in the P+ areas through lateral current flow along the trench length and eventual vertical conduction. [0128]
  • The segmented N+ source design of FIG. 19C reduces the N+ contact and the channel perimeter further, compromising on-resistance to achieve enhanced ruggedness. The minimum manufacturable mesa width for this design is preferably around 0.9 μm for a pitch of 1.4 μm (assuming a 0.5 μm trench gate), a density of 51 Mcell/cm[0129] 2 (329 Mcells/in2) and an A/W of 0.7 μm. The N+ contact resistance of this design could vary considerably in manufacturing, however, since each island of N+ requires its own good quality contact.
  • Another design which does not compromise N+ contact resistance at all is the bamboo or ladder structure of FIG. 19D where the N+ source is contacted along its length except for an occasional P+ strap. The minimum manufacturable mesa width is not restricted by its structure. A 0.5 μm wide mesa yields a pitch of 1.0 μm (assuming a 0.5 μm trench gate), a cell density of 100 Mcell/cm[0130] 2 (645 cells/in2) and an A/W of 0.5 μm (increased linearly by the periodicity of the P+ straps). Such a design should be scaleable in the future to 1 Gcell/in2 densities (a 0.8 μm pitch) and an A/W of 0.4 μm. The window and strapped window based designs of FIGS. 19E and 19F have similar geometric features to the corrugated and strapped corrugated designs of FIGS. 19A and 19B, respectively, but with better N+ contact resistance and less P+ contact area (less rugged).
  • Considering the geometries and device features discussed thus far, a preferred embodiment of an SSA trench DMOSFET is expected to exhibit structural and electrical characteristics as summarized in the Table 1. [0131]
    TABLE 1
    Feature Characteristic Benefit/advantage
    Cell density High density Low channel resistance
    D = 100 Mcells/cm2 (many parallel cells/area)
    = 645 Mcells/in2
    Cell pitch Small Low channel resistance
    YSB = 0.5 μm, YG = 0.5 μm Uniform drain current
    Pitch = 1 μm 5X I-line stepper capable
    Alignment Super self aligned Maximum contact area
    SSA trench/top oxide/mesa/ Avoids gate to source shorts
    contact Small A/W
    Step coverage Low step height; top oxide Good electromigration
    extends below mesa performance; low lateral
    metal resistance
    Gate perimeter A/W Small Low channel resistance,
    A/W = 0.5 μm high gm,
    Small drain to body cap
    Array geometry Stripe Good body contact
    with YSB = YG No corner block penalty
    Same A/W as square cell
    Trench bottom oxide Thick (1kÅ to 3kÅ) Low gate-drain overlap cap
    (optional) Low gate charge
    Minimal FPI avalanche
    Trench sidewall gate Thin (50 Å to 700 Å) High transconductance
    oxide Low channel resistance
    Low threshold
    No punchthrough
    ESD protection Poly diode Protects thin gates
    ESD tolerance
    DC overvoltage clamp
  • The ESD protection shown in Table 1 invokes a combination of back-to-back PN junction diodes D[0132] 1, D2 produced in a polysilicon layer and electrically shunting the gate to source electrodes of the trench power DMOS. Below a specified voltage, typically 6.5- to 8-V per series-diode pair, the diodes D1, D2 remain open circuit (except for junction leakage in the sub-microamp range). Above the diode voltage, they experience avalanche breakdown and conduct, clamping the maximum gate voltage. A single pair shown in FIG. 20A can protect against ESD pulses to some degree but still may allow some overvoltage stress of the gate oxide to occur. Furthermore a single stage design cannot survive a DC overvoltage stress under a steady state condition.
  • The 2-stage clamp of FIG. 20B avoids this problem altogether by limiting the current flowing into the second diode pair D[0133] 3, D4 by the value selected for the series gate resistor R1. The network can survive a DC overvoltage condition above the gate rupture voltage indefinitely as long as the inner diode pair D1, D2 breaks down and protects the oxide while the voltage on the device's terminals does not exceed the breakdown of the outer diode pair D3, D4. In some embodiments, the blocking voltage of the diode pair D3, D4 can be equal to the blocking voltage of the diode pair D1, D2.
  • The poly diode construction is shown in FIG. 20C for two back-to-back pairs D[0134] 5, D6, D7, D8 series connected, i.e. NPNPN, using the N+ from the source implant as the N+ cathode, and likely using a dedicated P-type implant as the anode doping to set the value of the breakdown. The diodes D5-D8 are formed in a polysilicon layer 198 which overlies an oxide or dielectric layer 199. Contact to the cathodes of diodes D5 and D8 are made by a metal layer 197. If a gate oxide layer capable of withstanding a voltage lower than 6.5V is to be protected, the inner avalanche diode stack must be replaced by an array of paralleled forward biased diodes, instead (see FIG. 20D).
  • FIG. 21A illustrates an [0135] SSA trench DMOSFET 210 with the N buried layer NBL 212 overlapping the thick oxide layer 214 at the bottom of the gate trench to achieve an improved on-resistance in lower breakdown voltage devices (especially for avalanche breakdown voltages below 12 V), by eliminating the epitaxial component of drain resistance. The N buried layer (NBL) may be implanted immediately after the growth of the epi layer, i.e., before the formation of the trench, or after the formation of the trench prior to the refill of the trench.
  • As shown in FIGS. 21B and 21C, when the NBL is implanted after the trench formation, it exhibits a shape which conforms to or follows the shape of the silicon top surface during the implantation. Accordingly, the NBL extends further into the substrate in regions underneath the trench than in the mesa areas between the trenches. In the regions beneath the mesas, the NBL extends further into the epitaxial layer and toward the trenches, even overlapping into the mesa regions between trenches. In FIG. 21C, the contours of the NBL follow those of the trench, and the regions of the mesas between the thick oxide at the bottoms of the trenches become doped. Such a shape can be formed by ion implantation at some intermediate stage of the trench formation, e.g., after the thick bottom oxide deposition but before the refilling of the trench with the gate polysilicon, or after the polysilicon refill and etchback but before the deposition of the second polysilicon layer. [0136]
  • Fabrication of an SSA trench DMOSFET is outlined in the flow chart of FIG. 22. Included are major blocks associated with: [0137]
  • Drain formation [0138]
  • SSA trench formation [0139]
  • Gate formation [0140]
  • Body formation [0141]
  • Gate bus/polysilicon diode formation [0142]
  • SSA source/mesa formation [0143]
  • SSA contact formation [0144]
  • Optional P+ body contact formation [0145]
  • Metal contact formation [0146]
  • The flow chart of FIG. 22 details the steps used to form each structural element as a series of labeled rectangles. Those steps where the corner of the rectangle is clipped are optional and may be omitted if certain structural features are not required for a particular embodiment. Multiple paths for the arrows indicate an optional process flow. The flows described do not preclude other sequences which produce similar structural elements and are thus not meant to be limiting. [0147]
  • A cross-sectional view of an SSA trench MOSFET produced by this process sequence is shown in FIG. 23. While the device shown is an N-channel SSA trench DMOS, the flow can also produce an SSA P-channel device by substituting N-type dopants for P-type, and vice-versa. Since the process is, in its preferred embodiment, a low-thermal-budget fabrication sequence, the diffusion cycles need not be altered significantly to produce a P-channel device. [0148]
  • FIG. 23 illustrates the important features of the [0149] device 250 including its active cell array 260, gate bus region 270, polysilicon diode region 280, and edge termination region 290. The drawing is schematic and illustrative in the sense that the spatial relationship among the various regions may vary based on the device layout, and the devices may occur in various combinations depending on which cross-sectional cut line is chosen. The purpose of FIG. 23 is to show a variety of regions in a single drawing to illustrate the manufacture of such a device.
  • In the active cell array [0150] 260 a number of trench gate segments 262 form an array or grid containing an embedded polysilicon gate 264 with a thin gate oxide layer portion 266 on the sidewalls adjacent the channel regions 263, a thicker oxide layer portion 268 overlying the polysilicon gate 264 (to electrically isolate the gates from the overlying source metal layer 269), and in a preferred embodiment, a thicker gate oxide layer portion 261 located at the bottom of the trench. The embedded polysilicon gate 264 extends below the bottom extent of the body region, labeled PB, and into the epitaxial drain material 267, which may be uniformly doped, may be graded or stepped in concentration with the lightest doping near the trench, or may contain the implanted buried layer 265 as shown. The buried layer 265 is identifiable as an implanted layer since its center (vertically in the x-dimension) is not located near the interface between the epitaxial layer 267 and the N+ substrate 300.
  • An [0151] N+ source region 302 extends across the mesas formed by the transecting trench segments and is in contact from trench-to-trench with a barrier metal sandwich 303 (such as Ti/TiN or W). The barrier metal can be reacted at an elevated temperature to form a silicide with the silicon mesa. The barrier metal is covered by the thick source metal layer 269, preferably pure aluminum (Al), aluminum with 1% copper (AlCu), aluminum with 1% copper and 1% silicon (AlCuSi), or possibly pure copper. Body contact is achieved with the periodic introduction of shallow P+ doped regions where N+ is not located, either at the edge of the array or throughout the array along the stripes according to the structures of FIGS. 19A-19F.
  • The [0152] gate bus region 270 includes a gate 272 with a heavily doped polysilicon portion embedded in a trench 271 and extending onto the top surface with a strapping metal layer 273, which may represent a gate bus or a gate bonding pad area. The polysilicon layer 278 outside of the trench sits atop a nitride layer 274, with a thin oxide layer 275 beneath nitride layer 274. The polysilicon is oxidized on its edges and the entire structure is encapsulated with another nitride layer 276, 295 on top.
  • The [0153] polysilicon diode region 280 includes the same structure as the gate bus, except that the portion of polysilicon layer 278 that is in the diode region 280 is moderately doped with a PA anode implant and selectively counterdoped by the N+ source implant to form a series of diodes 288. Any polysilicon (such as layer 278) extending laterally along the surface in the gate bus or polysilicon diode structure includes a PB body junction beneath it, except in the termination region 290. The polysilicon gate 272 and polysilicon layer 278 are contacted by the metal layers 269, 273, with the intervening Ti/TiN barrier metal 281 localized to the contact windows. Unlike the active array 260, the opening of the contact window 281 to contact the polysilicon layer 278 is defined by a contact mask, which etches through the encapsulating nitride layer 276 and the thin polysilicon oxide 283. The series of polysilicon diodes 288 is generally electrically connected to the source metal layer 269 on one end and to the polysilicon gate 272 on the other. The N+ portion of polysilicon gate 272 and polysilicon layer 278 is connected either by a metal layer (not shown) or through N+ polysilicon embedded in the trenches to other polysilicon gate regions such as the gates 264 in the active region 260.
  • The [0154] outer termination region 290 includes a polysilicon field plate 291 (a portion of polysilicon layer 278 and an extension of polysilicon electrode 293) sitting atop nitride layer 274 and oxide sandwich 275 and extending past the P-body 292. Polysilicon electrode 293/field plate 291 may be biased at either the gate or the source potential. The contact to polysilicon electrode 293/field plate 291 is made through source metal layer 269. If, instead the source metal layer 269 were split from the polysilicon electrode 293/field plate 291, then polysilicon electrode 293/field plate 291 could alternatively be electrically shorted to the gate electrode 272 via strapping metal layer 273. Since the gate and source of a power MOSFET are typically shorted together when the device is biased in the off condition, the operation of the gate 272 and field plate 291 would be identical. The additional gate bias above the source potential in the on-state does not substantially modify the operation of the field plate, so the field plate is capable of performing the tasks of a termination in all gate bias conditions.
  • A [0155] second polysilicon electrode 294 and second field plate 299 biased at the drain potential circumscribe the outer edge of the device and extend laterally toward the body junction, stopping to form an intervening gap laterally between it and the source field plate 291. The gap is filled with nitride 295, which also seals and encapsulates the polysilicon field plates 291 and 299 and protects thin oxide sandwich 275. The outer polysilicon electrode 294 and field plate 299 are shorted via metal 296 to the outer edge of the device, i.e. the drain potential, by a N+ contact 297 to the portion of epitaxial layer 267 at the die edge. Alternatively, the second field plate 299 could be extended to the outer edge of the chip and into the scribe line area, where the saw used to separate the chips would cut through the field plate 299 thereby shorting it to the drain.
  • While numerous fabrication sequences exist to introduce the dopant into the active device areas, the main structural feature of the disclosed invention is its SSA (super-self-alignment) as defined by the [0156] nitride layer 274. The process flow is defined in FIGS. 24A-24Q.
  • Drain Formation [0157]
  • As shown in FIG. 24A, the process begins with [0158] N+ substrate 300, on which N-epitaxial (epi) layer 267 is grown by a known process. Stress relief oxide layer 275 is formed, and blanket buried layer 265 is implanted, as shown in FIG. 24B. The steps are uniform for the active array region 260, gate bus region 270, polysilicon diode region 280 and edge termination region 290. The energy of the NBL implant can be adjusted to program the BVDSS of the device. Table 2 shows typical process parameters. The symbols B+, P+ and P++refer to singly ionized boron, singly ionized phosphorus, and doubly ionized phosphorus, respectively.
    TABLE 2
    Feature Range Target Requirement P-channel
    N++ substrate
    300 1 to 5 mΩcm 1 to 3 Lowest possible P++ boron
    arsenic/phosphorus mΩ-cm resistivity Same spec
    N-epi layer 267 1 to 10 μm 3 μm Set by BVDSS P-epitaxy
    (thickness and 10 to 4 · 1017 cm−3 spec
    doping phosphorus
    concentration)
    Stress relief oxide 30 to 700Å 90Å Implant As N+ Layer may be
    layer 275 (thickness, 800 to 1100° C. 850° C. through it later in thicker since B+
    anneal temperature 5 to 60 min 15 min process; prevent implant can easily
    and time) dry O2 “lifting of nitride penetrate; preferabl
    during the same as for N-
    polysilicon top channel process
    oxidation
    N buried layer 265 1012 to 5 · 1013 cm−2 5 · 1012 depth from PBL implant
    (implant dose and 500keV to 2.3MeV cm−2 P++ surface: 1.3 MeV B+ or B+
    energy) P+or P++ 1.7 MeV xNBL(top)>3 μm
  • Trench Formation [0159]
  • The gate trench formation involves the photomask definition and etching of the trench using a hardmask of [0160] nitride layer 274 or another dielectric that will survive the etching process. Nitride layer 274 is deposited by chemical vapor deposition (CVD) and may be capped with a thin oxide to help reduce its erosion. The nitride layer 274 or other dielectric must have a good dry etch selectivity versus oxide later in the process. FIG. 24C shows the deposition of nitride layer 274. Any other dielectric which shows such selectivity compared to oxide could also suffice.
  • FIG. 24D shows the trench-masking step with fine lines and spaces to form the [0161] trench segments 262 in the active array region 260. A photoresist layer 320 is deposited over nitride layer 274 and patterned using known photolithographic processes (Mask 1). In the gate bus region (not shown) only one or two trenches per bus are opened for etching, in the termination region 290 two trenches are opened, and in the polysilicon diode region 280 no trenches are opened. The trench etch, shown in FIG. 24E, is performed using a RIE etcher (the same equipment commonly used for polysilicon etching). Photoresist layer 320 may be left in place during the silicon trench etch, even though the nitride or oxide-nitride stack will act as a mask. Hard-baking the photoresist at a higher than average temperature (e.g., 10-20° C. higher than conventional bakes) will make the photoresist stiffer by improving cross-linking of the photoresist. Exposure to ultraviolet (UV) light has a similar effect. The steep profile of the photoresist will therefore be maintained during etching. Specifically, these steps minimize the erosion of the nitride during the silicon trench etch. Afterward, the photoresist is stripped. Typical process parameters are shown in Table 3.
    TABLE 3
    Feature Range Target Requirement P-channel
    Nitride layer
    274 500 to 3000 Å 2000Å P+ body implant P+body
    deposition must penetrate implant must
    (CVD) (thickness) Good oxide etch penetrate
    selectivity
    Oxide layer (not 200 to 5000 Å Not Prevent nitride same
    shown) deposition shown erosion during
    (thickness) (1000Å) silicon etch
    Trench mask (Mask 0.2 to 1.5 μm line 0.5 μm Pattern/etch same
    1)(gap width) & space oxide & nitride
    Trench etch 0.3 to 4 μm deep 2 μm Rounded corners same
    (depth) steep sidewall
    <100> alignment
  • Gate Formation [0162]
  • After the trench has been etched, the trench is oxidized and the sacrificial oxide layer is etched (not shown) to remove any damage. As shown in FIG. 24F, the trench is then oxidized to form the [0163] gate oxide layer 266. In a preferred embodiment, a thick oxide layer 261 is formed on the bottom of the trench before the final sidewall gate oxide layer 266 is grown. One example of the thick bottom oxide process is described below in connection with FIG. 25. Referring again to FIG. 24F, the first polysilicon layer 322 is then deposited and preferably doped simultaneously in situ to a low resistivity, preferably with phosphorus for an N-channel device or with boron for a P-channel device. Alternatively, polysilicon layer 322 could be deposited, undoped, and implanted with phosphorus at an energy of 60 to 100 KeV at a dose of 1 to 7×1015 cm−2 and then annealed at 900 to 1100° C. for 10 minutes to 2 hours.
  • As shown in FIG. 24G, the [0164] first polysilicon layer 322 is then etched back down into the trench, or at least below the surface of nitride layer 274. The polysilicon layer 322 is totally removed from the polysilicon diode region 280 at this step. Illustrative process parameters for the steps shown in FIGS. 24F and 24G are shown in Table 4.
    TABLE 4
    Feature Range Target Requirement P-channel
    Sacrificial oxide 70 to 200Å 300Å Remove damage same
    (thickness, anneal 800 to 900° C. 850° C. with subsequent
    temperature and 15 to 40 min 28 min oxide etchback
    time) dry O2
    Thick bottom oxide 1000 to 3000 Å at 2000Å Various methods same
    layer
    261 trench bottom Directional
    (optional) deposit/resist
    (thickness) etchback
    Sidewall nitride/
    LOCOS
    Gate oxide layer 266 70 to 700Å 175Å or Active channel same
    (thickness, anneal 800 to 950° C. 300Å gate oxide
    temperature and 5 to 130 min 850° C.
    time) dry O2 16 or 60
    min
    Polysilicon layer
    322 2000 to 12000Å 7000Å Gate poly must same
    (thickness) in situ doped N + 18 Ω/sq. fill trench
    <75 Ω/sq. xpolyl>1.4 · yG/2
    Polysilicon layer 322 Below nitride top even with Remove from same
    etchback Above source nitride surface for body
    bottom implant
    Oxide removal from Remove all oxide 3500 Å Strip oxide for same
    top of nitride (0 to 5000 Å) subsequent
    implant
  • In the event that a thick bottom oxide process was employed or an oxide hard mask was employed prior to the trench etch, an oxide layer will remain on top of the [0165] nitride layer 274 after the etchback of the polysilicon (not shown in FIG. 24G). This oxide is preferably removed at this step prior to subsequent ion implantations. Care must be taken not to etch or damage the gate oxide during an oxide etch.
  • Body Formation [0166]
  • As shown in FIG. 24H, the body region P[0167] B is next introduced through the nitride layer 274. Since boron is a small ion, it is the preferred P-type dopant. Boron easily penetrates the nitride layer 274 and can be implanted deep into the epitaxial layer 267 forming the body doping profile from ion implantation alone, without the need for a long drive-in diffusion. In the event that the final profile is ion-implanted, the photoresist layer 324 needed to block implantation from the termination region 290 must be thick enough, generally over 1 to 3 μm, to block implants up to the MeV range. A boron implant in the range of 800 keV to 3 MeV with a dose in the range of 8×10 cm−2 to 8×1013 cm−2 is recommended. The feature size of photoresist layer 324 is not critical since only the termination requires implant blocking. Furthermore, the body implant can be introduced later in the cycle, but implantation at this stage has the benefit the resulting body-drain junction is uniform, avoiding any localized junction breakdown problems.
  • The body implant in a conventional diffused version is at a dose in the range of 1·10[0168] 13 to 1·1014 cm−2 and energy of 60 to 100 keV. This shallow implant is then followed by a drive-in diffusion at 1050° C. to 1150° C. for 6 to 15 hours, resulting in a typical junction depth of 1.7 μm. More information on typical process parameters is given in Table 5.
    TABLE 5
    Feature Range Target Requirement P-channel
    Body mask (Mask No small mask 4 μm at die Block body Same but
    2) features except edge implant up to blocking
    (photoresist) termination MeV range phosphorus
    Body implant
    1013 to 1014 cm−2; 6 · 1013 cm−2; After diffusion; P+ implant;
    (conventional) 60 to 150 keV 80 keV 400 to 900 Ω/sq. 120 keV
    B+
    Body implant (high 8 × 1012 to 8 × 3 × 1013 sets threshold V; phosphorus
    energy) 1013 cm−2 800 cm−2, 1.6 avoids implant, 35%
    keV to 3 MeV MeV punchthrough higher energy
    boron
    Drive-in diffusion 1050 to 1150 1100° C. 1 < XjB < 2 μm same
    ° C., 6 to 15 hrs 12 hrs typical 1.6 gm
  • Alternatively, a “chained implant” technique can be used to form the body region. For example, a succession of “chained” boron implants can be performed at a dose of 7·10[0169] 12 cm−2 and at energies of 1 MeV, 700 keV, 525 keV, 375 keV, 225 keV and 125 keV. In other embodiments different doses and energies can be used, and more than one dose can be used in a single device. This process produces a dopant profile of the general form shown in FIG. 26B (wherein a “chain” of four implants is shown), which can be compared to the conventional dopant profile of a single implanted body as shown in FIG. 26A. The chained implant method produces a more uniform body doping concentration and a steeper concentration gradient (at the body-drain junction), with a higher total body charge for a given threshold voltage, thereby reducing the vulnerability of the device to punchthrough breakdown. This technique also has the advantage that the depth of the source-body junction does not, to a first order, affect the threshold voltage of the device, as it does in DMOS devices formed with conventional diffused body processes. The body-drain junction can be targeted at the same depth as in a conventional diffused-body MOSFET. The maximum implant energy is chosen to penetrate the nitride and set the junction at the desired depth. The implants into the mesa regions do not need to penetrate the thick first polysilicon layer 322, since polysilicon layer 322 was removed from over the mesas in the etchback step described above.
  • Gate Bus/Diode Formation [0170]
  • The gate bus and polysilicon diode are formed in a second deposited [0171] polysilicon layer 278, deposited across all device areas as shown in FIG. 241, contacting with the exposed remaining portions of polysilicon layer 322. Polysilicon layer 278 is deposited undoped or lightly doped so that it can easily be counterdoped by subsequent implants, such as the diode implant or the source implant. No interfacial oxide can be present between the polysilicon layers 322 and 278. The polysilicon layer 278 is next blanket-implanted with boron to form the anodes of the PN junctions in the polysilicon diode.
  • As shown in FIG. 24J, an optional [0172] thin oxide layer 328 is then formed on top of the polysilicon layer 278, and a nitride layer 330 is deposited by chemical vapor deposition and patterned by the “polymask” (not shown). The nitride patterning is referred to as the “polymask” because it is this mask feature which will determine where polysilicon emerges out of the trench and onto the surface so that a contact to the polysilicon can be made. It is also the polymask that determines where polysilicon layer 278 will sit atop the field oxide to define the gate buses and the field plates in the drain and diode areas. If the polymask is clear (assuming positive photoresist), the nitride layer 330, and hence the polysilicon layer 278, will be subjected to an etchback whereby the polysilicon will be removed from the surface and etched back into the trenches (i.e., embedded). Accordingly, the nitride layer 330 is removed in the active array region 260, but left protecting the gate bus region 270 and the polysilicon diode region 280. Two regions in the termination region 290 are also left protected by the nitride layer 330, one for the source field plate 291, the other for the drain field plate 299. The nitride layer 330 serves two roles: first it defines where the polysilicon layer 278 will not be etched back, and secondly, it prevents the subsequent oxidation of the polysilicon bus 278, source and drain field plates 291, 299 and the polysilicon diode region 280.
  • As shown in FIG. 24K, [0173] polysilicon layer 278 is etched back in the exposed areas to a level even with the bottom of nitride layer 274. Nitride layer 274 is exposed in the center of the termination region 290 and on top of all the silicon mesas in the active array region 260. Typical process parameters for the steps illustrated in FIGS. 24I-24K are given in Table 6.
    TABLE 6
    Feature Range Target Requirement P-channel
    Polysilicon layer
    278 1000 to 8000Å 5000Å then Gate poly must similar but
    (thickness) and undoped, then 60 keV fill trench when phosphorus is
    blanket boron B+implant, 3· 1012 cm−2 doped N-type implanted
    implant (dose and 20 to 80 keV and
    energy) 1012 to 1013 cm−2 make ohmic
    contact to
    poly 1
    Polysilicon oxide 70 to 700Å 300Å Implant As N+ Thicker ok
    layer 328 (thickness, 800 to 1000° C. 850° C. through it later since B+
    anneal temperature 5 to 60 mm dry O2 28 min in process implant can
    and time penetrate
    Nitride layer 330 500 to 3000 Å 2000Å Good oxide similar
    (thickness) etch selectivity
    Polysilicon mask 0.5 to 3.5 μm line 1.5 μm line Pattern/etch same
    (Mask 3) & space & space nitride/oxide &
    poly
    Polysilicon layer
    278 Below nitride top even with Remove from same
    etchback Above source nitride surface for
    bottom bottom body implant
  • Source/Mesa Formation [0174]
  • As shown in FIG. 24L, the exposed surfaces of [0175] polysilicon layer 278 in trench segments 262 is oxidized to form oxide layers 268 in the active array. The side edges of polysilicon layer 278 in the gate bus region 270 and the termination region 290, i.e., the exposed areas not covered by nitride layer 330, are also oxidized. The mesas in the active array 260 are protected from oxidation by nitride layer 274, and the polysilicon layer 278 in the gate bus region 270, the polysilicon diode region 280 and the termination region 290 is protected from oxidation by nitride layer 330.
  • Next, as shown in FIG. 24M, the [0176] nitride layer 274 is stripped from the active array region 260 exposing the thin oxide layer 275 atop the silicon mesas for the first time since the beginning of the process. Nitride layer 330 is also removed, leaving the top surfaces of the polysilicon gate bus, the polysilicon diode and the field plates 291, 299 covered only by the thin polysilicon oxide 328 that was grown after the deposition of polysilicon layer 278. The polysilicon layer 278 is doped with a blanket anode implant of P-type impurity (not shown), so that polysilicon layer becomes P-type except where layer 278 contacts the in-situ doped polysilicon layer 322, where some out diffusion may occur into layer 278. In these regions, the updiffusing of the highly doped N+ polysilicon layer 322 may cause some of the overlying undoped portions of the polysilicon layer 278 to become doped with N-type impurity to a concentration that is higher than concentration of P-type dopant from the anode implant. For example, in termination area 290 the portions of polysilicon layer 278 directly above the trenches will exhibit an N+ dopant concentration, while the portions of polysilicon layer 278 in the field plates 291, 299 may remain P-type until the N+ source implant (described below).
  • A [0177] photoresist layer 332 is then applied, defining the N+ source regions 302 in the active array region 260 and the cathodes of the diodes in the polysilicon diode region 280. Photoresist layer 332 also fills the gaps in the nitride layer 274 in the gate bus region 270 and the termination region 290. The entire structure, including the gate bus, polysilicon field plates 291, 299 and the cathodes of the poly diodes and are implanted with arsenic, as shown in FIG. 24N. Photoresist layer 332 is then removed. Typical process parameters for the steps shown in FIGS. 24L-24N are shown in Table 7.
    TABLE 7
    Feature Range Target Requirement P-channel
    Oxidation of 800 and 3000Å 1500Å Protect trench same
    polysilicon layer
    278 800 to 1050° C. 950° C. gate from oxide
    (thickness, anneal 5 to 80 min 50 min dip and metal
    temperature and short (self-
    time) aligned contact)
    Strip nitride layer Remove exposed clear Good selectivity same
    274 nitride to poly
    underneath
    Source mask Blocks arsenic 3 μm Defines poly Blocks BF2
    (photoresist) Mask 4 implant feature diode cathodes implant
    and N+ source
    N+(As)implant 20 to 180 keV 100 keV N+ must BF2 typical
    (energy and dose) 1015 to 1016 cm −2 8 · 1015 penetrate initial 60 keV
    As+ cm−2 and poly ox
  • SSA Contact Formation [0178]
  • Since the [0179] oxide layer 328 on the polysilicon bus, polysilicon diode, and polysilicon field plates 291, 299 is thin, passivation nitride layer 276 is then deposited by chemical vapor deposition, as shown in FIG. 24O. This is followed by a contact mask (not shown) which opens nitride layer 276 and exposes polysilicon layer 278 (covered only by thin oxide layer 328) in the regions to be electrically contacted. In the active array region 260 the nitride layer 276 is completely removed. A shallow boron implant is next introduced as a blanket implant, preferably using BF2 at a low energy and a low concentration so as not to counterdope the N+ regions. The nitride layer 276 also protects the regions between the field plates 291, 299 in the termination region 290. Alternatively, the boron implant can be performed through a photomask defined photoresist layer and limited to the regions where the body contact is to be formed (described below) Contacts are made to the polysilicon diode cathodes, and to the gate bus. This step is accomplished by a contact mask which opens areas for these selective contacts since they are not defined by the remaining portions of nitride layer 276. If the contact mask covers the active array, the oxide 328 is etched in the contact windows and then the mask can be removed, followed by a dip to remove the remaining oxide remaining under the nitride in the active areas. If the photomask has an open feature in the polysilicon diode region 280, and edge termination region 290, and the active array area 260, care must be taken not to overetch oxide layer above the trenches so as to cause a short.
  • The [0180] thin oxide layer 328 exposed in the active contact areas is then dipped off, without undue etching of the oxide layer 268 atop the polysilicon gates embedded in the trench. As shown in FIGS. 24P and 24Q, the barrier metal 303 is then applied in the areas where the polysilicon layer 278 and the silicon surface of the mesa in the active array region 260 have been exposed. Typical parameters for the process steps shown in FIGS. 24O-24Q are shown in Table 8.
    TABLE 8
    Feature Range Target Requirement P-channel
    Nitride layer
    276 500 to 4000 Å 2000Å Protect same
    (CVD) (thickness) termination, gate
    bus & poly
    diodes
    Contact mask (Mask Etch & remove Clear Open small same
    5) contact openings in 2 μm features on gate
    nitride layer
    276 contact bus
    P+ (B) implant 20 to 80 keV BF2 + Xj < 0.8 xj (P+)< xj (N+) As+
    (energy and dose) 7 · 1014 to 3 · 1015 μm to avoid Vt 60 keV
    cm−2 30 keV change 5 · 1015 cm −2
    2 · 1015
    cm−2
    Oxide dip remove initial oxide Clear in Do not remove same
    contacts poly top oxide
    over trenches
    Barrier metal Ti/TN 1000Å Ohmic contact to same
    (composition and 300Å to 2000Å 900° C. N+ & P+ silicon,
    thickness) With RTA sintering 20 sec N+ poly
  • P+ Body Contact Formation [0181]
  • This is an optional process step (not shown) wherein the P+ implant regions are selected by a mask rather than going into every contact (as shown in FIG. 24O). This permits implants of a higher dose to be used. The mask should keep P+ dopant from entering the channel regions along the trench sidewalls except in the areas where the body is to be contacted. Table 9 gives some process variables for this optional step. [0182]
    TABLE 9
    Feature Range Target Requirement P-channel
    P+ mask Blocks BF 2 2 μm Defines body Blocks As
    (photoresist) implant feature contact implant
    P+ implant (energy 20 to 80 keV BF2t 0.8 μm No depth Ast
    and dose) 7 · 1014 to 8 · 1015 restriction 60 keV
    cm−2 5 · 1015 cm−2
  • Top Metal Formation [0183]
  • The deposition and patterning of [0184] metal layer 269 completes the fabrication. No passivation mask is needed since the nitride layer 276 passivates the termination and the polysilicon gate buses. The process variables for the metal layer 269 are shown in Table 10.
    TABLE 10
    Feature Range Target Requirement P-channel
    Metal layer
    269 0.5 to 5 μm 3 μm Ohmic contact same
    (thickness and AlCu, AlCuSi, AlSi AlCu
    composition)
    Metal mask 1 to 20 μm lines 2 μm No shorts same
    (Mask 6) 1 to 3 μm spaces lines and
    (photoresist/etch) spaces
  • FIGS. [0185] 25A-25C illustrate the steps of one method for forming a thick oxide layer on the bottom of the trench (see FIG. 24F). After the trench 262 has been etched, as shown in FIG. 24E, a sacrificial gate oxide layer 352 is formed on the bottom and sidewalls of the trench by a thermal process to repair damage to the silicon caused by the etching process. Oxide layer 352 is then removed. Oxide is then deposited in a vertical direction by CVD to fill the trench 262 and overflow the nitride layer 274, as shown in FIG. 25A. The result is oxide layer 350. Oxide layer 350 is then etched back until all that remains is the thick oxide layer 261 on the bottom of the trench 262, as shown in FIG. 25B. Thin oxide layer 266 is then grown on the sidewalls of trench 262 by a thermal process. As noted in Table 4, gate oxide layer 266 is typically 70 to 700 Å thick.
  • In accordance with another aspect of this invention, the problems associated with combining a contact mask with a narrow mesa leading to metal step coverage problems, such as are shown FIGS. 8B and 8C, can be overcome by one of several additional techniques. These techniques permit the structure shown in FIG. 12A to be fabricated but with the size of the “large” contact being reduced laterally sufficiently to produce devices in Region II of FIG. 13 or, in conjunction the SSA techniques described herein, in Region I. [0186]
  • FIG. 27A illustrates a trench MOSFET wherein a contact with a mesa has a submicron width, even though the [0187] oxide layer 400 has a thickness greater than the width δN+ of the contact. This structure can be fabricated by performing the deposition of the metal layer 402 (e.g., aluminum) at a high pressure, typically several times atmospheric pressure (e.g., 1.2-4 atmospheres). The high pressure helps to force the metal ions (typically aluminum or copper) into the contact window, thereby avoiding the confirmal deposition properties that give rise to the notches and voids shown in FIGS. 8B and 8C. For example, deposition of aluminum-copper-silicon can be performed at conditions that are identical to those normally used but at pressures elevated above atmospheric, giving rise to improved step coverage. For example, at two atmospheres and a wafer temperature of 250° C., the step coverage is better than at atmospheric pressure.
  • As shown in FIG. 27B, the high pressure deposition of the [0188] thick metal layer 402 can be combined with the formation of a barrier layer 404. If a barrier such as a sandwich of Ti and TiN is used, the deposition can be performed at elevated temperatures, e.g., over 400° C. and even approaching the melting temperature of the metal (e.g., aluminum), without causing the metal in layer 402 to alloy with or sinter into the barrier metal so as to produce metal “spikes” that can short the N+ source region (or the P-body) to the to the gate electrode or crystal defects that lower the quality of the gate oxide layer. If the temperature is sufficiently high (e.g., 400 to 450° C.), the deposition can be conducted at atmospheric pressure. The deposition can be performed, for example, by sputtering, evaporation, chemical vapor deposition (CVD),.or plasma-enhanced chemical vapor deposition (PECVD).
  • As shown in FIGS. 27C and 27D, the contact windows can be filled with another material such as tungsten or copper and then planarized using known procedures, to form [0189] plugs 406 which interconnect the top metal layer 408 with the barrier metal 404. The device shown in FIG. 27C is formed using a process flow that includes a contact mask. An oxide layer 400 is deposited, masked and etched to form the contact openings. The dashed lines represent the boundary between the oxide resulting from the oxidized gate polysilicon and the deposited oxide layer 400.
  • The device shown in FIG. 27D is formed using the SSA process of this invention. A [0190] layer 412 of a glass such as borophosphosilicate glass (BSPS) is then flowed over the SSA structure, and a contact mask is used to define contact openings 414 in the glass layer 412 which are filled with the metal that forms plugs 406. The glass layer 412 is deposited on top of the oxidized surface of the polysilicon embedded gate, i.e., the top oxide. Layer 413 is nitride that remains from the nitride layer used in the SSA process. The motivation for a contact mask and intervening glass in the SSA flow is primarily to reduce the coupling capacitance between the source metal and the top of the embedded trench gate.
  • FIGS. [0191] 28A-28D show a process sequence for forming a device according to this aspect of the invention. In FIG. 28A, after the SSA process has been completed, the trench MOSFET has been coated with a glass layer 420, which could for example be borophosphosilicate glass (BPSG), to have a relatively flat top surface. As shown in FIG. 28B, the device is then masked and etched to form contact openings 422, and an optional barrier metal layer 424 is deposited on the surface of the N+ source regions. As shown in FIG. 28C, a layer 428 of a material such as tungsten is used to fill the contact openings 422, the layer 428 extending to a level well above the surface of the glass layer 420. The design rules for tungsten layer 428 are similar to those for the polysilicon used to fill the trench as shown in FIGS. 9B and 9C. Next, as shown in FIG. 28D, tungsten layer 428 is either etched back or ground flat, using chemical-mechanical polishing, and a metal layer 430 is deposited of layer 428. Tungsten layer 428 provides a flat surface so that the metal layer 430 does not have to extend over the step formed by glass layer 420.
  • The embodiments described above are intended to be illustrative only, and not limiting. Other embodiments in accordance with the principles of this invention will be apparent to those skilled in the art. [0192]

Claims (43)

We claim:
1. A process for fabricating a trench MOSFET comprising:
providing a body of semiconductor material having a surface;
forming a first mask over the surface, the first mask having an opening where a trench is to be located in the body;
etching the semiconductor material through the opening in the first mask to form a trench in the semiconductor body;
forming a first oxide layer in the trench;
introducing polysilicon into the trench;
with the first mask in place, oxidizing an exposed surface of the polysilicon to form a second oxide layer at the top of the trench, the second oxide layer extending down into the trench;
removing the first mask; and
depositing a metal layer on a surface of the second oxide layer and the surface of the body.
2. The method of claim 1 wherein forming a first mask comprises depositing a nitride layer.
3. The method of claim 2 comprising forming a third oxide layer between the surface of the body and the nitride layer.
4. The method of claim 2 wherein at least a portion of the third oxide layer remains after the first mask is removed.
5. The method of claim 4 comprising removing the third oxide layer.
6. The method of claim 3 wherein the second oxide layer is thicker than each of the first and third oxide layers.
7. The method of claim 1 wherein the second oxide layer is thicker than the first oxide layer.
8. The method of claim 1 comprising depositing oxide in the trench and etching the oxide back to form a fourth oxide layer on a bottom of the trench.
9. The method of claim 8 wherein depositing oxide comprises depositing oxide by a chemical vapor deposition process.
10. The method of claim 9 wherein each of the second and fourth oxide layers is thicker than each of the first or third oxide layers.
11. The method of claim 1 comprising etching the polysilicon until a surface of the polysilicon is coplanar with the surface of the body.
12. The method of claim 1 wherein providing a body of semiconductor material comprises growing an epitaxial layer on a surface of a semiconductor substrate.
13. The method of claim 12 comprising implanting dopant of a first conductivity type through solid portions of the first mask to form a body region in the epitaxial layer.
14. The method of claim 13 comprising implanting dopant of a second conductivity type through solid portions of the first mask to form a source region in the epitaxial layer.
15. The method of claim 1 wherein introducing polysilicon into the trench comprises:
introducing a first polysilicon layer into the trench;
etching the first polysilicon layer until an exposed surface of the first polysilicon layer is at a level below the surface of the semiconductor body;
introducing a second polysilicon layer over the first polysilicon layer, the second polysilicon layer covering the first polysilicon layer and the first mask.
16. The method of claim 1 comprising forming a second mask over the polysilicon layer, the second mask having an opening over the trench, and comprising etching the polysilicon layer through the opening in the second mask, thereby leaving a remaining portion of the polysilicon layer extending laterally over the surface of the semiconductor body.
17. The method of claim 16 comprising:
implanting dopant of a first conductivity type into the remaining portion of the polysilicon layer;
forming a third mask with an opening over the remaining portion of the polysilicon layer;
implanting dopant of a second conductivity type into the polysilicon layer through the opening in the third mask, thereby to form a PN diode in the remaining portion of the polysilicon layer.
18. The method of claim 17 comprising depositing a metal layer in contact with the surface of the semiconductor body and the remaining portion of the polysilicon layer.
19. A process for fabricating a trench MOSFET comprising:
providing a semiconductor body having a surface;
forming a first mask over the surface, the first mask having an opening where a trench is to be located in the body;
etching the semiconductor material through the opening in the first mask to form a trench in the semiconductor body;
depositing an oxide in the trench;
etching the oxide to form a first oxide layer on a bottom of the trench;
forming a second oxide layer on a sidewall of the trench, the first oxide layer being thicker than the second oxide layer; and
introducing polysilicon into the trench.
20. The method of claim 19 comprising oxidizing an exposed surface of the polysilicon to form a third oxide layer at the top of the trench, the third oxide layer extending down into the trench and being thicker than the first oxide layer.
21. The method of claim 20 comprising introducing dopant of a first conductivity type into the semiconductor body to form a body region, a junction of the body region being at a level with an upper surface of the first oxide layer.
22. A trench-gated power MOSFET comprising;
a semiconductor body having a trench formed therein, a wall of the trench intersecting a major surface of the semiconductor body at a trench corner, the semiconductor body comprising:
a source region of a first conductivity type adjacent the trench and the major surface of the body;
a body region of a second conductivity type forming a junction with the source region, the body region comprising a channel region adjacent the wall of the trench; and
a drain region of the first conductivity type forming a junction with the body region; and
a gate disposed in the trench, the gate being bordered by a gate oxide layer, the gate oxide layer comprising a first portion adjacent the channel region and a second portion overlying the gate, the second portion being thicker than the first portion; and
a metal layer in contact with the top surface of the semiconductor body, the contact between the metal layer and the top surface extending laterally to the trench corner.
23. The trench-gated power MOSFET of claim 22 wherein a lower surface of the second portion of the gate oxide layer is below a level of the surface of the semiconductor body.
24. The trench-gated power MOSFET of claim 23 wherein an upper surface of the second portion of the gate oxide layer is above the level of the surface of the semiconductor body.
25. The trench-gated power MOSFET of claim 22 wherein the gate oxide layer comprises a third portion adjacent a bottom of the trench, the third portion being thicker than the first portion.
26. The trench-gated power MOSFET of claim 25 wherein an upper surface of the third portion is at a level equal to the junction between the body region and the drain region.
27. A trench-gated power MOSFET comprising;
a semiconductor body having a major surface and a trench formed in the semiconductor body, the semiconductor body comprising:
a source region of a first conductivity type adjacent the trench and the major surface of the body;
a body region of a second conductivity type forming a junction with the source region, the body region comprising a channel region adjacent the wall of the trench; and
a drain region of the first conductivity type forming a junction with the body region; and
a gate disposed in the trench, the gate being bordered by a gate oxide layer, the gate oxide layer comprising a first portion adjacent the channel region and a second portion overlying the gate, the second portion being thicker than the first portion, the second portion not overlapping the major surface of the semiconductor body outside the trench; and a metal layer in contact with the top surface of the semiconductor body.
28. The trench-gated power MOSFET of claim 27 wherein a lower surface of the second portion of the gate oxide layer is below a level of the surface of the semiconductor body.
29. The trench-gated power MOSFET of claim 28 wherein an upper surface of the second portion of the gate oxide layer is above the level of the surface of the semiconductor body.
30. The trench-gated power MOSFET of claim 27 wherein the gate oxide layer comprises a third portion adjacent a bottom of the trench, the third portion being thicker than the first portion.
31. The trench-gated power MOSFET of claim 30 wherein an upper surface of the third portion is at a level equal to the junction between the body region and the drain region.
32. A trench-gated power MOSFET comprising;
a semiconductor body having a major surface and a trench formed in the semiconductor body, the semiconductor body comprising:
a source region of a first conductivity type adjacent the trench and the major surface of the body;
a body region of a second conductivity type forming a junction with the source region, the body region comprising a channel region adjacent the wall of the trench; and
a drain region of the first conductivity type forming a junction with the body region; and
a gate disposed in the trench, the gate being bordered by a gate oxide layer, the gate oxide layer comprising a first portion adjacent the channel region and a second portion at a bottom of the trench, the second portion being thicker than the first portion.
33. The trench-gated power MOSFET of claim 32 wherein an upper surface of the second portion is at a level equal to the junction between the body region and the drain region.
34. A method of fabricating a MOSFET comprising:
providing a semiconductor body;
forming a trench in a surface of the semiconductor body, the trench defining a mesa;
forming a first insulating layer along a wall of the trench;
forming a gate in the trench, the gate being insulated from the semiconductor body by the insulating layer;
implanting dopant of a first conductivity type into the mesa to form a body region;
implanting dopant of a second conductivity type into the mesa to form a source region;
forming a second insulating layer over the mesa;
etching an opening in the second insulating layer; and
depositing a metal layer into the contact opening to form an electrical contact with the source region, the depositing being performed at a pressure greater than atmospheric pressure.
35. The method of claim 34 wherein depositing the metal layer is performed at a pressure of about two atmospheric pressures.
36. The method of claim 34 further comprising depositing a barrier layer on a surface of the mesa.
37. A method of fabricating a MOSFET comprising:
providing a semiconductor body;
forming a trench in a surface of the semiconductor body, the trench defining a mesa;
forming a first insulating layer along a wall of the trench;
forming a gate in the trench, the gate being insulated from the semiconductor body by the insulating layer;
implanting dopant of a first conductivity type into the mesa to form a body region;
implanting dopant of a second conductivity type into the mesa to form a source region;
forming a second insulating layer over the mesa;
etching an opening in the second insulating layer;
depositing a first metal layer into the contact opening to form an electrical contact with the source region;
planarizing the first metal layer to form a plug, a surface of the plug being coplanar with a surface of the second insulating layer; and
depositing a second metal layer over the second insulating layer and the plug.
38. The method of claim 37 wherein forming a second insulating layer comprises forming a glass layer.
39. The method of claim 37 wherein depositing a first metal layer comprises depositing a metal from the group consisting of tungsten and copper.
40. The method of claim 37 wherein planarizing the first metal layer comprises chemical-mechanical polishing.
41. The method of claim 37 wherein planarizing the first metal layer comprises etching.
42. The method of claim 37 wherein forming a gate in the trench comprises depositing a polysilicon layer.
43. The method of claim 42 further comprising oxidizing the polysilicon layer to form a top oxide layer overlying a remaining portion of the polysilicon layer.
US09/296,959 1999-04-22 1999-04-22 Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer Expired - Lifetime US6413822B2 (en)

Priority Applications (14)

Application Number Priority Date Filing Date Title
US09/296,959 US6413822B2 (en) 1999-04-22 1999-04-22 Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer
PCT/US2000/010770 WO2000065646A1 (en) 1999-04-22 2000-04-21 A super-self-aligned trench-gate dmos with reduced on-resistance
EP00930123A EP1186023A4 (en) 1999-04-22 2000-04-21 A super-self-aligned trench-gate dmos with reduced on-resistance
JP2000614495A JP4180800B2 (en) 1999-04-22 2000-04-21 Super self-aligned trench DMOSFET with reduced on-resistance
AU48001/00A AU4800100A (en) 1999-04-22 2000-04-21 A super-self-aligned trench-gate dmos with reduced on-resistance
KR1020017013334A KR100679538B1 (en) 1999-04-22 2000-04-21 A super-self-aligned trench-gate dmos with reduced on-resistance
CNB008083932A CN1192425C (en) 1999-04-22 2000-04-21 Super-self-aligned trench-gate DMOS with reduced on-resistance
CNB2007101618092A CN100568470C (en) 1999-04-22 2000-04-21 Super self aligned ditch-gate DMOS device
CNB2004100946560A CN100367478C (en) 1999-04-22 2000-04-21 A super-self-aligned trench-gate DMOS
TW089107593A TW494529B (en) 1999-04-22 2000-04-21 A super-self-aligned trench gate DMOS with reduced on-resistance
US10/146,568 US6756274B2 (en) 1999-04-22 2002-05-14 Fabrication process for a super-self-aligned trench-gated DMOS with reduced on-resistance
US10/146,668 US6750507B2 (en) 1999-04-22 2002-05-14 Super-self-aligned trench-gated DMOS with reduced on-resistance
US10/767,030 US7052963B2 (en) 1999-04-22 2004-01-28 Method of forming trench transistor with chained implanted body including a plurality of implantation with different energies
US10/767,028 US6924198B2 (en) 1999-04-22 2004-01-28 Self-aligned trench transistor using etched contact

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/296,959 US6413822B2 (en) 1999-04-22 1999-04-22 Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/146,668 Division US6750507B2 (en) 1999-04-22 2002-05-14 Super-self-aligned trench-gated DMOS with reduced on-resistance
US10/146,568 Continuation US6756274B2 (en) 1999-04-22 2002-05-14 Fabrication process for a super-self-aligned trench-gated DMOS with reduced on-resistance

Publications (2)

Publication Number Publication Date
US20020019099A1 true US20020019099A1 (en) 2002-02-14
US6413822B2 US6413822B2 (en) 2002-07-02

Family

ID=23144273

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/296,959 Expired - Lifetime US6413822B2 (en) 1999-04-22 1999-04-22 Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer
US10/146,568 Expired - Lifetime US6756274B2 (en) 1999-04-22 2002-05-14 Fabrication process for a super-self-aligned trench-gated DMOS with reduced on-resistance
US10/146,668 Expired - Lifetime US6750507B2 (en) 1999-04-22 2002-05-14 Super-self-aligned trench-gated DMOS with reduced on-resistance
US10/767,030 Expired - Lifetime US7052963B2 (en) 1999-04-22 2004-01-28 Method of forming trench transistor with chained implanted body including a plurality of implantation with different energies
US10/767,028 Expired - Lifetime US6924198B2 (en) 1999-04-22 2004-01-28 Self-aligned trench transistor using etched contact

Family Applications After (4)

Application Number Title Priority Date Filing Date
US10/146,568 Expired - Lifetime US6756274B2 (en) 1999-04-22 2002-05-14 Fabrication process for a super-self-aligned trench-gated DMOS with reduced on-resistance
US10/146,668 Expired - Lifetime US6750507B2 (en) 1999-04-22 2002-05-14 Super-self-aligned trench-gated DMOS with reduced on-resistance
US10/767,030 Expired - Lifetime US7052963B2 (en) 1999-04-22 2004-01-28 Method of forming trench transistor with chained implanted body including a plurality of implantation with different energies
US10/767,028 Expired - Lifetime US6924198B2 (en) 1999-04-22 2004-01-28 Self-aligned trench transistor using etched contact

Country Status (8)

Country Link
US (5) US6413822B2 (en)
EP (1) EP1186023A4 (en)
JP (1) JP4180800B2 (en)
KR (1) KR100679538B1 (en)
CN (3) CN100568470C (en)
AU (1) AU4800100A (en)
TW (1) TW494529B (en)
WO (1) WO2000065646A1 (en)

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008284A1 (en) * 2000-07-20 2002-01-24 Fairchild Semiconductor Corporation Power mosfet and method for forming same using a self-aligned body implant
WO2003094204A2 (en) * 2002-05-03 2003-11-13 International Rectifier Corporation Short channel trench power mosfet with low threshold voltage
US20040251491A1 (en) * 2002-09-30 2004-12-16 Ling Ma Trench MOSFET technology for DC-DC converter applications
US20050148128A1 (en) * 2003-12-02 2005-07-07 Pattanayak Deva N. Method of manufacturing a closed cell trench MOSFET
US20060001084A1 (en) * 2002-10-04 2006-01-05 Koninklijke Philips Electronics, N.V. Power semiconductor devices
US20060011976A1 (en) * 2004-03-26 2006-01-19 Siliconix Incorporated Termination for trench MIS device having implanted drain-drift region
US20060278988A1 (en) * 2005-06-14 2006-12-14 John Trezza Profiled contact
US20060281303A1 (en) * 2005-06-14 2006-12-14 John Trezza Tack & fuse chip bonding
US20060278996A1 (en) * 2005-06-14 2006-12-14 John Trezza Active packaging
US20060281296A1 (en) * 2005-06-14 2006-12-14 Abhay Misra Routingless chip architecture
US20070158839A1 (en) * 2005-06-14 2007-07-12 John Trezza Thermally balanced via
US20070281460A1 (en) * 2006-06-06 2007-12-06 Cubic Wafer, Inc. Front-end processed wafer having through-chip connections
US20070284754A1 (en) * 2006-05-12 2007-12-13 Ronald Wong Power MOSFET contact metallization
US20080258212A1 (en) * 2007-04-19 2008-10-23 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
US20080296773A1 (en) * 2007-05-31 2008-12-04 Matthias Stecher Power semiconductor device with improved heat dissipation
US20080303081A1 (en) * 2007-06-05 2008-12-11 Force-Mos Technology Corp. Device configuration and method to manufacture trench mosfet with solderable front metal
US20090050960A1 (en) * 2004-05-13 2009-02-26 Vishay-Siliconix Stacked Trench Metal-Oxide-Semiconductor Field Effect Transistor Device
US20090072300A1 (en) * 2007-09-19 2009-03-19 Nec Electronics Corporation Semiconductor device having trench gate structure
US20090174079A1 (en) * 2007-02-16 2009-07-09 John Trezza Plated pillar package formation
US20100140776A1 (en) * 2005-06-14 2010-06-10 John Trezza Triaxial through-chip connecton
US20100148343A1 (en) * 2005-06-14 2010-06-17 John Trezza Side stacking apparatus and method
US20100219503A1 (en) * 2005-06-14 2010-09-02 John Trezza Chip capacitive coupling
US20100261297A1 (en) * 2005-06-14 2010-10-14 John Trezza Remote chip attachment
US20110101525A1 (en) * 2009-10-30 2011-05-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
CN101764061B (en) * 2008-12-26 2012-05-30 马克斯半导体股份有限公司 Power metal-oxide-semiconductor field effect transistor structure and processing method thereof
US20120171828A1 (en) * 2000-08-16 2012-07-05 Izak Bencuya Method of Forming a FET Having Ultra-low On-resistance and Low Gate Charge
US20120276728A1 (en) * 2008-07-29 2012-11-01 Rohm Co., Ltd. Trench type semiconductor device and fabrication method for the same
US20130240947A1 (en) * 2012-03-15 2013-09-19 Tomoko Matsudai Semiconductor device
US8604525B2 (en) 2009-11-02 2013-12-10 Vishay-Siliconix Transistor structure with feed-through source-to-substrate contact
US20140138766A1 (en) * 2008-03-24 2014-05-22 Micron Technology, Inc. Impact ionization devices, and methods of forming impact ionization devices
US20140232451A1 (en) * 2013-02-19 2014-08-21 Qualcomm Incorporated Three terminal semiconductor device with variable capacitance
US20140268447A1 (en) * 2013-03-15 2014-09-18 Qualcomm Incorporated Radio frequency integrated circuit (rfic) charged-device model (cdm) protection
US9059237B2 (en) 2013-02-12 2015-06-16 Sanken Electric Co., Ltd. Semiconductor device having an insulated gate bipolar transistor
US20150294865A1 (en) * 2014-04-14 2015-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US20160148921A1 (en) * 2014-11-25 2016-05-26 Shekar Mallikararjunaswamy Circuit configuration and manufacturing processes for vertical transient voltage suppressor (tvs) and emi filter
US9397213B2 (en) 2014-08-29 2016-07-19 Freescale Semiconductor, Inc. Trench gate FET with self-aligned source contact
US9425304B2 (en) 2014-08-21 2016-08-23 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
US9553184B2 (en) * 2014-08-29 2017-01-24 Nxp Usa, Inc. Edge termination for trench gate FET
US9680003B2 (en) 2015-03-27 2017-06-13 Nxp Usa, Inc. Trench MOSFET shield poly contact
US20180190642A1 (en) * 2015-12-16 2018-07-05 Rohm Co., Ltd. Semiconductor device
TWI629786B (en) * 2015-05-27 2018-07-11 豐田自動車股份有限公司 Method of manufacturing insulated gate switching device
US20190198660A1 (en) * 2017-12-22 2019-06-27 Renesas Electronics Corporation Semiconductor device and its manufacturing method
US10784373B1 (en) 2019-03-14 2020-09-22 Semiconductor Components Industries, Llc Insulated gated field effect transistor structure having shielded source and method
CN112701163A (en) * 2021-02-05 2021-04-23 上海华虹宏力半导体制造有限公司 Trench gate semiconductor device and method of manufacturing the same
US11222976B2 (en) 2019-03-14 2022-01-11 Semiconductor Components Industries, Llc Insulated gated field effect transistor structure having shielded source and method
CN114725206A (en) * 2022-03-08 2022-07-08 西南交通大学 SiCVDMOSFET device based on low dielectric constant medium

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291298B1 (en) * 1999-05-25 2001-09-18 Advanced Analogic Technologies, Inc. Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US7084456B2 (en) * 1999-05-25 2006-08-01 Advanced Analogic Technologies, Inc. Trench MOSFET with recessed clamping diode using graded doping
US6555895B1 (en) * 2000-07-17 2003-04-29 General Semiconductor, Inc. Devices and methods for addressing optical edge effects in connection with etched trenches
US6657256B2 (en) * 2001-05-22 2003-12-02 General Semiconductor, Inc. Trench DMOS transistor having a zener diode for protection from electro-static discharge
US20060038223A1 (en) * 2001-07-03 2006-02-23 Siliconix Incorporated Trench MOSFET having drain-drift region comprising stack of implanted regions
US7009247B2 (en) * 2001-07-03 2006-03-07 Siliconix Incorporated Trench MIS device with thick oxide layer in bottom of gate contact trench
US7033876B2 (en) * 2001-07-03 2006-04-25 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide and process for manufacturing the same
US7291884B2 (en) * 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
GB0117949D0 (en) * 2001-07-24 2001-09-19 Koninkl Philips Electronics Nv Trench-gate semiconductor devices and their manufacture
US6566710B1 (en) * 2001-08-29 2003-05-20 National Semiconductor Corporation Power MOSFET cell with a crossed bar shaped body contact area
US7045859B2 (en) * 2001-09-05 2006-05-16 International Rectifier Corporation Trench fet with self aligned source and contact
GB0122122D0 (en) 2001-09-13 2001-10-31 Koninkl Philips Electronics Nv Trench-gate semiconductor devices and their manufacture
GB0125710D0 (en) * 2001-10-26 2001-12-19 Koninkl Philips Electronics Nv Transistor device
JP3701227B2 (en) * 2001-10-30 2005-09-28 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US6838722B2 (en) * 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
GB0208833D0 (en) * 2002-04-18 2002-05-29 Koninkl Philips Electronics Nv Trench-gate semiconductor devices
JP2004022700A (en) * 2002-06-14 2004-01-22 Sanyo Electric Co Ltd Semiconductor device
KR100473476B1 (en) * 2002-07-04 2005-03-10 삼성전자주식회사 Semiconductor device and Method of manufacturing the same
US8629019B2 (en) 2002-09-24 2014-01-14 Vishay-Siliconix Method of forming self aligned contacts for a power MOSFET
US8080459B2 (en) * 2002-09-24 2011-12-20 Vishay-Siliconix Self aligned contact in a semiconductor device and method of fabricating the same
US6855985B2 (en) 2002-09-29 2005-02-15 Advanced Analogic Technologies, Inc. Modular bipolar-CMOS-DMOS analog integrated circuit & power transistor technology
US7141455B2 (en) * 2002-11-25 2006-11-28 Texas Instruments Incorporated Method to manufacture LDMOS transistors with improved threshold voltage control
FR2847593A1 (en) * 2002-11-26 2004-05-28 St Microelectronics Sa Coating substrate with tantalum pentoxide, for making capacitors in integrated circuits, comprises heating substrate and contacting it with oxidizing gas containing t-butylimino tris(diethylamino) tantalum
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
DE10316530A1 (en) * 2003-04-10 2004-11-18 Infineon Technologies Ag Production of a semiconductor component comprises preparing a semiconductor body with a dopant and with a trench protruding from a first surface into the semiconductor body, and further processing
TWI223448B (en) * 2003-04-29 2004-11-01 Mosel Vitelic Inc DMOS device having a trenched bus structure
GB0312512D0 (en) * 2003-05-31 2003-07-09 Koninkl Philips Electronics Nv Termination structures for semiconductor devices and the manufacture thereof
JP3906184B2 (en) * 2003-06-11 2007-04-18 株式会社東芝 Semiconductor device and manufacturing method thereof
DE10350684B4 (en) * 2003-10-30 2008-08-28 Infineon Technologies Ag Method for producing a power transistor arrangement and power transistor arrangement produced by this method
US7368353B2 (en) * 2003-11-04 2008-05-06 International Rectifier Corporation Trench power MOSFET with reduced gate resistance
DE10353387B4 (en) * 2003-11-14 2008-07-24 Infineon Technologies Ag Method for producing a power transistor arrangement and power transistor arrangement
US7259411B1 (en) * 2003-12-04 2007-08-21 National Semiconductor Corporation Vertical MOS transistor
JP4059846B2 (en) * 2003-12-26 2008-03-12 Necエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP4699692B2 (en) * 2003-12-26 2011-06-15 ローム株式会社 Semiconductor device manufacturing method and semiconductor device
KR100529655B1 (en) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 Fabricating method of gate oxide layer in semiconductor device
US7405452B2 (en) * 2004-02-02 2008-07-29 Hamza Yilmaz Semiconductor device containing dielectrically isolated PN junction for enhanced breakdown characteristics
KR100574340B1 (en) * 2004-02-02 2006-04-26 삼성전자주식회사 Semiconductor device and method of manufacturing for the same
DE102004005774B4 (en) * 2004-02-05 2006-09-28 Infineon Technologies Ag Method for producing gate electrodes in a field plate trench transistor and field plate trench transistor
US7217976B2 (en) * 2004-02-09 2007-05-15 International Rectifier Corporation Low temperature process and structures for polycide power MOSFET with ultra-shallow source
JP4801323B2 (en) * 2004-02-13 2011-10-26 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
GB0405325D0 (en) * 2004-03-10 2004-04-21 Koninkl Philips Electronics Nv Trench-gate transistors and their manufacture
US6927451B1 (en) * 2004-03-26 2005-08-09 Siliconix Incorporated Termination for trench MIS device having implanted drain-drift region
US7268395B2 (en) 2004-06-04 2007-09-11 International Rectifier Corporation Deep trench super switch device
US7402863B2 (en) * 2004-06-21 2008-07-22 International Rectifier Corporation Trench FET with reduced mesa width and source contact inside active trench
EP1761953A4 (en) * 2004-06-30 2009-02-25 Advanced Analogic Tech Inc Trench mosfet with recessed clamping diode
US7102201B2 (en) * 2004-07-15 2006-09-05 International Business Machines Corporation Strained semiconductor device structures
US7352036B2 (en) 2004-08-03 2008-04-01 Fairchild Semiconductor Corporation Semiconductor power device having a top-side drain using a sinker trench
JP4913336B2 (en) * 2004-09-28 2012-04-11 ルネサスエレクトロニクス株式会社 Semiconductor device
JP5135663B2 (en) * 2004-10-21 2013-02-06 富士電機株式会社 Semiconductor device and manufacturing method thereof
DE102004063991B4 (en) * 2004-10-29 2009-06-18 Infineon Technologies Ag Method for producing doped semiconductor regions in a semiconductor body of a lateral trench transistor
US7371641B2 (en) * 2004-10-29 2008-05-13 International Rectifier Corporation Method of making a trench MOSFET with deposited oxide
US7501651B2 (en) * 2004-11-30 2009-03-10 Samsung Electronics Co., Ltd. Test structure of semiconductor device
FR2879024A1 (en) * 2004-12-08 2006-06-09 St Microelectronics Sa VERTICAL UNIPOLAR COMPONENT PERIPHERY
US9685524B2 (en) 2005-03-11 2017-06-20 Vishay-Siliconix Narrow semiconductor trench structure
JP4944383B2 (en) * 2005-03-25 2012-05-30 ルネサスエレクトロニクス株式会社 Semiconductor device
US8022468B1 (en) * 2005-03-29 2011-09-20 Spansion Llc Ultraviolet radiation blocking interlayer dielectric
DE102005014743B4 (en) * 2005-03-31 2013-12-05 Infineon Technologies Austria Ag MOS field plate trench transistor means
WO2006108011A2 (en) 2005-04-06 2006-10-12 Fairchild Semiconductor Corporation Trenched-gate field effect transistors and methods of forming the same
JP4955222B2 (en) * 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7179717B2 (en) * 2005-05-25 2007-02-20 Micron Technology, Inc. Methods of forming integrated circuit devices
US20060273380A1 (en) * 2005-06-06 2006-12-07 M-Mos Sdn.Bhd. Source contact and metal scheme for high density trench MOSFET
US20060273390A1 (en) * 2005-06-06 2006-12-07 M-Mos Sdn. Bhd. Gate contact and runners for high density trench MOSFET
US20060273384A1 (en) * 2005-06-06 2006-12-07 M-Mos Sdn. Bhd. Structure for avalanche improvement of ultra high density trench MOSFET
JP2007005657A (en) * 2005-06-24 2007-01-11 Nec Electronics Corp Semiconductor device and method of manufacturing the same
JP2007043123A (en) * 2005-07-01 2007-02-15 Toshiba Corp Semiconductor device
US8264717B2 (en) * 2005-07-11 2012-09-11 Ricoh Company, Ltd. Image forming apparatus, information processing apparatus, information processing method, information processing program and storage medium
JP4939012B2 (en) * 2005-08-26 2012-05-23 ルネサスエレクトロニクス株式会社 Semiconductor device
US20070075360A1 (en) * 2005-09-30 2007-04-05 Alpha &Omega Semiconductor, Ltd. Cobalt silicon contact barrier metal process for high density semiconductor power devices
JP2007142087A (en) * 2005-11-17 2007-06-07 Nec Electronics Corp Semiconductor device
TWI489557B (en) 2005-12-22 2015-06-21 Vishay Siliconix High mobility p-channel trench and planar depletion-mode power metal-oxide semiconductor field-effect transistors
US7544545B2 (en) 2005-12-28 2009-06-09 Vishay-Siliconix Trench polysilicon diode
CN101361193B (en) * 2006-01-18 2013-07-10 维西埃-硅化物公司 Floating gate structure with high electrostatic discharge performance
US7667265B2 (en) * 2006-01-30 2010-02-23 Fairchild Semiconductor Corporation Varying mesa dimensions in high cell density trench MOSFET
US8409954B2 (en) * 2006-03-21 2013-04-02 Vishay-Silconix Ultra-low drain-source resistance power MOSFET
US20070228463A1 (en) * 2006-04-03 2007-10-04 Jun Cai Self-aligned complementary ldmos
US20080042222A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with copper metal connections
US20080042208A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with esd trench capacitor
US7629646B2 (en) * 2006-08-16 2009-12-08 Force Mos Technology Co., Ltd. Trench MOSFET with terraced gate and manufacturing method thereof
US8476709B2 (en) 2006-08-24 2013-07-02 Infineon Technologies Ag ESD protection device and method
JP5511124B2 (en) * 2006-09-28 2014-06-04 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Insulated gate semiconductor device
JP2008085188A (en) * 2006-09-28 2008-04-10 Sanyo Electric Co Ltd Insulated gate semiconductor device
TWI496272B (en) * 2006-09-29 2015-08-11 Fairchild Semiconductor Dual voltage polysilicon diode electrostatic discharge circuit for power mosfets
US7374980B2 (en) * 2006-10-13 2008-05-20 International Business Machines Corporation Field effect transistor with thin gate electrode and method of fabricating same
JP2008130983A (en) * 2006-11-24 2008-06-05 Nec Electronics Corp Semiconductor device and its manufacturing method
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
US7511357B2 (en) * 2007-04-20 2009-03-31 Force-Mos Technology Corporation Trenched MOSFETs with improved gate-drain (GD) clamp diodes
JP5138274B2 (en) 2007-05-25 2013-02-06 三菱電機株式会社 Semiconductor device
JP4427561B2 (en) * 2007-05-29 2010-03-10 株式会社東芝 Semiconductor device
US8217419B2 (en) 2007-06-15 2012-07-10 Rohm Co., Ltd. Semiconductor device
TWI340435B (en) * 2007-07-11 2011-04-11 Nanya Technology Corp Dynamic random access memory with electrostatic discharge structure and method for manufacturing the same
US20090026533A1 (en) * 2007-07-24 2009-01-29 Force-Mos Technology Corporation Trench MOSFET with multiple P-bodies for ruggedness and on-resistance improvements
KR100848781B1 (en) * 2007-08-14 2008-07-28 주식회사 동부하이텍 Exposing method of dense patten
JP5298488B2 (en) 2007-09-28 2013-09-25 富士電機株式会社 Semiconductor device
JP2009088198A (en) * 2007-09-28 2009-04-23 Rohm Co Ltd Semiconductor device
US7799642B2 (en) 2007-10-02 2010-09-21 Inpower Semiconductor Co., Ltd. Trench MOSFET and method of manufacture utilizing two masks
US7687352B2 (en) * 2007-10-02 2010-03-30 Inpower Semiconductor Co., Ltd. Trench MOSFET and method of manufacture utilizing four masks
US9484451B2 (en) 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
US7772668B2 (en) * 2007-12-26 2010-08-10 Fairchild Semiconductor Corporation Shielded gate trench FET with multiple channels
US10600902B2 (en) 2008-02-13 2020-03-24 Vishay SIliconix, LLC Self-repairing field effect transisitor
US20090242973A1 (en) 2008-03-31 2009-10-01 Alpha & Omega Semiconductor, Ltd. Source and body contact structure for trench-dmos devices using polysilicon
US7683369B2 (en) * 2008-04-10 2010-03-23 Alpha & Omega Semiconductor, Inc. Structure for measuring body pinch resistance of high density trench MOSFET array
JP5337470B2 (en) * 2008-04-21 2013-11-06 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Insulated gate semiconductor device
WO2009151657A1 (en) * 2008-06-11 2009-12-17 Maxpower Semiconductor Inc. Super self-aligned trench mosfet devices, methods and systems
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
US7867852B2 (en) * 2008-08-08 2011-01-11 Alpha And Omega Semiconductor Incorporated Super-self-aligned trench-dmos structure and method
US7897462B2 (en) * 2008-11-14 2011-03-01 Semiconductor Components Industries, L.L.C. Method of manufacturing semiconductor component with gate and shield electrodes in trenches
JP5331497B2 (en) * 2008-11-27 2013-10-30 株式会社東芝 Semiconductor device and manufacturing method thereof
US7911260B2 (en) * 2009-02-02 2011-03-22 Infineon Technologies Ag Current control circuits
US8164162B2 (en) * 2009-06-11 2012-04-24 Force Mos Technology Co., Ltd. Power semiconductor devices integrated with clamp diodes sharing same gate metal pad
US9443974B2 (en) * 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
US9425306B2 (en) 2009-08-27 2016-08-23 Vishay-Siliconix Super junction trench power MOSFET devices
US9230810B2 (en) 2009-09-03 2016-01-05 Vishay-Siliconix System and method for substrate wafer back side and edge cross section seals
JP2011071161A (en) * 2009-09-24 2011-04-07 Toshiba Corp Semiconductor element, and method of manufacturing the same
CN102034708B (en) * 2009-09-27 2012-07-04 无锡华润上华半导体有限公司 Manufacturing method of trench DMOS (double-diffused metal oxide semiconductor) transistor
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9431530B2 (en) 2009-10-20 2016-08-30 Vishay-Siliconix Super-high density trench MOSFET
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8575702B2 (en) * 2009-11-27 2013-11-05 Magnachip Semiconductor, Ltd. Semiconductor device and method for fabricating semiconductor device
JP5452195B2 (en) * 2009-12-03 2014-03-26 株式会社 日立パワーデバイス Semiconductor device and power conversion device using the same
US8217469B2 (en) * 2009-12-11 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact implement structure for high density design
US8698232B2 (en) * 2010-01-04 2014-04-15 International Rectifier Corporation Semiconductor device including a voltage controlled termination structure and method for fabricating same
CN102130121B (en) * 2010-01-20 2012-10-03 上海华虹Nec电子有限公司 Serial connection structure of polycrystalline silicon diodes
EP2543072B1 (en) 2010-03-02 2021-10-06 Vishay-Siliconix Structures and methods of fabricating dual gate devices
US8431457B2 (en) 2010-03-11 2013-04-30 Alpha And Omega Semiconductor Incorporated Method for fabricating a shielded gate trench MOS with improved source pickup layout
US8143126B2 (en) 2010-05-10 2012-03-27 Freescale Semiconductor, Inc. Method for forming a vertical MOS transistor
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP5691259B2 (en) * 2010-06-22 2015-04-01 株式会社デンソー Semiconductor device
US9224496B2 (en) 2010-08-11 2015-12-29 Shine C. Chung Circuit and system of aggregated area anti-fuse in CMOS processes
US9431127B2 (en) 2010-08-20 2016-08-30 Shine C. Chung Circuit and system of using junction diode as program selector for metal fuses for one-time programmable devices
US9070437B2 (en) 2010-08-20 2015-06-30 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices with heat sink
US9460807B2 (en) 2010-08-20 2016-10-04 Shine C. Chung One-time programmable memory devices using FinFET technology
US9042153B2 (en) 2010-08-20 2015-05-26 Shine C. Chung Programmable resistive memory unit with multiple cells to improve yield and reliability
US9236141B2 (en) 2010-08-20 2016-01-12 Shine C. Chung Circuit and system of using junction diode of MOS as program selector for programmable resistive devices
US10229746B2 (en) 2010-08-20 2019-03-12 Attopsemi Technology Co., Ltd OTP memory with high data security
US9711237B2 (en) 2010-08-20 2017-07-18 Attopsemi Technology Co., Ltd. Method and structure for reliable electrical fuse programming
US10249379B2 (en) 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US10923204B2 (en) 2010-08-20 2021-02-16 Attopsemi Technology Co., Ltd Fully testible OTP memory
US9824768B2 (en) 2015-03-22 2017-11-21 Attopsemi Technology Co., Ltd Integrated OTP memory for providing MTP memory
US9019742B2 (en) 2010-08-20 2015-04-28 Shine C. Chung Multiple-state one-time programmable (OTP) memory to function as multi-time programmable (MTP) memory
US9496033B2 (en) 2010-08-20 2016-11-15 Attopsemi Technology Co., Ltd Method and system of programmable resistive devices with read capability using a low supply voltage
US10916317B2 (en) 2010-08-20 2021-02-09 Attopsemi Technology Co., Ltd Programmable resistance memory on thin film transistor technology
US8760904B2 (en) 2010-08-20 2014-06-24 Shine C. Chung One-Time Programmable memories using junction diodes as program selectors
US9818478B2 (en) 2012-12-07 2017-11-14 Attopsemi Technology Co., Ltd Programmable resistive device and memory using diode as selector
US9025357B2 (en) 2010-08-20 2015-05-05 Shine C. Chung Programmable resistive memory unit with data and reference cells
US9251893B2 (en) 2010-08-20 2016-02-02 Shine C. Chung Multiple-bit programmable resistive memory using diode as program selector
JP5616720B2 (en) * 2010-08-30 2014-10-29 セイコーインスツル株式会社 Semiconductor device and manufacturing method thereof
US8896064B2 (en) 2010-10-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Electrostatic discharge protection circuit
US9019791B2 (en) 2010-11-03 2015-04-28 Shine C. Chung Low-pin-count non-volatile memory interface for 3D IC
US8988965B2 (en) 2010-11-03 2015-03-24 Shine C. Chung Low-pin-count non-volatile memory interface
US8923085B2 (en) 2010-11-03 2014-12-30 Shine C. Chung Low-pin-count non-volatile memory embedded in a integrated circuit without any additional pins for access
US9496265B2 (en) 2010-12-08 2016-11-15 Attopsemi Technology Co., Ltd Circuit and system of a high density anti-fuse
US9685523B2 (en) * 2014-12-17 2017-06-20 Alpha And Omega Semiconductor Incorporated Diode structures with controlled injection efficiency for fast switching
US10192615B2 (en) 2011-02-14 2019-01-29 Attopsemi Technology Co., Ltd One-time programmable devices having a semiconductor fin structure with a divided active region
US10586832B2 (en) 2011-02-14 2020-03-10 Attopsemi Technology Co., Ltd One-time programmable devices using gate-all-around structures
US8848423B2 (en) 2011-02-14 2014-09-30 Shine C. Chung Circuit and system of using FinFET for building programmable resistive devices
JP5738653B2 (en) * 2011-03-31 2015-06-24 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Insulated gate semiconductor device
WO2012158977A2 (en) 2011-05-18 2012-11-22 Vishay-Siliconix Semiconductor device
US8829603B2 (en) 2011-08-18 2014-09-09 Alpha And Omega Semiconductor Incorporated Shielded gate trench MOSFET package
US9324849B2 (en) 2011-11-15 2016-04-26 Shine C. Chung Structures and techniques for using semiconductor body to construct SCR, DIAC, or TRIAC
US9136261B2 (en) * 2011-11-15 2015-09-15 Shine C. Chung Structures and techniques for using mesh-structure diodes for electro-static discharge (ESD) protection
US9412883B2 (en) 2011-11-22 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for MOS capacitors in replacement gate process
JP5798024B2 (en) * 2011-12-13 2015-10-21 ルネサスエレクトロニクス株式会社 Semiconductor device
US9007804B2 (en) 2012-02-06 2015-04-14 Shine C. Chung Circuit and system of protective mechanisms for programmable resistive memories
TWM435722U (en) * 2012-03-22 2012-08-11 Excelliance Mos Corp Power MOSFET
DE102012102533B3 (en) * 2012-03-23 2013-08-22 Infineon Technologies Austria Ag Integrated power transistor circuit with current measuring cell and method for their preparation and an arrangement containing them
CN103426738B (en) 2012-05-17 2018-05-18 恩智浦美国有限公司 Trench semiconductor device and its manufacturing method with edge termination structures
CN102789988A (en) * 2012-05-23 2012-11-21 上海宏力半导体制造有限公司 Method for forming channel type power device
US10411111B2 (en) 2012-05-30 2019-09-10 Kyushu Institute Of Technology Method for fabricating high-voltage insulated gate type bipolar semiconductor device
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
WO2013180186A1 (en) * 2012-05-30 2013-12-05 国立大学法人九州工業大学 Semiconductor device for high-voltage insulated gate power, and method for manufacturing same
US9076526B2 (en) 2012-09-10 2015-07-07 Shine C. Chung OTP memories functioning as an MTP memory
US9722041B2 (en) * 2012-09-19 2017-08-01 Vishay-Siliconix Breakdown voltage blocking device
US9183897B2 (en) 2012-09-30 2015-11-10 Shine C. Chung Circuits and methods of a self-timed high speed SRAM
US9324447B2 (en) 2012-11-20 2016-04-26 Shine C. Chung Circuit and system for concurrently programming multiple bits of OTP memory devices
US10068834B2 (en) * 2013-03-04 2018-09-04 Cree, Inc. Floating bond pad for power semiconductor devices
TWI511293B (en) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd Structure of dualtrench mos transistor and method of forming the same
US9006063B2 (en) * 2013-06-28 2015-04-14 Stmicroelectronics S.R.L. Trench MOSFET
TWI566328B (en) * 2013-07-29 2017-01-11 高效電源轉換公司 Gan transistors with polysilicon layers for creating additional components
DE102013108518B4 (en) * 2013-08-07 2016-11-24 Infineon Technologies Ag SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
CN104347422B (en) * 2013-08-09 2017-10-24 上海华虹宏力半导体制造有限公司 The manufacture method of the groove MOS transistor of static electrification release protection circuit
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
CN104600126B (en) * 2013-10-31 2017-10-24 无锡华润华晶微电子有限公司 A kind of super barrier automatic biasing commutation diode
KR102089514B1 (en) * 2013-12-23 2020-03-16 삼성전자 주식회사 Semiconductor memory device and method of fabricating the same
US9324830B2 (en) 2014-03-27 2016-04-26 International Business Machines Corporation Self-aligned contact process enabled by low temperature
US9412473B2 (en) 2014-06-16 2016-08-09 Shine C. Chung System and method of a novel redundancy scheme for OTP
US9293533B2 (en) 2014-06-20 2016-03-22 Infineon Technologies Austria Ag Semiconductor switching devices with different local transconductance
US9349795B2 (en) * 2014-06-20 2016-05-24 Infineon Technologies Austria Ag Semiconductor switching device with different local threshold voltage
US9231049B1 (en) 2014-06-20 2016-01-05 Infineon Technologies Austria Ag Semiconductor switching device with different local cell geometry
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
WO2016028944A1 (en) 2014-08-19 2016-02-25 Vishay-Siliconix Super-junction metal oxide semiconductor field effect transistor
KR102026543B1 (en) 2014-08-19 2019-09-27 비쉐이-실리코닉스 Electronic circuit
DE102014115464B4 (en) 2014-10-23 2019-10-24 Infineon Technologies Austria Ag POWER SEMICONDUCTOR WITH TEMPERATURE PROTECTION
JP6261494B2 (en) * 2014-12-03 2018-01-17 三菱電機株式会社 Power semiconductor device
CN106298920A (en) * 2015-05-29 2017-01-04 北大方正集团有限公司 The manufacture method of the field effect transistor in contactless hole and the field effect transistor in contactless hole
JP6560059B2 (en) * 2015-08-20 2019-08-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP6561723B2 (en) * 2015-09-24 2019-08-21 豊田合成株式会社 Semiconductor device and power conversion device
DE102016103384B4 (en) 2016-02-25 2024-02-08 Infineon Technologies Austria Ag Semiconductor device with needle-shaped field plate structures in a transistor cell region and in an inner termination region
KR102541563B1 (en) * 2016-04-27 2023-06-08 삼성전자주식회사 Semiconductor device, semiconductor chip and method of manufacturing the semiconductor device
US10522674B2 (en) * 2016-05-18 2019-12-31 Rohm Co., Ltd. Semiconductor with unified transistor structure and voltage regulator diode
CN106206741B (en) * 2016-08-30 2023-07-04 西安芯派电子科技有限公司 Cell structure for reducing grid resistance of low-voltage superjunction device and preparation method thereof
US11615859B2 (en) 2017-04-14 2023-03-28 Attopsemi Technology Co., Ltd One-time programmable memories with ultra-low power read operation and novel sensing scheme
US10535413B2 (en) 2017-04-14 2020-01-14 Attopsemi Technology Co., Ltd Low power read operation for programmable resistive memories
US11062786B2 (en) 2017-04-14 2021-07-13 Attopsemi Technology Co., Ltd One-time programmable memories with low power read operation and novel sensing scheme
US10726914B2 (en) 2017-04-14 2020-07-28 Attopsemi Technology Co. Ltd Programmable resistive memories with low power read operation and novel sensing scheme
US10861950B2 (en) 2017-11-16 2020-12-08 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
US10910313B2 (en) 2017-11-16 2021-02-02 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
DE102018102685A1 (en) * 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation process and associated structure
US10770160B2 (en) 2017-11-30 2020-09-08 Attopsemi Technology Co., Ltd Programmable resistive memory formed by bit slices from a standard cell library
CN108039372B (en) * 2017-12-21 2021-12-07 上海领矽半导体有限公司 Groove type vertical double-diffusion metal oxide transistor and manufacturing method thereof
JP6998788B2 (en) * 2018-02-09 2022-01-18 エイブリック株式会社 Semiconductor device
GB2572442A (en) * 2018-03-29 2019-10-02 Cambridge Entpr Ltd Power semiconductor device with a double gate structure
TWI729538B (en) 2018-11-21 2021-06-01 大陸商上海瀚薪科技有限公司 Silicon carbide semiconductor element integrated with clamping voltage clamping circuit
CN111370463A (en) * 2018-12-26 2020-07-03 深圳尚阳通科技有限公司 Trench gate power device and manufacturing method thereof
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
IT201900006709A1 (en) 2019-05-10 2020-11-10 St Microelectronics Srl SUPER JUNCTION POWER MOSFET DEVICE WITH IMPROVED RELIABILITY, AND MANUFACTURING METHOD
US10930510B2 (en) 2019-05-21 2021-02-23 International Business Machines Corporation Semiconductor device with improved contact resistance and via connectivity
US10892188B2 (en) 2019-06-13 2021-01-12 Semiconductor Components Industries, Llc Self-aligned trench MOSFET contacts having widths less than minimum lithography limits
JP7379882B2 (en) 2019-06-26 2023-11-15 富士電機株式会社 nitride semiconductor device
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
CN110739303B (en) * 2019-10-30 2020-11-06 珠海迈巨微电子有限责任公司 Trench VDMOS device integrated with ESD protection and manufacturing method
CN110797263A (en) * 2019-11-14 2020-02-14 龙腾半导体有限公司 Power MOSFET device and manufacturing method thereof
US11552190B2 (en) 2019-12-12 2023-01-10 Analog Devices International Unlimited Company High voltage double-diffused metal oxide semiconductor transistor with isolated parasitic bipolar junction transistor region
US10910478B1 (en) 2020-03-04 2021-02-02 Shuming Xu Metal-oxide-semiconductor field-effect transistor having enhanced high-frequency performance
TWI804736B (en) * 2020-03-25 2023-06-11 立錡科技股份有限公司 Power device having lateral insulated gate bipolar transistor (ligbt) and manufacturing method thereof
US11302776B1 (en) 2021-05-31 2022-04-12 Genesic Semiconductor Inc. Method and manufacture of robust, high-performance devices
EP4163981A1 (en) * 2021-10-11 2023-04-12 Nexperia B.V. Semiconductor device with a clamping diode

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4238278A (en) * 1979-06-14 1980-12-09 International Business Machines Corporation Polycrystalline silicon oxidation method for making shallow and deep isolation trenches
JPS5961045A (en) * 1982-09-29 1984-04-07 Fujitsu Ltd Manufacture of semiconductor device
US4767722A (en) * 1986-03-24 1988-08-30 Siliconix Incorporated Method for making planar vertical channel DMOS structures
US4967245A (en) * 1988-03-14 1990-10-30 Siliconix Incorporated Trench power MOSFET device
US5283201A (en) * 1988-05-17 1994-02-01 Advanced Power Technology, Inc. High density power device fabrication process
US4876214A (en) * 1988-06-02 1989-10-24 Tektronix, Inc. Method for fabricating an isolation region in a semiconductor substrate
US4992390A (en) 1989-07-06 1991-02-12 General Electric Company Trench gate structure with thick bottom oxide
US5168331A (en) * 1991-01-31 1992-12-01 Siliconix Incorporated Power metal-oxide-semiconductor field effect transistor
US5527561A (en) * 1991-05-28 1996-06-18 Electrotech Limited Method for filing substrate recesses using elevated temperature and pressure
JP2837014B2 (en) 1992-02-17 1998-12-14 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5554862A (en) * 1992-03-31 1996-09-10 Kabushiki Kaisha Toshiba Power semiconductor device
JPH05335582A (en) 1992-05-27 1993-12-17 Omron Corp Vertical mosfet device and manufacture thereof
US5539238A (en) 1992-09-02 1996-07-23 Texas Instruments Incorporated Area efficient high voltage Mosfets with vertical resurf drift regions
US5430315A (en) 1993-07-22 1995-07-04 Rumennik; Vladimir Bi-directional power trench MOS field effect transistor having low on-state resistance and low leakage current
US5514604A (en) * 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
JP3338178B2 (en) * 1994-05-30 2002-10-28 株式会社東芝 Semiconductor device and method of manufacturing the same
US5455190A (en) * 1994-12-07 1995-10-03 United Microelectronics Corporation Method of making a vertical channel device using buried source techniques
US5672889A (en) * 1995-03-15 1997-09-30 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5567634A (en) * 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US5648670A (en) * 1995-06-07 1997-07-15 Sgs-Thomson Microelectronics, Inc. Trench MOS-gated device with a minimum number of masks
JP3272242B2 (en) * 1995-06-09 2002-04-08 三洋電機株式会社 Semiconductor device
JP3384198B2 (en) * 1995-07-21 2003-03-10 三菱電機株式会社 Insulated gate semiconductor device and method of manufacturing the same
JPH09162399A (en) 1995-12-12 1997-06-20 Toshiba Corp Semiconductor device
US6090700A (en) * 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
US5770878A (en) * 1996-04-10 1998-06-23 Harris Corporation Trench MOS gate device
JP3528420B2 (en) * 1996-04-26 2004-05-17 株式会社デンソー Semiconductor device and manufacturing method thereof
JP4077529B2 (en) * 1996-05-22 2008-04-16 フェアチャイルドコリア半導体株式会社 Manufacturing method of trench diffusion MOS transistor
US6429481B1 (en) * 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
US6054365A (en) * 1998-07-13 2000-04-25 International Rectifier Corp. Process for filling deep trenches with polysilicon and oxide
US6080669A (en) * 1999-01-05 2000-06-27 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high pressure deposition
US6316806B1 (en) * 1999-03-31 2001-11-13 Fairfield Semiconductor Corporation Trench transistor with a self-aligned source
US6188105B1 (en) * 1999-04-01 2001-02-13 Intersil Corporation High density MOS-gated power device and process for forming same
US6461918B1 (en) * 1999-12-20 2002-10-08 Fairchild Semiconductor Corporation Power MOS device with improved gate charge performance

Cited By (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008284A1 (en) * 2000-07-20 2002-01-24 Fairchild Semiconductor Corporation Power mosfet and method for forming same using a self-aligned body implant
US6921939B2 (en) * 2000-07-20 2005-07-26 Fairchild Semiconductor Corporation Power MOSFET and method for forming same using a self-aligned body implant
US8710584B2 (en) * 2000-08-16 2014-04-29 Fairchild Semiconductor Corporation FET device having ultra-low on-resistance and low gate charge
US20120171828A1 (en) * 2000-08-16 2012-07-05 Izak Bencuya Method of Forming a FET Having Ultra-low On-resistance and Low Gate Charge
WO2003094204A2 (en) * 2002-05-03 2003-11-13 International Rectifier Corporation Short channel trench power mosfet with low threshold voltage
WO2003094204A3 (en) * 2002-05-03 2004-04-08 Int Rectifier Corp Short channel trench power mosfet with low threshold voltage
US7701001B2 (en) 2002-05-03 2010-04-20 International Rectifier Corporation Short channel trench power MOSFET with low threshold voltage
US20040251491A1 (en) * 2002-09-30 2004-12-16 Ling Ma Trench MOSFET technology for DC-DC converter applications
US7557395B2 (en) * 2002-09-30 2009-07-07 International Rectifier Corporation Trench MOSFET technology for DC-DC converter applications
US7504690B2 (en) * 2002-10-04 2009-03-17 Nxp B.V. Power semiconductor devices
US20060001084A1 (en) * 2002-10-04 2006-01-05 Koninklijke Philips Electronics, N.V. Power semiconductor devices
US20050148128A1 (en) * 2003-12-02 2005-07-07 Pattanayak Deva N. Method of manufacturing a closed cell trench MOSFET
US7833863B1 (en) 2003-12-02 2010-11-16 Vishay-Siliconix Method of manufacturing a closed cell trench MOSFET
US7361558B2 (en) * 2003-12-02 2008-04-22 Vishay-Siliconix Method of manufacturing a closed cell trench MOSFET
US7795675B2 (en) * 2004-03-26 2010-09-14 Siliconix Incorporated Termination for trench MIS device
US20060011976A1 (en) * 2004-03-26 2006-01-19 Siliconix Incorporated Termination for trench MIS device having implanted drain-drift region
US8183629B2 (en) 2004-05-13 2012-05-22 Vishay-Siliconix Stacked trench metal-oxide-semiconductor field effect transistor device
US20090050960A1 (en) * 2004-05-13 2009-02-26 Vishay-Siliconix Stacked Trench Metal-Oxide-Semiconductor Field Effect Transistor Device
US8067312B2 (en) 2005-06-14 2011-11-29 Cufer Asset Ltd. L.L.C. Coaxial through chip connection
US8232194B2 (en) 2005-06-14 2012-07-31 Cufer Asset Ltd. L.L.C. Process for chip capacitive coupling
US20070172987A1 (en) * 2005-06-14 2007-07-26 Roger Dugas Membrane-based chip tooling
US20070182020A1 (en) * 2005-06-14 2007-08-09 John Trezza Chip connector
US20070196948A1 (en) * 2005-06-14 2007-08-23 John Trezza Stacked chip-based system and method
US20070228576A1 (en) * 2005-06-14 2007-10-04 John Trezza Isolating chip-to-chip contact
US10340239B2 (en) 2005-06-14 2019-07-02 Cufer Asset Ltd. L.L.C Tooling for coupling multiple electronic chips
US9754907B2 (en) 2005-06-14 2017-09-05 Cufer Asset Ltd. L.L.C. Tooling for coupling multiple electronic chips
US20070138562A1 (en) * 2005-06-14 2007-06-21 Cubic Wafer, Inc. Coaxial through chip connection
US9324629B2 (en) 2005-06-14 2016-04-26 Cufer Asset Ltd. L.L.C. Tooling for coupling multiple electronic chips
US9147635B2 (en) 2005-06-14 2015-09-29 Cufer Asset Ltd. L.L.C. Contact-based encapsulation
US8846445B2 (en) 2005-06-14 2014-09-30 Cufer Asset Ltd. L.L.C. Inverse chip connector
US20070120241A1 (en) * 2005-06-14 2007-05-31 John Trezza Pin-type chip tooling
US20060281296A1 (en) * 2005-06-14 2006-12-14 Abhay Misra Routingless chip architecture
US20060278988A1 (en) * 2005-06-14 2006-12-14 John Trezza Profiled contact
US20060281292A1 (en) * 2005-06-14 2006-12-14 John Trezza Rigid-backed, membrane-based chip tooling
US8643186B2 (en) 2005-06-14 2014-02-04 Cufer Asset Ltd. L.L.C. Processed wafer via
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US20060278966A1 (en) * 2005-06-14 2006-12-14 John Trezza Contact-based encapsulation
US8283778B2 (en) 2005-06-14 2012-10-09 Cufer Asset Ltd. L.L.C. Thermally balanced via
US20100140776A1 (en) * 2005-06-14 2010-06-10 John Trezza Triaxial through-chip connecton
US20100148343A1 (en) * 2005-06-14 2010-06-17 John Trezza Side stacking apparatus and method
US20100197134A1 (en) * 2005-06-14 2010-08-05 John Trezza Coaxial through chip connection
US20100219503A1 (en) * 2005-06-14 2010-09-02 John Trezza Chip capacitive coupling
US20060278980A1 (en) * 2005-06-14 2006-12-14 John Trezza Patterned contact
US20100261297A1 (en) * 2005-06-14 2010-10-14 John Trezza Remote chip attachment
US20060278996A1 (en) * 2005-06-14 2006-12-14 John Trezza Active packaging
US20100304565A1 (en) * 2005-06-14 2010-12-02 John Trezza Processed wafer via
US7847412B2 (en) 2005-06-14 2010-12-07 John Trezza Isolating chip-to-chip contact
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US7884483B2 (en) 2005-06-14 2011-02-08 Cufer Asset Ltd. L.L.C. Chip connector
US7919870B2 (en) 2005-06-14 2011-04-05 Cufer Asset Ltd. L.L.C. Coaxial through chip connection
US7932584B2 (en) 2005-06-14 2011-04-26 Cufer Asset Ltd. L.L.C. Stacked chip-based system and method
US20070158839A1 (en) * 2005-06-14 2007-07-12 John Trezza Thermally balanced via
US7942182B2 (en) 2005-06-14 2011-05-17 Cufer Asset Ltd. L.L.C. Rigid-backed, membrane-based chip tooling
US7946331B2 (en) 2005-06-14 2011-05-24 Cufer Asset Ltd. L.L.C. Pin-type chip tooling
US20060281303A1 (en) * 2005-06-14 2006-12-14 John Trezza Tack & fuse chip bonding
US7969015B2 (en) 2005-06-14 2011-06-28 Cufer Asset Ltd. L.L.C. Inverse chip connector
US7989958B2 (en) 2005-06-14 2011-08-02 Cufer Assett Ltd. L.L.C. Patterned contact
US20110212573A1 (en) * 2005-06-14 2011-09-01 John Trezza Rigid-backed, membrane-based chip tooling
US8021922B2 (en) 2005-06-14 2011-09-20 Cufer Asset Ltd. L.L.C. Remote chip attachment
US8053903B2 (en) * 2005-06-14 2011-11-08 Cufer Asset Ltd. L.L.C. Chip capacitive coupling
US20060278993A1 (en) * 2005-06-14 2006-12-14 John Trezza Chip connector
US8084851B2 (en) 2005-06-14 2011-12-27 Cufer Asset Ltd. L.L.C. Side stacking apparatus and method
US8093729B2 (en) 2005-06-14 2012-01-10 Cufer Asset Ltd. L.L.C. Electrically conductive interconnect system and method
US8154131B2 (en) 2005-06-14 2012-04-10 Cufer Asset Ltd. L.L.C. Profiled contact
US20060278994A1 (en) * 2005-06-14 2006-12-14 John Trezza Inverse chip connector
US8197626B2 (en) 2005-06-14 2012-06-12 Cufer Asset Ltd. L.L.C. Rigid-backed, membrane-based chip tooling
US8197627B2 (en) 2005-06-14 2012-06-12 Cufer Asset Ltd. L.L.C. Pin-type chip tooling
US8471390B2 (en) 2006-05-12 2013-06-25 Vishay-Siliconix Power MOSFET contact metallization
US8697571B2 (en) 2006-05-12 2014-04-15 Vishay-Siliconix Power MOSFET contact metallization
US20070284754A1 (en) * 2006-05-12 2007-12-13 Ronald Wong Power MOSFET contact metallization
US20070281460A1 (en) * 2006-06-06 2007-12-06 Cubic Wafer, Inc. Front-end processed wafer having through-chip connections
US20090174079A1 (en) * 2007-02-16 2009-07-09 John Trezza Plated pillar package formation
US8368126B2 (en) 2007-04-19 2013-02-05 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
US20080258212A1 (en) * 2007-04-19 2008-10-23 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
US8883580B2 (en) 2007-04-19 2014-11-11 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
US7732848B2 (en) * 2007-05-31 2010-06-08 Infineon Technologies Ag Power semiconductor device with improved heat dissipation
US20080296773A1 (en) * 2007-05-31 2008-12-04 Matthias Stecher Power semiconductor device with improved heat dissipation
US7646058B2 (en) * 2007-06-05 2010-01-12 Force-Mos Technology Corporation Device configuration and method to manufacture trench MOSFET with solderable front metal
US20080303081A1 (en) * 2007-06-05 2008-12-11 Force-Mos Technology Corp. Device configuration and method to manufacture trench mosfet with solderable front metal
US7956409B2 (en) * 2007-09-19 2011-06-07 Renesas Electronics Corporation Semiconductor device having trench gate structure
US20090072300A1 (en) * 2007-09-19 2009-03-19 Nec Electronics Corporation Semiconductor device having trench gate structure
US9373716B2 (en) * 2008-03-24 2016-06-21 Micron Technology, Inc. Impact ionization devices, and methods of forming impact ionization devices
US20140138766A1 (en) * 2008-03-24 2014-05-22 Micron Technology, Inc. Impact ionization devices, and methods of forming impact ionization devices
US8435860B2 (en) * 2008-07-29 2013-05-07 Rohm Co., Ltd. Trench type semiconductor device and fabrication method for the same
US20120276728A1 (en) * 2008-07-29 2012-11-01 Rohm Co., Ltd. Trench type semiconductor device and fabrication method for the same
CN101764061B (en) * 2008-12-26 2012-05-30 马克斯半导体股份有限公司 Power metal-oxide-semiconductor field effect transistor structure and processing method thereof
US10032901B2 (en) 2009-10-30 2018-07-24 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US20110101525A1 (en) * 2009-10-30 2011-05-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US9306056B2 (en) 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US9443959B2 (en) 2009-11-02 2016-09-13 Vishay-Siliconix Transistor structure with feed-through source-to-substrate contact
US8604525B2 (en) 2009-11-02 2013-12-10 Vishay-Siliconix Transistor structure with feed-through source-to-substrate contact
US9064896B2 (en) 2009-11-02 2015-06-23 Vishay-Siliconix Transistor structure with feed-through source-to-substrate contact
US20160035869A1 (en) * 2012-03-15 2016-02-04 Kabushiki Kaisha Toshiba Semiconductor device
US20130240947A1 (en) * 2012-03-15 2013-09-19 Tomoko Matsudai Semiconductor device
US9059237B2 (en) 2013-02-12 2015-06-16 Sanken Electric Co., Ltd. Semiconductor device having an insulated gate bipolar transistor
US20140232451A1 (en) * 2013-02-19 2014-08-21 Qualcomm Incorporated Three terminal semiconductor device with variable capacitance
US9929698B2 (en) * 2013-03-15 2018-03-27 Qualcomm Incorporated Radio frequency integrated circuit (RFIC) charged-device model (CDM) protection
US20140268447A1 (en) * 2013-03-15 2014-09-18 Qualcomm Incorporated Radio frequency integrated circuit (rfic) charged-device model (cdm) protection
US10269577B2 (en) 2014-04-14 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US9496149B2 (en) * 2014-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US10943790B2 (en) * 2014-04-14 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US20190252201A1 (en) * 2014-04-14 2019-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods for Manufacturing the Same
US20150294865A1 (en) * 2014-04-14 2015-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US9425304B2 (en) 2014-08-21 2016-08-23 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
US10181523B2 (en) * 2014-08-21 2019-01-15 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
US9716166B2 (en) 2014-08-21 2017-07-25 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
US20180212048A1 (en) * 2014-08-21 2018-07-26 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
US9553184B2 (en) * 2014-08-29 2017-01-24 Nxp Usa, Inc. Edge termination for trench gate FET
US9397213B2 (en) 2014-08-29 2016-07-19 Freescale Semiconductor, Inc. Trench gate FET with self-aligned source contact
US20160148921A1 (en) * 2014-11-25 2016-05-26 Shekar Mallikararjunaswamy Circuit configuration and manufacturing processes for vertical transient voltage suppressor (tvs) and emi filter
US9748346B2 (en) * 2014-11-25 2017-08-29 Alpha And Omega Semiconductor Incorporated Circuit configuration and manufacturing processes for vertical transient voltage suppressor (TVS) and EMI filter
US10074743B2 (en) 2015-03-27 2018-09-11 Nxp Usa, Inc. Trench MOSFET shield poly contact
US9680003B2 (en) 2015-03-27 2017-06-13 Nxp Usa, Inc. Trench MOSFET shield poly contact
TWI629786B (en) * 2015-05-27 2018-07-11 豐田自動車股份有限公司 Method of manufacturing insulated gate switching device
US10177134B2 (en) * 2015-12-16 2019-01-08 Rohm Co., Ltd. Semiconductor device
US20180190642A1 (en) * 2015-12-16 2018-07-05 Rohm Co., Ltd. Semiconductor device
US20190198660A1 (en) * 2017-12-22 2019-06-27 Renesas Electronics Corporation Semiconductor device and its manufacturing method
US10784373B1 (en) 2019-03-14 2020-09-22 Semiconductor Components Industries, Llc Insulated gated field effect transistor structure having shielded source and method
US11222976B2 (en) 2019-03-14 2022-01-11 Semiconductor Components Industries, Llc Insulated gated field effect transistor structure having shielded source and method
US11637201B2 (en) 2019-03-14 2023-04-25 Semiconductor Components Industries, Llc Insulated gated field effect transistor structure having shielded source and method
CN112701163A (en) * 2021-02-05 2021-04-23 上海华虹宏力半导体制造有限公司 Trench gate semiconductor device and method of manufacturing the same
CN114725206A (en) * 2022-03-08 2022-07-08 西南交通大学 SiCVDMOSFET device based on low dielectric constant medium

Also Published As

Publication number Publication date
WO2000065646A1 (en) 2000-11-02
CN100568470C (en) 2009-12-09
TW494529B (en) 2002-07-11
US20020195657A1 (en) 2002-12-26
CN100367478C (en) 2008-02-06
US20040185622A1 (en) 2004-09-23
US6750507B2 (en) 2004-06-15
CN1655331A (en) 2005-08-17
US7052963B2 (en) 2006-05-30
US6756274B2 (en) 2004-06-29
US6413822B2 (en) 2002-07-02
AU4800100A (en) 2000-11-10
JP4180800B2 (en) 2008-11-12
JP2002543587A (en) 2002-12-17
CN1192425C (en) 2005-03-09
EP1186023A1 (en) 2002-03-13
KR20010112439A (en) 2001-12-20
US20020168821A1 (en) 2002-11-14
US20040191994A1 (en) 2004-09-30
CN1353863A (en) 2002-06-12
US6924198B2 (en) 2005-08-02
CN101179030A (en) 2008-05-14
EP1186023A4 (en) 2007-02-21
KR100679538B1 (en) 2007-02-07

Similar Documents

Publication Publication Date Title
US7052963B2 (en) Method of forming trench transistor with chained implanted body including a plurality of implantation with different energies
US10608092B2 (en) Semiconductor power devices manufactured with self-aligned processes and more reliable electrical contacts
CN108400161B (en) Semiconductor power device prepared by self-aligned process and more reliable electrical contact
US6140678A (en) Trench-gated power MOSFET with protective diode
US7087958B2 (en) Termination structure of DMOS device
US9324858B2 (en) Trench-gated MIS devices
US7663186B2 (en) Semiconductor device
JP4671456B2 (en) High cell density vertical trench gate MOSFET
US11038037B2 (en) Sawtooh electric field drift region structure for planar and trench power semiconductor devices
US20060180856A1 (en) Semicondutor device and a method of manufacturing the same
JP4796220B2 (en) Trench gate type power MOSFET with protective diode
US6344379B1 (en) Semiconductor device with an undulating base region and method therefor
CN117673143A (en) Trench gate superjunction device and manufacturing method thereof
CN117673142A (en) Trench gate superjunction device and manufacturing method thereof
CN117673141A (en) Trench gate superjunction device and manufacturing method thereof
CN117637838A (en) Trench gate superjunction device and manufacturing method thereof
CN117637836A (en) Trench gate superjunction device and manufacturing method thereof
WO2001031709A1 (en) Semiconductor device with a single base region and method therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED ANALOGIC TECHNOLOGIES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WILLIAMS, RICHARD K.;GRABOWSKI, WAYNE;REEL/FRAME:011147/0703

Effective date: 20000922

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12