US20020022370A1 - Abrasive-free metal CMP in passivation domain - Google Patents

Abrasive-free metal CMP in passivation domain Download PDF

Info

Publication number
US20020022370A1
US20020022370A1 US09/544,281 US54428100A US2002022370A1 US 20020022370 A1 US20020022370 A1 US 20020022370A1 US 54428100 A US54428100 A US 54428100A US 2002022370 A1 US2002022370 A1 US 2002022370A1
Authority
US
United States
Prior art keywords
cmp
composition
abrasive
substrate
interlayer dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/544,281
Other versions
US6451697B1 (en
Inventor
Lizhong Sun
Shijian Li
Fritz Redeker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/544,281 priority Critical patent/US6451697B1/en
Assigned to APPLIED MATERIALS, INC reassignment APPLIED MATERIALS, INC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LI, SHIJIAS, REDEKER, FRED C., SUN, LIZHONG
Priority to PCT/US2001/011374 priority patent/WO2001078128A2/en
Priority to TW090108354A priority patent/TW529978B/en
Priority to US10/077,421 priority patent/US20020092827A1/en
Publication of US20020022370A1 publication Critical patent/US20020022370A1/en
Application granted granted Critical
Publication of US6451697B1 publication Critical patent/US6451697B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions

Definitions

  • the present invention relates generally to metal polishing and, particularly, to planarizing copper (Cu) and/or Cu alloy metallization in manufacturing semiconductor devices with reduced dishing and overpolish insensitivity.
  • the present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with improved reliability.
  • Conventional semiconductor devices comprise a semiconductor substrate, typically doped monocrystalline silicon, and a plurality of sequentially formed interlayer dielectrics and conductive patterns.
  • An integrated circuit is formed containing a plurality of conductive patterns comprising conductive lines separated by interwiring spacings, and a plurality of interconnect lines, such as bus lines, bit lines, word lines and logic interconnect lines.
  • the conductive patterns on different layers i.e., upper and lower layers, are electrically connected by a conductive plug filling a via hole, while a conductive plug filling a contact hole establishes electrical contact with an action region on a semiconductor substrate, such as a source/drain region.
  • Conductive lines are formed in trenches which typically extend substantially horizontal with respect to the semiconductor substrate.
  • Semiconductor “chips” comprising five or more levels of metallization are becoming more prevalent as device geometries shrink to submicron levels.
  • a conductive plug filling a via hole is typically formed by depositing an interlayer dielectric on a conductive layer comprising at least one conductive pattern, forming an opening through the interlayer dielectric by conventional photolithographic and etching techniques, and filling the opening with a conductive material, such as tungsten (W). Excess conductive material on the surface of the dielectric interlayer is typically removed by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • damascene basically involves forming an opening in the dielectric interlayer and filling the opening with a metal. Dual damascene techniques involve forming an opening comprising a lower contact or via hole section in communication with an upper trench section. The entire opening is filled with a conductive material, typically a metal, to simultaneously form a conductive plug in electrical contact with a conductive line.
  • Cu and Cu alloys have received considerable attention as a candidate for replacing Al in interconnect metallizations.
  • Cu is relatively inexpensive, easy to process, and has a lower resistivity than Al.
  • Cu has improved electrical properties vis-à-vis W, making Cu a desirable metal for use as a conductive plug as well as conductive wiring.
  • An approach to forming Cu plugs and wiring comprises the use of damascene structures employing CMP.
  • Cu interconnect structures due to Cu diffusion through interdielectric layer materials, such as silicon dioxide, Cu interconnect structures must be encapsulated by a diffusion barrier layer.
  • Typical diffusion barrier metals include tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titanium-tungsten (TiW), tungsten (W), tungsten nitride (WN), titanium-titanium nitride (Ti—TiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), tantalum silicon nitride (TaSiN) and silicon nitride for encapsulating Cu.
  • the use of such barrier metals to encapsulate Cu is not limited to the interface between Cu and the dielectric interlayer, but includes interfaces with other metals as well.
  • a wafer carrier assembly is in contact with a polishing pad in a CMP apparatus.
  • the wafers are typically mounted on a carrier or polishing head which provides a controllable pressure urging the wafers against the polishing pad.
  • the pad has a relative movement with respect to the wafer driven by an external driving force.
  • the CMP apparatus effects polishing or rubbing movement between the surface of each thin semiconductor wafer and the polishing pad while dispersing a polishing slurry containing abrasive particles in a reactive solution to effect both chemical activity and mechanical activity while applying a force between the wafer and a polishing pad.
  • a different type of abrasive article from the above-mentioned abrasive slurry-type polishing pad is fixed abrasive article, e.g., fixed abrasive polishing pad.
  • a fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto.
  • a dense array of Cu features is typically formed in an interlayer dielectric, such as a silicon oxide layer, by a damascene technique wherein trenches are initially formed.
  • a barrier layer such as a Ta-containing layer e.g., Ta, TaN, is then deposited lining the trenches and on the upper surface of the silicon oxide interlayer dielectric.
  • Cu or a Cu alloy is then deposited, as by electroplating, electroless plating, physical vapor deposition (PVD) at a temperature of about 50° C. to about 150° C.
  • CMP chemical vapor deposition
  • Buffing is then conducted to remove the barrier layer, employing a mixture of a chemical agent and abrasive particles, leaving a Cu or the Cu alloy filling the damascene opening with an exposed surface.
  • Overpolishing as at about 10% to about 25%, is typically conducted beyond the time required to reach the interlayer dielectric, as determined by conventional end point detection techniques, e.g., to completely remove the barrier layer.
  • Dishing occurs wherein a portion of the surface of the inlaid metal of the interconnection formed in the groove in the interlayer dielectric is excessively polished resulting in one or more concavities or depressions.
  • conductive lines 11 and 12 are formed by depositing a metal, such as Cu or a Cu alloy, in a damascene opening formed in interlayer dielectric 10 , e.g., silicon dioxide.
  • a portion of the inlaid metal 12 is depressed by an amount D referred to as the amount of dishing.
  • dishing occurring in metal lines, such as Cu or Cu alloy metal lines having a width of about 50 microns generally exceeds 1,000 ⁇ with as little overpolish as about 5% to about 10%.
  • erosion Another phenomenon resulting from conventional planarization techniques is known as erosion which is characterized by excessive polishing of the layer not targeted for removal.
  • metal line 21 and dense array of metal lines 22 are inlaid in interlayer dielectric 20 .
  • excessive polishing of the interlayer material results in erosion E.
  • Dishing disadvantageously results in a non-planar via that impairs the ability to print high resolution lines during subsequent photolithographic steps. Dishing can also cause the formation of shorts or open circuits in the metal interconnection formed thereover. Moreover, dishing increases with longer overpolishing than when overpolishing is conducted to ensure complete removal of the metal layer and/or barrier layer across the wafer surface.
  • abrasives such as an abrasive-containing slurry for use on a conventional polishing pad.
  • the use of abrasives disadvantageously results in a high degree of dishing and erosion, because of pressure transmitted through the abrasive particle to the metal.
  • the use of abrasives significantly increases the cost of consumables, as abrasives and suspension agents, as well as post CMP effluent treatment, are expensive.
  • An aspect of the present invention is an efficient method of planarizing inlaid metals, such as Cu and Cu alloys, with significantly reduced dishing and significantly reduced sensitivity to overpolishing.
  • the foregoing and other aspects are achieved in part by a method of removing at least part of a material, e.g., metal, from a substrate surface, the method comprising CMP the substrate surface using a composition having a pH and oxidation-reduction potential in the domain of passivation of the material.
  • a material e.g., metal
  • Another aspect of the present invention is a method of manufacturing a semiconductor device, the method comprising planarizing a deposited Cu or Cu alloy layer on a dielectric layer by CMP employing a composition comprising: one or more chelating agents; one or more oxidizers, one or more corrosion inhibitors; one or more pH adjusting agents; and deionized water.
  • Embodiments of the present invention comprise CMP inlaid Cu metallization employing an abrasive-free polishing composition having a pH and oxidation-reduction potential in the domain of passivation of Cu and having a low static etching rate with respect to Cu.
  • Embodiments of the present invention include polishing compositions comprising one or more chelating agents, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide, one or more oxidizers, such as hydrogen peroxide, ferric nitrate or an iodate, one or more corrosion inhibitors, such as benzotriazole, mercaptobenzotriazole or 5-methyl-1-benzotriazole, one or more acids or bases sufficient to achieve a pH of about 3 to about 10, such as a pH of about 5 to about 8, e.g., an inorganic and/or organic acid, the remainder deionized water.
  • one or more chelating agents such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide
  • one or more oxidizers such as hydrogen peroxide, ferric nitrate or an iodate
  • corrosion inhibitors such as benzotriazole, mercaptobenzotriazole or 5-methyl-1-benzo
  • FIG. 1 schematically illustrates the phenomenon of dishing.
  • FIG. 2 schematically illustrates the phenomenon of erosion.
  • FIG. 3 is a pH-oxidation/reduction potential diagram for copper.
  • FIGS. 4 - 6 schematically illustrate sequential phases of a method in accordance with an embodiment of the present invention.
  • the present invention enables effective and efficient planarization of inlaid metal, e.g., Cu metallization, with significantly reduced dishing, erosion and overpolish sensitivity, thereby avoiding the disadvantages attendant upon forming a non-planar surface, such as a non-planar via.
  • Such disadvantages include the impairment of the ability to print high resolution lines during photolithographic processing, and the formation of voids or open circuits in the interconnection formed thereover.
  • Additional disadvantages attendant upon conventional CMP stem from the use of abrasives, either abrasive-containing slurries or fixed abrasive articles, which significantly increase dishing and erosion, and significantly increase the cost of CMP methodology.
  • the symbol Cu is intended to encompass high purity elemental copper as well copper-based alloys, e.g., copper-based alloys containing at least about 80 wt. % copper.
  • aspects of the present invention are implemented by providing CMP methodology employing a polishing composition formulated to passivate metal films.
  • Such polishing compositions exhibit a greatly reduced static etching rate, i.e., etching rate in the absence of mechanical abrasion, even at elevated temperatures, thereby reducing dishing and erosion.
  • CMP methodology employs polishing compositions require strong mechanic abrasive action which leads to excessive dishing and erosion.
  • the present invention overcomes problems attendant upon high dishing, erosion and overpolishing sensitivity by providing CMP methodology employing polishing compositions which form a passivation film over the metal surface, e.g., Cu, thereby reducing the static etching rate.
  • CMP methodology employing abrasive slurries exhibit a Cu static etching rate greater than 300 ⁇ per minute at 52° C. and greater than 730 ⁇ per minute at 52° C. for fixed abrasive copper CMP. Dishing in 50 micron conductive lines exceeds 1,000 ⁇ with very little overpolish, e.g., about 5% to about 10%, due to abrasive particles.
  • CMP is conducted without an abrasive, as by employing an abrasive-free polishing composition with a conventional polishing pad, thereby significantly reducing dishing and erosion, and significantly reducing the cost of conducting CMP.
  • Embodiments of the present invention also include CMP using the polishing compositions containing abrasive particles or with fixed abrasive articles.
  • Polishing compositions suitable for use in practicing embodiments of the present invention include those disclosed in copending application Ser. No. ______ filed on ______ (Our Docket No. 49959-101).
  • Such polishing compositions comprise one or more chelating agents, such as a chelating agent containing one or more amine or amide groups, e.g., ethylenediaminetetraacetic, ethylenediamine or methylformamide.
  • the chelating agent can be present in a suitable amount, such as about 0.2 wt. % to about 3.0 wt. %.
  • the compositions in accordance with the present invention further comprise one or more oxidizers, one or more corrosion inhibitors, one or more pH adjusting agents and deionized water.
  • the oxidizer or oxidizers can be any of various conventional oxidizers employed in CMP, such as hydrogen peroxide, ferric nitride or an iodate, and can be present in a suitable amount, such as about 0.5 wt. % to about 8.0 wt. %.
  • the corrosion inhibitor or inhibitors can comprise any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-1-benzotriazole, and can be present in a suitable amount, such as about 0.02 wt. % to about 1.0 wt. %.
  • the pH adjusting agent or agents can be present in an amount for adjusting the pH of the composition to a range of about 3 to about 10 and can comprise any of various bases or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid.
  • Conventional abrasive particles can be incorporated in the polishing composition, e.g., in an amount up to about 10% wt. %, such as up to about 1.0 wt. %, e.g., up to about 0.1 wt. %.
  • Some embodiments of the present invention comprise CMP of Cu, with or without polishing a barrier layer.
  • CMP of Cu it was found suitable to formulate the polishing composition such that it has a pH and oxidation-reduction potential in the domain of passivation of Cu.
  • the substrate can be doped monocrystalline silicon or galliumarsenide.
  • the interlayer dielectric can comprise any of various dielectric materials conventionally employed in the manufacture of semiconductor devices.
  • dielectric materials such as silicon dioxide, phosphorous-doped silicon-glass (PSG), boron-phosphorous-doped silicon glass (BPSG) and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD) can be employed.
  • Interlayer dielectrics in accordance with the present invention can also comprise low dielectric constant materials, including polymers, such as polyamides, and carbon-containing silicon dioxide, e.g., Black Diamond dielectric available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques.
  • abrasive-free CMP methodology in accordance with an embodiment of the present invention can be employed with various commercial polishing pads. Optimum process parameters for CMP can be easily determined in a particular situation. For example, methodology in accordance with the abrasive-free CMP embodiment employs a polishing pressure of about 1 to about 8 psi, and a platen speed of about 20 to 120 rpm for a polishing duration of about 30 seconds to 2,000 seconds.
  • the abrasive-free CMP embodiment can be employed to planarize any of various films such as metal films, e.g., tungsten, aluminum, titanium, titanium nitride and nickel.
  • interlayer dielectric 40 e.g., silicon oxide
  • a plurality of openings 41 are formed in a designated area A in which a dense array of conductive lines are to be formed bordering an open field B.
  • a barrier layer 42 e.g., TaN, is deposited lining the openings 41 and on the upper surface of silicon oxide interlayer dielectric 40 .
  • the openings 41 are spaced apart by a distance C which is less than about 1 micron, e.g., about 0.2 micron.
  • Cu layer 43 is then deposited at a thickness D of about 8,000 ⁇ to about 18,000 ⁇ .
  • CMP is conducted employing an abrasive-free, noble polishing composition to remove the Cu overburden stopping on TaN barrier layer 42 , employing a conventional end point detection technique, with significantly reduced dishing.
  • buffing is conducted and overpolishing, with reduced dishing.
  • CMP can be conducted in one stage to remove the Cu overburden with overpolishing, using the abrasive-free noble polishing composition, with reduced dishing and reduced sensitivity to overpolishing.
  • the resulting Cu interconnection structure comprises a dense array A of Cu lines 43 bordered by open field B. However, the upper surface 60 of the Cu metallization exhibits significantly reduced dishing.
  • the present invention is applicable to planarizing a wafer surface during various stages of semiconductor manufacturing by any of various CMP techniques using any of various CMP systems and polishing articles, e.g., fixed abrasive- or abrasive slurry-type pads or sheets.
  • the present invention enjoys particular applicability in the manufacture of high density semiconductor devices with metal features in the deep submicron range.

Abstract

Metal CMP with reduced dishing and overpolish insensitivity is achieved with an abrasive-free polishing composition having a pH and oxidation-reduction potential in the domain of passivation of the metal and, therefore, a low static etching rate at high temperatures, e.g., higher than 50° C. Embodiments of the present invention comprise CMP of Cu film without any abrasive using a composition comprising one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, one or more agents to achieve a pH of about 3 to about 10 and deionized water.

Description

    TECHNICAL FIELD
  • The present invention relates generally to metal polishing and, particularly, to planarizing copper (Cu) and/or Cu alloy metallization in manufacturing semiconductor devices with reduced dishing and overpolish insensitivity. The present invention is applicable to manufacturing high speed integrated circuits having submicron design features and high conductivity interconnect structures with improved reliability. [0001]
  • BACKGROUND ART
  • The escalating requirements for high density and performance associated with ultra large scale integration semiconductor wiring require responsive changes in interconnection technology. Such escalating requirements have been found difficult to satisfy in terms of providing a low RC (resistance and capacitance) interconnect pattern, particularly wherein submicron vias, contacts and conductive lines have high aspect rations imposed by miniaturization. [0002]
  • Conventional semiconductor devices comprise a semiconductor substrate, typically doped monocrystalline silicon, and a plurality of sequentially formed interlayer dielectrics and conductive patterns. An integrated circuit is formed containing a plurality of conductive patterns comprising conductive lines separated by interwiring spacings, and a plurality of interconnect lines, such as bus lines, bit lines, word lines and logic interconnect lines. Typically, the conductive patterns on different layers, i.e., upper and lower layers, are electrically connected by a conductive plug filling a via hole, while a conductive plug filling a contact hole establishes electrical contact with an action region on a semiconductor substrate, such as a source/drain region. Conductive lines are formed in trenches which typically extend substantially horizontal with respect to the semiconductor substrate. Semiconductor “chips” comprising five or more levels of metallization are becoming more prevalent as device geometries shrink to submicron levels. [0003]
  • A conductive plug filling a via hole is typically formed by depositing an interlayer dielectric on a conductive layer comprising at least one conductive pattern, forming an opening through the interlayer dielectric by conventional photolithographic and etching techniques, and filling the opening with a conductive material, such as tungsten (W). Excess conductive material on the surface of the dielectric interlayer is typically removed by chemical mechanical polishing (CMP). One such method is known as damascene and basically involves forming an opening in the dielectric interlayer and filling the opening with a metal. Dual damascene techniques involve forming an opening comprising a lower contact or via hole section in communication with an upper trench section. The entire opening is filled with a conductive material, typically a metal, to simultaneously form a conductive plug in electrical contact with a conductive line. [0004]
  • Cu and Cu alloys have received considerable attention as a candidate for replacing Al in interconnect metallizations. Cu is relatively inexpensive, easy to process, and has a lower resistivity than Al. In addition, Cu has improved electrical properties vis-à-vis W, making Cu a desirable metal for use as a conductive plug as well as conductive wiring. [0005]
  • An approach to forming Cu plugs and wiring comprises the use of damascene structures employing CMP. However, due to Cu diffusion through interdielectric layer materials, such as silicon dioxide, Cu interconnect structures must be encapsulated by a diffusion barrier layer. Typical diffusion barrier metals include tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titanium-tungsten (TiW), tungsten (W), tungsten nitride (WN), titanium-titanium nitride (Ti—TiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), tantalum silicon nitride (TaSiN) and silicon nitride for encapsulating Cu. The use of such barrier metals to encapsulate Cu is not limited to the interface between Cu and the dielectric interlayer, but includes interfaces with other metals as well. [0006]
  • In conventional CMP techniques, a wafer carrier assembly is in contact with a polishing pad in a CMP apparatus. The wafers are typically mounted on a carrier or polishing head which provides a controllable pressure urging the wafers against the polishing pad. The pad has a relative movement with respect to the wafer driven by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of each thin semiconductor wafer and the polishing pad while dispersing a polishing slurry containing abrasive particles in a reactive solution to effect both chemical activity and mechanical activity while applying a force between the wafer and a polishing pad. A different type of abrasive article from the above-mentioned abrasive slurry-type polishing pad is fixed abrasive article, e.g., fixed abrasive polishing pad. Such a fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto. [0007]
  • It is extremely difficult to planarize a metal surface, particularly a Cu surface, as by CMP of a damascene inlay, with a high degree of surface planarity. A dense array of Cu features is typically formed in an interlayer dielectric, such as a silicon oxide layer, by a damascene technique wherein trenches are initially formed. A barrier layer, such as a Ta-containing layer e.g., Ta, TaN, is then deposited lining the trenches and on the upper surface of the silicon oxide interlayer dielectric. Cu or a Cu alloy is then deposited, as by electroplating, electroless plating, physical vapor deposition (PVD) at a temperature of about 50° C. to about 150° C. or chemical vapor deposition (CVD) at a temperature under about 200° C., typically at a thickness of about 8,000 Å to about 18,000 Å. CMP is then conducted to remove the Cu or Cu alloy overburden stopping on the barrier layer. Buffing is then conducted to remove the barrier layer, employing a mixture of a chemical agent and abrasive particles, leaving a Cu or the Cu alloy filling the damascene opening with an exposed surface. Overpolishing, as at about 10% to about 25%, is typically conducted beyond the time required to reach the interlayer dielectric, as determined by conventional end point detection techniques, e.g., to completely remove the barrier layer. For example, if 300 seconds of polishing are required to reach the targeted surface, 20% overpolishing would involve a total polishing time of 360 seconds. Conventional CMP techniques employing polishing pads utilizing slurries containing abrasive particles as well as CMP techniques employing fixed abrasive articles are characterized by excessive dishing sensitivity to overpolishing. [0008]
  • Dishing occurs wherein a portion of the surface of the inlaid metal of the interconnection formed in the groove in the interlayer dielectric is excessively polished resulting in one or more concavities or depressions. For example, adverting to FIG. 1, [0009] conductive lines 11 and 12 are formed by depositing a metal, such as Cu or a Cu alloy, in a damascene opening formed in interlayer dielectric 10, e.g., silicon dioxide. Subsequent to planarization, a portion of the inlaid metal 12 is depressed by an amount D referred to as the amount of dishing. For example, dishing occurring in metal lines, such as Cu or Cu alloy metal lines having a width of about 50 microns, generally exceeds 1,000 Å with as little overpolish as about 5% to about 10%.
  • Another phenomenon resulting from conventional planarization techniques is known as erosion which is characterized by excessive polishing of the layer not targeted for removal. For example, adverting to FIG. 2, [0010] metal line 21 and dense array of metal lines 22 are inlaid in interlayer dielectric 20. Subsequent to planarization, excessive polishing of the interlayer material results in erosion E.
  • Dishing disadvantageously results in a non-planar via that impairs the ability to print high resolution lines during subsequent photolithographic steps. Dishing can also cause the formation of shorts or open circuits in the metal interconnection formed thereover. Moreover, dishing increases with longer overpolishing than when overpolishing is conducted to ensure complete removal of the metal layer and/or barrier layer across the wafer surface. [0011]
  • Conventional CMP techniques employ abrasives, such as an abrasive-containing slurry for use on a conventional polishing pad. The use of abrasives disadvantageously results in a high degree of dishing and erosion, because of pressure transmitted through the abrasive particle to the metal. In addition, the use of abrasives significantly increases the cost of consumables, as abrasives and suspension agents, as well as post CMP effluent treatment, are expensive. [0012]
  • There exists a need for CMP methodology enabling the planarization of inlaid metal, particularly inlaid Cu metallization, with reduced dishing and insensitivity to overpolishing. [0013]
  • DISCLOSURE OF THE INVENTION
  • An aspect of the present invention is an efficient method of planarizing inlaid metals, such as Cu and Cu alloys, with significantly reduced dishing and significantly reduced sensitivity to overpolishing. [0014]
  • According to the present invention, the foregoing and other aspects are achieved in part by a method of removing at least part of a material, e.g., metal, from a substrate surface, the method comprising CMP the substrate surface using a composition having a pH and oxidation-reduction potential in the domain of passivation of the material. [0015]
  • Another aspect of the present invention is a method of manufacturing a semiconductor device, the method comprising planarizing a deposited Cu or Cu alloy layer on a dielectric layer by CMP employing a composition comprising: one or more chelating agents; one or more oxidizers, one or more corrosion inhibitors; one or more pH adjusting agents; and deionized water. [0016]
  • Embodiments of the present invention comprise CMP inlaid Cu metallization employing an abrasive-free polishing composition having a pH and oxidation-reduction potential in the domain of passivation of Cu and having a low static etching rate with respect to Cu. Embodiments of the present invention include polishing compositions comprising one or more chelating agents, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide, one or more oxidizers, such as hydrogen peroxide, ferric nitrate or an iodate, one or more corrosion inhibitors, such as benzotriazole, mercaptobenzotriazole or 5-methyl-1-benzotriazole, one or more acids or bases sufficient to achieve a pH of about 3 to about 10, such as a pH of about 5 to about 8, e.g., an inorganic and/or organic acid, the remainder deionized water. [0017]
  • Additional aspects of the present invention will become readily apparent to those skilled in this art from the following detailed description, wherein embodiments of the present invention are described, simply by way of illustration of the best mode contemplated for carrying out the present invention. As will be realized, the present invention is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present invention. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically illustrates the phenomenon of dishing. [0019]
  • FIG. 2 schematically illustrates the phenomenon of erosion. [0020]
  • FIG. 3 is a pH-oxidation/reduction potential diagram for copper. [0021]
  • FIGS. [0022] 4-6 schematically illustrate sequential phases of a method in accordance with an embodiment of the present invention.
  • DESCRIPTION OF THE INVENTION
  • The present invention enables effective and efficient planarization of inlaid metal, e.g., Cu metallization, with significantly reduced dishing, erosion and overpolish sensitivity, thereby avoiding the disadvantages attendant upon forming a non-planar surface, such as a non-planar via. Such disadvantages include the impairment of the ability to print high resolution lines during photolithographic processing, and the formation of voids or open circuits in the interconnection formed thereover. Additional disadvantages attendant upon conventional CMP stem from the use of abrasives, either abrasive-containing slurries or fixed abrasive articles, which significantly increase dishing and erosion, and significantly increase the cost of CMP methodology. As used throughout this disclosure, the symbol Cu is intended to encompass high purity elemental copper as well copper-based alloys, e.g., copper-based alloys containing at least about 80 wt. % copper. [0023]
  • Aspects of the present invention are implemented by providing CMP methodology employing a polishing composition formulated to passivate metal films. Such polishing compositions exhibit a greatly reduced static etching rate, i.e., etching rate in the absence of mechanical abrasion, even at elevated temperatures, thereby reducing dishing and erosion. [0024]
  • Conventional CMP methodology employs polishing compositions require strong mechanic abrasive action which leads to excessive dishing and erosion. The present invention overcomes problems attendant upon high dishing, erosion and overpolishing sensitivity by providing CMP methodology employing polishing compositions which form a passivation film over the metal surface, e.g., Cu, thereby reducing the static etching rate. For example, conventional CMP methodology employing abrasive slurries exhibit a Cu static etching rate greater than 300 Å per minute at 52° C. and greater than 730 Å per minute at 52° C. for fixed abrasive copper CMP. Dishing in 50 micron conductive lines exceeds 1,000 Å with very little overpolish, e.g., about 5% to about 10%, due to abrasive particles. [0025]
  • In an embodiment of the present invention, CMP is conducted without an abrasive, as by employing an abrasive-free polishing composition with a conventional polishing pad, thereby significantly reducing dishing and erosion, and significantly reducing the cost of conducting CMP. Embodiments of the present invention also include CMP using the polishing compositions containing abrasive particles or with fixed abrasive articles. Polishing compositions suitable for use in practicing embodiments of the present invention include those disclosed in copending application Ser. No. ______ filed on ______ (Our Docket No. 49959-101). Such polishing compositions comprise one or more chelating agents, such as a chelating agent containing one or more amine or amide groups, e.g., ethylenediaminetetraacetic, ethylenediamine or methylformamide. The chelating agent can be present in a suitable amount, such as about 0.2 wt. % to about 3.0 wt. %. The compositions in accordance with the present invention further comprise one or more oxidizers, one or more corrosion inhibitors, one or more pH adjusting agents and deionized water. The oxidizer or oxidizers can be any of various conventional oxidizers employed in CMP, such as hydrogen peroxide, ferric nitride or an iodate, and can be present in a suitable amount, such as about 0.5 wt. % to about 8.0 wt. %. The corrosion inhibitor or inhibitors can comprise any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-1-benzotriazole, and can be present in a suitable amount, such as about 0.02 wt. % to about 1.0 wt. %. The pH adjusting agent or agents can be present in an amount for adjusting the pH of the composition to a range of about 3 to about 10 and can comprise any of various bases or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid. Conventional abrasive particles can be incorporated in the polishing composition, e.g., in an amount up to about 10% wt. %, such as up to about 1.0 wt. %, e.g., up to about 0.1 wt. %. [0026]
  • Some embodiments of the present invention comprise CMP of Cu, with or without polishing a barrier layer. In CMP of Cu, it was found suitable to formulate the polishing composition such that it has a pH and oxidation-reduction potential in the domain of passivation of Cu. [0027]
  • Conventional substrates and interlayer dielectrics are encompassed by the present invention. For example, the substrate can be doped monocrystalline silicon or galliumarsenide. The interlayer dielectric can comprise any of various dielectric materials conventionally employed in the manufacture of semiconductor devices. For example, dielectric materials, such as silicon dioxide, phosphorous-doped silicon-glass (PSG), boron-phosphorous-doped silicon glass (BPSG) and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD) can be employed. Interlayer dielectrics in accordance with the present invention can also comprise low dielectric constant materials, including polymers, such as polyamides, and carbon-containing silicon dioxide, e.g., Black Diamond dielectric available from Applied Materials, Inc., located in Santa Clara, Calif. The openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques. [0028]
  • Advantageously, abrasive-free CMP methodology in accordance with an embodiment of the present invention can be employed with various commercial polishing pads. Optimum process parameters for CMP can be easily determined in a particular situation. For example, methodology in accordance with the abrasive-free CMP embodiment employs a polishing pressure of about 1 to about 8 psi, and a platen speed of about 20 to 120 rpm for a polishing duration of about 30 seconds to 2,000 seconds. Advantageously, the abrasive-free CMP embodiment can be employed to planarize any of various films such as metal films, e.g., tungsten, aluminum, titanium, titanium nitride and nickel. [0029]
  • An embodiment of the present invention is schematically illustrated in FIGS. [0030] 4-6, wherein similar features bear similar reference numerals. Adverting to FIG. 4, interlayer dielectric 40, e.g., silicon oxide, is formed overlying a substrate (not shown). A plurality of openings 41 are formed in a designated area A in which a dense array of conductive lines are to be formed bordering an open field B. A barrier layer 42, e.g., TaN, is deposited lining the openings 41 and on the upper surface of silicon oxide interlayer dielectric 40. Typically, the openings 41 are spaced apart by a distance C which is less than about 1 micron, e.g., about 0.2 micron. Cu layer 43 is then deposited at a thickness D of about 8,000 Å to about 18,000 Å.
  • Adverting to FIG. 5, CMP is conducted employing an abrasive-free, noble polishing composition to remove the Cu overburden stopping on TaN barrier layer [0031] 42, employing a conventional end point detection technique, with significantly reduced dishing. As shown in FIG. 6, buffing is conducted and overpolishing, with reduced dishing. Alternatively CMP can be conducted in one stage to remove the Cu overburden with overpolishing, using the abrasive-free noble polishing composition, with reduced dishing and reduced sensitivity to overpolishing. The resulting Cu interconnection structure comprises a dense array A of Cu lines 43 bordered by open field B. However, the upper surface 60 of the Cu metallization exhibits significantly reduced dishing.
  • The present invention is applicable to planarizing a wafer surface during various stages of semiconductor manufacturing by any of various CMP techniques using any of various CMP systems and polishing articles, e.g., fixed abrasive- or abrasive slurry-type pads or sheets. The present invention enjoys particular applicability in the manufacture of high density semiconductor devices with metal features in the deep submicron range. [0032]
  • Only the preferred embodiment of the present invention and but a few examples of its versatility are shown and described in the present disclosure. It is to be understood that the present invention is capable of use in various other combinations and environments and is capable of changes and modifications within the scope of the inventive concept as expressed herein. [0033]

Claims (21)

What is claimed is:
1. A method of removing at least a part of a material from a substrate surface, the method comprising chemical-mechanical polishing (CMP) the substrate using a composition having a pH and oxidation-reduction potential in the domain of passivation of the material.
2. The method according to claim 1, wherein the material is a metal.
3. The method according to claim 2, wherein the metal comprises copper (Cu) or a Cu alloy.
4. The method according to claim 3, wherein the composition comprises:
one or more chelating agents;
one or more oxidizers;
one or more corrosion inhibitors;
optionally one or more agents to adjust the pH; and
deionized water.
5. The method according to claim 4, wherein the composition has a static etching rate of no greater than about 200 Å per minute at about 52° C.
6. The method according to claim 5, wherein the composition has a pH of about 3.0 to about 10.0.
7. The method according to claim 4, comprising CMP the substrate with an abrasive-free slurry without employing any abrasive.
8. The method according to claim 4, wherein the composition contains up to 10 wt. % of abrasive particles.
9. The method according to claim 8, wherein the composition contains up to 1 wt. % of abrasive particles.
10. The method according to claim 9, wherein the composition contains up to 0.1 wt. % abrasive particles.
11. A method of manufacturing a semiconductor device, the method comprising planarizing a deposited Cu or Cu alloy layer on a dielectric layer by the CMP method according to claim 6.
12. The method according to claim 11, comprising:
forming an interlayer dielectric having at least one opening therein over the substrate;
depositing a barrier layer and Cu or a Cu alloy to fill the opening and form a layer on the interlayer dielectric; and
CMP to remove the Cu or Cu alloy layer from the interlayer dielectric to form a planarized surface.
13. The method according to claim 12, wherein the amount of dishing is less than about 600 Å and the erosion is less than about 50 Å at about 58% overpolishing.
14. The method according to claim 2, wherein the metal comprises aluminum, tungsten, titanium, titanium nitride or nickel.
15. The method according to claim 3, comprising conducting CMP at a pressure of about 1 to about 8 psi and a platen speed of about 20 to about 120 rpm for about 30 seconds to about 2,000 seconds.
16. A method of removing at least a part of a material from a substrate surface, the method comprising chemical-mechanical polishing (CMP) the substrate using a composition having a pH and oxidation-reduction potential in the domain of passivation of the material, wherein the composition comprises:
one or more chelating agents;
one or more oxidizers;
one or more corrosion inhibitors;
optionally one or more agents to adjust the pH; and
deionized water.
17. The method according to claim 16, wherein the composition has a static etching rate of no greater than about 200 Å per minute at about 52° C.
18. The method according to claim 16, wherein the composition has a pH of about 3.0 to about 10.0.
19. The method according to claim 16, wherein the composition contains up to 10 wt. % of abrasive particles.
20. A method of removing at least a part of a material from a substrate surface, the method comprising chemical-mechanical polishing (CMP) the substrate using a composition having a pH and oxidation-reduction potential in the domain of passivation of the material, comprising:
forming an interlayer dielectric having at least one opening therein over the substrate;
depositing a barrier layer and Cu or a Cu alloy to fill the opening and form a layer on the interlayer dielectric; and
CMP to remove the Cu or Cu alloy layer from the interlayer dielectric to form a planarized surface.
21. The method of claim 20, wherein the composition contains up to 0.1 wt. % abrasive particles.
US09/544,281 2000-04-06 2000-04-06 Method for abrasive-free metal CMP in passivation domain Expired - Fee Related US6451697B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US09/544,281 US6451697B1 (en) 2000-04-06 2000-04-06 Method for abrasive-free metal CMP in passivation domain
PCT/US2001/011374 WO2001078128A2 (en) 2000-04-06 2001-04-06 Abrasive-free metal cmp in passivation domain
TW090108354A TW529978B (en) 2000-04-06 2001-04-20 Abrasive-free metal CMP in passivation domain
US10/077,421 US20020092827A1 (en) 2000-04-06 2002-02-15 Abrasive-free metal CMP in passivation domain

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/544,281 US6451697B1 (en) 2000-04-06 2000-04-06 Method for abrasive-free metal CMP in passivation domain

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/077,421 Continuation US20020092827A1 (en) 2000-04-06 2002-02-15 Abrasive-free metal CMP in passivation domain

Publications (2)

Publication Number Publication Date
US20020022370A1 true US20020022370A1 (en) 2002-02-21
US6451697B1 US6451697B1 (en) 2002-09-17

Family

ID=24171533

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/544,281 Expired - Fee Related US6451697B1 (en) 2000-04-06 2000-04-06 Method for abrasive-free metal CMP in passivation domain
US10/077,421 Abandoned US20020092827A1 (en) 2000-04-06 2002-02-15 Abrasive-free metal CMP in passivation domain

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/077,421 Abandoned US20020092827A1 (en) 2000-04-06 2002-02-15 Abrasive-free metal CMP in passivation domain

Country Status (3)

Country Link
US (2) US6451697B1 (en)
TW (1) TW529978B (en)
WO (1) WO2001078128A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503828B1 (en) * 2001-06-14 2003-01-07 Lsi Logic Corporation Process for selective polishing of metal-filled trenches of integrated circuit structures
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6602117B1 (en) * 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US20030209779A1 (en) * 2002-05-09 2003-11-13 Yu Kathleen C. Multiple thickness semiconductor interconnect and method therefor
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US20050070211A1 (en) * 2003-09-25 2005-03-31 Jinru Bian Barrier polishing fluid
US20060189141A1 (en) * 2003-03-25 2006-08-24 Hartmut Mahlkow Solution for etching copper surfaces and method of depositing metal on copper surfaces
US7300480B2 (en) 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US20070295934A1 (en) * 2002-04-30 2007-12-27 Hitachi Chemical Co., Ltd. Polishing slurry and polishing method
US20090215266A1 (en) * 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers
US20100051066A1 (en) * 2005-12-20 2010-03-04 Eiko Kuwabara Composition for removing residue from wiring board and cleaning method

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
JP3805588B2 (en) * 1999-12-27 2006-08-02 株式会社日立製作所 Manufacturing method of semiconductor device
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20020098784A1 (en) * 2001-01-19 2002-07-25 Saket Chadda Abrasive free polishing in copper damascene applications
JP3639223B2 (en) * 2001-05-14 2005-04-20 松下電器産業株式会社 Method for forming buried wiring
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
JP2003277734A (en) * 2001-12-31 2003-10-02 Hynix Semiconductor Inc Cmp (chemical mechanical polishing) slurry for metal and method for forming metal wiring contact plug of semiconductor element using the same
US7132058B2 (en) * 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US6555477B1 (en) * 2002-05-22 2003-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing Cu CMP corrosion
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7160178B2 (en) * 2003-08-07 2007-01-09 3M Innovative Properties Company In situ activation of a three-dimensional fixed abrasive article
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
KR20120080595A (en) 2009-09-02 2012-07-17 노벨러스 시스템즈, 인코포레이티드 Reduced isotropic etchant material consumption and waste generation
CN102893376A (en) 2010-06-01 2013-01-23 应用材料公司 Chemical planarization of copper wafer polishing
US20140308814A1 (en) * 2013-04-15 2014-10-16 Applied Materials, Inc Chemical mechanical polishing methods and systems including pre-treatment phase and pre-treatment compositions
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4169337A (en) 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
US4588421A (en) 1984-10-15 1986-05-13 Nalco Chemical Company Aqueous silica compositions for polishing silicon wafers
US4752628A (en) 1987-05-15 1988-06-21 Nalco Chemical Company Concentrated lapping slurries
US4867757A (en) 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5264010A (en) 1992-04-27 1993-11-23 Rodel, Inc. Compositions and methods for polishing and planarizing surfaces
US5575885A (en) 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3397501B2 (en) 1994-07-12 2003-04-14 株式会社東芝 Abrasive and polishing method
US5614444A (en) 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US6046110A (en) 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
US5958794A (en) 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US5769689A (en) 1996-02-28 1998-06-23 Rodel, Inc. Compositions and methods for polishing silica, silicates, and silicon nitride
US5866031A (en) 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
ATE312895T1 (en) * 1996-07-25 2005-12-15 Dupont Air Prod Nanomaterials COMPOSITION AND METHOD FOR CHEMICAL-MECHANICAL POLISHING
US6210525B1 (en) 1996-08-16 2001-04-03 Rodel Holdings, Inc. Apparatus and methods for chemical-mechanical polishing of semiconductor wafers
US5932486A (en) 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US6245679B1 (en) 1996-08-16 2001-06-12 Rodel Holdings, Inc Apparatus and methods for chemical-mechanical polishing of semiconductor wafers
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
TW426556B (en) 1997-01-24 2001-03-21 United Microelectronics Corp Method of cleaning slurry remnants left on a chemical-mechanical polish machine
US5756398A (en) 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
KR100571892B1 (en) 1997-04-30 2006-04-18 미네소타 마이닝 앤드 매뉴팩춰링 캄파니 Method of Planarizing the Upper Surface of a Semiconductor Wafer
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US6068879A (en) 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6033993A (en) 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
JP3371775B2 (en) 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US5985748A (en) 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
EP1086484A4 (en) 1998-04-10 2003-08-06 Ferro Corp Slurry for chemical-mechanical polishing metal surfaces
US6177026B1 (en) 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
WO2000030159A1 (en) 1998-11-18 2000-05-25 Rodel Holdings, Inc. Method to decrease dishing rate during cmp in metal semiconductor structures
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6074949A (en) 1998-11-25 2000-06-13 Advanced Micro Devices, Inc. Method of preventing copper dendrite formation and growth
US6077337A (en) * 1998-12-01 2000-06-20 Intel Corporation Chemical-mechanical polishing slurry
WO2000036037A1 (en) 1998-12-17 2000-06-22 Rodel Holdings, Inc. Compositions and methods for polishing semiconductor wafers
EP1171906A1 (en) 1999-02-18 2002-01-16 Rodel Holdings, Inc. Method for cmp of low dielectric constant polymer layers
US6238592B1 (en) 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
IL147235A0 (en) 1999-08-13 2002-08-14 Cabot Microelectronics Corp Chemical mechanical polishing systems and methods for their use

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6830500B2 (en) 2000-08-30 2004-12-14 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6602117B1 (en) * 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US20050153556A1 (en) * 2000-08-30 2005-07-14 Dinesh Chopra Methods for polishing copper features of semiconductor devices structures
US6503828B1 (en) * 2001-06-14 2003-01-07 Lsi Logic Corporation Process for selective polishing of metal-filled trenches of integrated circuit structures
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US20080003924A1 (en) * 2002-04-30 2008-01-03 Hitachi Chemical Co., Ltd. Polishing slurry and polishing method
US20070295934A1 (en) * 2002-04-30 2007-12-27 Hitachi Chemical Co., Ltd. Polishing slurry and polishing method
US8696929B2 (en) 2002-04-30 2014-04-15 Hitachi Chemical Co., Ltd. Polishing slurry and polishing method
US7176574B2 (en) 2002-05-09 2007-02-13 Freescale Semiconductor, Inc. Semiconductor device having a multiple thickness interconnect
US6815820B2 (en) 2002-05-09 2004-11-09 Freescale Semiconductor, Inc. Method for forming a semiconductor interconnect with multiple thickness
US20050035459A1 (en) * 2002-05-09 2005-02-17 Yu Kathleen C. Semiconductor device having a multiple thickness interconnect
US20030209779A1 (en) * 2002-05-09 2003-11-13 Yu Kathleen C. Multiple thickness semiconductor interconnect and method therefor
WO2003100825A2 (en) * 2002-05-09 2003-12-04 Freescale Semiconductor, Inc. Multiple thickness semiconductor interconnect and method therefor
WO2003100825A3 (en) * 2002-05-09 2004-04-15 Motorola Inc Multiple thickness semiconductor interconnect and method therefor
CN100397613C (en) * 2002-05-09 2008-06-25 飞思卡尔半导体公司 Multiple thickness semiconductor interconnect and method therefor
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US20060189141A1 (en) * 2003-03-25 2006-08-24 Hartmut Mahlkow Solution for etching copper surfaces and method of depositing metal on copper surfaces
US7300480B2 (en) 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7241725B2 (en) 2003-09-25 2007-07-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Barrier polishing fluid
US20050070211A1 (en) * 2003-09-25 2005-03-31 Jinru Bian Barrier polishing fluid
US20100051066A1 (en) * 2005-12-20 2010-03-04 Eiko Kuwabara Composition for removing residue from wiring board and cleaning method
TWI411893B (en) * 2005-12-20 2013-10-11 Mitsubishi Gas Chemical Co Composition for removing residue of a wiring substrate, and washing method thereof
KR101349491B1 (en) * 2005-12-20 2014-01-08 미츠비시 가스 가가쿠 가부시키가이샤 Composition for removing residue from wiring board and cleaning method
US20090215266A1 (en) * 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers

Also Published As

Publication number Publication date
US20020092827A1 (en) 2002-07-18
WO2001078128A2 (en) 2001-10-18
US6451697B1 (en) 2002-09-17
WO2001078128A3 (en) 2002-02-07
TW529978B (en) 2003-05-01

Similar Documents

Publication Publication Date Title
US6451697B1 (en) Method for abrasive-free metal CMP in passivation domain
US6656842B2 (en) Barrier layer buffing after Cu CMP
US6432826B1 (en) Planarized Cu cleaning for reduced defects
US6436302B1 (en) Post CU CMP polishing for reduced defects
US6375693B1 (en) Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6435944B1 (en) CMP slurry for planarizing metals
US6074949A (en) Method of preventing copper dendrite formation and growth
US7183212B2 (en) Polishing method, metallization fabrication method, method for manufacturing semiconductor device and semiconductor device
US6218290B1 (en) Copper dendrite prevention by chemical removal of dielectric
US6143656A (en) Slurry for chemical mechanical polishing of copper
US6184141B1 (en) Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6204169B1 (en) Processing for polishing dissimilar conductive layers in a semiconductor device
US6858540B2 (en) Selective removal of tantalum-containing barrier layer during metal CMP
US6140239A (en) Chemically removable Cu CMP slurry abrasive
US20020148169A1 (en) Composition for metal CMP with low dishing and overpolish insensitivity
US6869336B1 (en) Methods and compositions for chemical mechanical planarization of ruthenium
US20020177318A1 (en) Copper polish slurry for reduced interlayer dielectric erosion and method of using same
US6169034B1 (en) Chemically removable Cu CMP slurry abrasive
CN100468647C (en) Polishing agent and polishing method
US20030134512A1 (en) High ph slurry for chemical mechanical polishing of copper
US20050260855A1 (en) Method and apparatus for planarizing a semiconductor wafer
Nguyen et al. Performance Comparisons of Abrasive Containing and Abrasive Free Slurries for Copper Low-k CMP
JP2001144062A (en) Polishing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, LIZHONG;LI, SHIJIAS;REDEKER, FRED C.;REEL/FRAME:010724/0938

Effective date: 20000404

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20100917