US20020023899A1 - Transmission line based inductively coupled plasma source with stable impedance - Google Patents

Transmission line based inductively coupled plasma source with stable impedance Download PDF

Info

Publication number
US20020023899A1
US20020023899A1 US09/878,156 US87815601A US2002023899A1 US 20020023899 A1 US20020023899 A1 US 20020023899A1 US 87815601 A US87815601 A US 87815601A US 2002023899 A1 US2002023899 A1 US 2002023899A1
Authority
US
United States
Prior art keywords
coil
plasma
faraday shield
chamber
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/878,156
Other versions
US6459066B1 (en
Inventor
Marwan Khater
Lawrence Overzet
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Priority to US09/878,156 priority Critical patent/US6459066B1/en
Priority to AU2001286661A priority patent/AU2001286661A1/en
Priority to PCT/US2001/026326 priority patent/WO2002019387A2/en
Priority to TW090120894A priority patent/TW536437B/en
Assigned to BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM reassignment BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OVERZET, LAWRENCE J., KHATER, MARWAN H.
Publication of US20020023899A1 publication Critical patent/US20020023899A1/en
Application granted granted Critical
Publication of US6459066B1 publication Critical patent/US6459066B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Definitions

  • the present invention relates to plasma-processing sources, systems, and methods, and particularly to inductively-coupled plasma source architectures.
  • ICP inductively coupled plasma
  • the source electrical properties are coupled to those of the plasma, since the source-plasma system behaves similar to a transformer.
  • the source input impedance and the RF wavelength on the source can be substantially affected by the very plasma conditions it generates. This can also influence the symmetry of the source electromagnetic fields and plasma generation, which in turn will influence the uniformity of the plasma and the ion flux to the wafer surface.
  • Inductively coupled plasmas behave like an air-core transformer with the inductive source-coil as the primary circuit and the plasma as the secondary (single current loop) circuit.
  • the coil impedance is coupled to that of the plasma and changes with the plasma conductivity, which determines the plasma resistance and reactance, causing changes in the electrical characteristics of the inductive coil.
  • the effect of plasma loading on the coil's voltage, current and phase shift in argon discharges has been studied using transformer theory.
  • a Faraday shield can be used to minimize these deleterious effects if properly designed and positioned.
  • Faraday shields have been used simply to decrease capacitive coupling between the source and the plasma and reduce sputtering of the dielectric window.
  • Faraday shields have been used in this fashion for at least several decades.
  • a dielectric spacer is placed between the source and the Faraday shield to provide electrical insulation.
  • air is chosen to be the dielectric because air has the lowest relative permittivity and results in the smallest standing-wave variation on the source.
  • the present application teaches that a “source-coil/dielectricspacer/Faraday shield” assembly acts as a transmission line with a nearly fixed characteristic impedance and standing wave pattern on the source-coil.
  • the source impedance is made stable regardless of plasma conditions since the Faraday shield decouples the source-coil electrical properties from those of the plasma.
  • the key to designing this ICP source-coil/dielectric spacer/Faraday shield assembly is to ensure that the impedance between the shield and ICP source-coil dominates over the impedance between the ICP source-coil and plasma. When this is the case, changes in the plasma characteristics can cause little or no variation in the total ICP source-coil impedance and therefore become negligible. As a result, the standing wave pattern on the ICP source-coil becomes constant, as does the input impedance and plasma generation symmetry.
  • a calibrated aperture in the center, at the edge, or at some other location in the Faraday shield can be designed to allow a small amount of capacitive coupling to the plasma for striking the discharge. Once a high-density plasma forms, it will expel this capacitive coupled field and result in an inductively coupled plasma. Consequently, the source will both strike reliably and result in very little window sputtering or other deleterious effects. In addition, the Faraday shield/dielectric spacer/source-coil assembly will still prevent the plasma from changing the source-coil standing wave pattern, input impedance, and fields symmetries.
  • the Faraday shield/dielectric spacer/source-coil assembly provides a fundamental change in the electrical characteristics of the coil which drives the plasma, and that this change permits new techniques for operating an inductively-coupled plasma reactor.
  • the RF behavior of the coil is determined by the state of the chamber's interior, which varies dynamically.
  • the complex impedance of the coil changes dramatically when the plasma is ignited, but also is dependent on other factors, such as pressure, which affect the electron density of the plasma. Since the coil is electrically coupled to the plasma, changes in the electron density of the plasma also change the complex impedance of the coil.
  • the electrical behavior of an inductive source-coil is that of a transmission line, which forms the primary of a transformer.
  • the transformer's secondary is the loop of current that flows in the plasma.
  • Conventional wisdom is that current nodes must generally be avoided on the source-coil.
  • a current node on a transmission line will result in the appearance of voltage antinodes, i.e. locations where the voltage has a much larger magnitude than at other parts of the transmission line. This can result in increased erosion of the dielectric shield at such points.
  • the present inventors have realized that the use of a three-dimensional source-coil design coupled with the Faraday shield can allow one to circumvent conventional wisdom. One can place current nodes on the source-coil without causing hot spots and still produce symmetric, uniform plasma. The ability to have current nodes on the source-coil, however, allows one to produce uniform plasma over much larger areas.
  • the decoupling effect of the Faraday shield is used to permit operation of the coil in resonant or near-resonant conditions. Since the coil is decoupled from the variations in the plasma electron density, the location of voltage and current antinodes is less likely to shift unpredictably. Moreover, since the current distribution in the coil is now more predictable, the geometry of the coil can be modified to increase the uniformity of power deposited into the plasma.
  • FIG. 1 shows a transmission line inductive plasma source: the left side (part a) shows an exploded view of major components of the source, and the right side (part b) shows a complete plasma-processing reactor which includes this source.
  • FIGS. 2 ( a ) through 2 ( f ) show six sample embodiments where a transmission line inductive plasma source 130 is combined with different examples of dielectric spacer 140 cross-section for a primarily planar source configuration.
  • FIGS. 3 ( a ) through 3 ( d ) show four sample embodiments where the dielectric spacer 140 is implemented with different materials as part of its structure. Although only three dielectrics are delineated here, those skilled in the art will recognize that any number of different materials can be used. Although the dielectric spacer is drawn for the primarily planar configurations of the FIG. 2 embodiments, those skilled in the art will realize that the same kinds of variations can be applied to the cylindrical and domed assemblies of the FIG. 4 embodiments as well.
  • FIGS. 4 ( a ) and 4 ( b ) show sample embodiments of a transmission line inductive plasma source with different examples of dielectric spacer cross-section for a primarily cylindrical source, and FIG. 4( c ) shows an example of the dielectric spacer cross-section for a domed source.
  • FIG. 4( c ) shows an example of the dielectric spacer cross-section for a domed source.
  • FIGS. 5 ( a ) through 5 ( d ) show several different examples of the Faraday shield that can allow enough capacitive coupling for plasma ignition while preserving the transmission line source impedance as a near constant for a planar configuration.
  • FIGS. 6 ( a ) through 6 ( d ) show several different examples of a Faraday shield that can allow enough capacitive coupling for plasma ignition while preserving the transmission line source impedance as a near constant for a substantially cylindrical configuration.
  • FIGS. 7 ( a ) and 7 ( b ) schematically show how capacitive coupling can be important when the plasma density is small (during plasma ignition) and become negligible when the inductive coupling to the plasma becomes dominant.
  • FIG. 7( a ) with no plasma present, fields penetrate into the chamber 110 .
  • FIG. 7( b ) with plasma present, the fields are largely excluded from the chamber and nearly all the potential drop occurs within the dielectric window 114 .
  • FIG. 8( a ) shows a loss-less transmission line circuit model, consisting of a distributed series inductance and a distributed shunt capacitance, for the source assembly.
  • FIGS. 8 ( b ) through 8 ( e ) show how this model corresponds to the various physical elements of the plasma reactor, in various configurations and conditions.
  • the shunt capacitance is determined by the source-coil assembly and plasma conductivity. It becomes larger when the plasma is present without a Faraday shield but is largely unaffected by the presence of plasma when the Faraday shield is present.
  • FIGS. 9 ( a ) and 9 ( b ) show Output-current to Input-Current magnitude Ratios (OICRs) as a function of ICP source power for (a) chlorine and (b) argon plasmas, WITHOUT the Faraday shield/dielectric spacer assembled to the source coil.
  • OICRs Output-current to Input-Current magnitude Ratios
  • FIG. 10 shows the Output-current to Input-Current magnitude Ratio (OICR) as a function of ICP source power for chlorine and argon plasmas with the Faraday shield/dielectric spacer assembled to the source coil.
  • OICR Output-current to Input-Current magnitude Ratio
  • FIG. 1 shows a transmission line inductive plasma source (TLICP): the left side (part a) shows an exploded view of major components of the source, and the right side (part b) shows a complete plasma-processing reactor which includes this source.
  • Major components shown include the inductive source-coil 130 , dielectric spacer 140 and Faraday shield 150 .
  • the TLICP source coil 130 is shown with a complex geometry like that described in U.S. Pat. No. 6,028,285 of Khater, Overzet and Cherrington.
  • the illustrated source-coil design consists of two layers of loops separated by a few centimeters.
  • the bottom layer loops are complete circles and are shown as thin lines.
  • the top layer loops are 3 ⁇ 4 of a circle (except for the outer most loop which is a full circle), and are shown as thick lines.
  • Plasmas in a wide variety of gases and mixtures have been generated at pressures between 1-500 mTorr and powers up to 1000 W using this source (Khater 2000, Khater 2001).
  • the dielectric spacer 140 can consist of any number of materials including but not limited to carbon based materials like Teflon®, ceramics like alumina, air or other gases/vapors, and dielectric liquids.
  • the primary purpose of the dielectric insulator to date has been to prevent arcing from the source coil to the Faraday shield 150 .
  • the dielectric is structured to produce uniform plasma generation by controlling the transmission-line characteristic-impedance along the source-coil in addition to acting as simple insulation.
  • the Faraday shield 150 generally consists of a good conductor with anti-eddy-current slots 152 .
  • the slots 152 can vary in number and dimension, but it has been found that 16 slots in a circular arrangement of ⁇ fraction (1/16) ⁇ ′′ width works well for primarily planar source-coils up to 10′′ in diameter.
  • the number of slots generally lies between 4 and 64.
  • the slot width generally lies between ⁇ fraction (1/64) ⁇ ′′ and 1 ⁇ 4′′.
  • Part (b) of FIG. 1 shows the TLICP source assembly ( 130 , 140 and 150 ) installed on a plasma-processing reactor.
  • the reactor shown is simply a generic reactor, and many other reactor configurations can be used.
  • the TLICP source generates plasma in the plasma region 110 . It has an RF power source 126 connected to it through a matching network 120 consisting of primarily reactive components 122 and 124 .
  • the reactive elements shown ( 122 and 124 ) are both variable capacitances (as is customary for Inductively Coupled Plasma sources), but fixed capacitances and/or fixed or variable inductances and transformers can all be used. Typical frequencies of the RF power lie between about 100 kHz and 100 MHz.
  • the assembly sits on a dielectric window 114 , which allows the electric and magnetic fields produced by the TLICP source assembly to enter the plasma region 110 while also providing a vacuum seal.
  • a gas inlet 112 allows calibrated amounts of gas to enter the plasma region 110 .
  • the plasma region 110 is bounded by a vacuum vessel 102 and by a chuck assembly 104 holding a work piece 106 for processing using either a clamp 108 or some other mechanism. (Other mechanisms might include electrostatic clamping or gravity.)
  • the chuck assembly can have power applied to it. Either DC power or RF power 126 ′ can be applied to the chuck assembly through a matching network, a simple capacitance 116 , or a direct connection.
  • FIGS. 2 ( a ) through 2 ( f ) show six sample embodiments where a transmission line inductive plasma source 130 is combined with different examples of dielectric spacer 140 cross-section for a primarily planar source configuration.
  • the source-coil 130 either lies on top of, partially inside of and/or completely inside of a dielectric spacer 140 , which in turn lies on top of a Faraday shield 150 .
  • the dielectric spacer 140 can be made of any number of materials including but not limited to Teflon®, alumina, air or other gases/vapors, and dielectric liquids.
  • the dielectric spacer can be a uniform layer of thickness “t” as shown in FIGS. 2 ( a ) and 2 ( b ).
  • the structural elements can also have a wide variety of structural elements including a varying thickness (FIG. 2( c )); a central opening (circular, rectangular, etc.) of major dimension x shown in FIG. 2( d ); openings of major dimension y placed either under a source-coil element or between source-coil elements shown in FIG. 2( e ); recesses shown in FIG. 2( e ); and stacks of materials or combinations of the above shown in FIG. 2( f ).
  • the structural elements can include a ground shield 252 placed in close proximity to a section of the source-coil with a dielectric spacer 242 between the ground shield 252 and source-coil shown in FIG. 2( d ) and 2 ( f ).
  • the structure of the dielectrics ( 140 , 242 ) and coil 130 are designed together to optimize the desired properties of the full assembly.
  • FIGS. 3 ( a ) through 3 ( d ) show top views of four sample embodiments where the dielectric spacer 140 is implemented with different materials as part of its structure. Although only three dielectrics are delineated here, those skilled in the art will recognize that any number of different materials can be used. Although the dielectric spacer is drawn for the primarily planar configurations of the FIG. 2 embodiments, those skilled in the art will realize that the same kinds of variations can be applied to the cylindrical and domed assemblies of the FIG. 4 embodiments as well.
  • FIG. 3 In the various FIG. 3 embodiments, several modifications of the dielectric spacer 140 are shown for a primarily planar source coil configuration. Multiple dielectric materials can be used to optimize the transmission line properties of the TLICP assembly. Those skilled in the art will recognize that a central dielectric 304 with different permittivity from the remaining material of the dielectric spacer 302 can prove beneficial for striking plasma as one example. Either the central dielectric 304 or the circular dielectric 302 can also be a material with limited conductivity. In a second example, an arc of the circular dielectric spacer 140 can be made from another dielectric material 306 in order to optimize plasma generation uniformity.
  • support structures for the coil can be made of one dielectric material 308 while the remainder of the spacer is made of another 302 .
  • FIG. 3( d ) Another example of a complex interleaving and stacking of multiple dielectric materials 302 , 310 , and 312 is shown in FIG. 3( d ). The structure of the dielectric and coil are designed together to optimize the desired properties of the system.
  • FIGS. 4 ( a ) and 4 ( b ) show sample embodiments of a transmission line inductive plasma source with different examples of dielectric spacer cross-section for a primarily cylindrical source
  • FIG. 4( c ) shows an example of the dielectric spacer cross-section for a domed source.
  • FIGS. 5 ( a ) through 5 ( d ) show several different examples of the Faraday shield 150 that can allow enough capacitive coupling for plasma ignition while maintaining the transmission line source impedance nearly constant.
  • the FIG. 5 diagrams are for a primarily planar configuration, but the concepts demonstrated in these embodiments can easily be applied to other coordinate systems by those skilled in the art.
  • the Faraday shield 150 has radial anti eddy-current slots 152 cut into it to match the radial symmetry assumed for the primarily planar source coil 130 of FIG. 1.
  • the slots are cut substantially perpendicular to the direction of current flow in the source-coil, and prevent the Faraday shield from blocking the RF electromagnetic fields generated by those currents.
  • a central opening 502 can also be made that allows the plasma to ignite by stray “capacitive” electric fields. These fields are generated by the large voltages on the source-coil, which the Faraday shield would ordinarily block from the plasma region 110 were not the central opening 502 present.
  • Other kinds of openings can also be used to allow the plasma to strike, e.g. as shown in FIG. 5( b ), ( c ) and ( d ): for example a radial arc 504 , a rectangular opening 506 or a circular opening 508 can be used.
  • the rectangular opening shown in FIG. 5( c ) is merely a limited expansion of one of the slots 152 . In addition, multiple combinations of these openings can be used in concert.
  • openings allow the plasma source-coil to capacitively ignite the plasma while maintaining the source-coil impedance as a near constant. As such, they will be placed near regions of the source-coil at large voltages with respect to ground rather than only in regions where the source-coil has near ground voltages. Openings may also be placed in proximity to large permittivity dielectrics that will help to reliably ignite the plasma by causing a larger fraction of the voltage drop to occur in the plasma chamber 110 . These openings are generally expected to have a static size so that the source-coil input impedance remains stable, but dynamically variable slot sizes can be used as well.
  • FIGS. 6 ( a ) through 6 ( d ) show several different examples of a Faraday shield that can allow enough capacitive coupling for plasma ignition while preserving the transmission line source impedance as a near constant for a substantially cylindrical configuration.
  • an aperture 602 can be placed between anti eddy-current slots 652 to allow plasma ignition.
  • An expanded slot 604 can do the same thing.
  • the expanded slot or aperture can have almost any shape, but is shown as rectangular in 602 , rounded rectangular in 604 and circular in 606 .
  • the central opening 502 in FIG. 5 corresponds to the central aperture 608 in FIG. 6, and the reduction in anti eddy-current slots 510 in FIG. 5 corresponds to the reduction of slots 610 in FIG. 6.
  • FIGS. 7 ( a ) and 7 ( b ) schematically show how capacitive coupling between the source-coil 130 and plasma region 110 can be important when the plasma density is small (during plasma ignition) and become negligible when the inductive coupling to the plasma becomes dominant.
  • the voltage on the source-coil establishes electric fields 702 which can penetrate into the plasma region 110 through a calibrated aperture ( 502 etc.) in the Faraday shield 150 . With no plasma present, the field penetration can be substantial because the conductivity of the vacuum is low. This is illustrated in FIG. 7( a ).
  • the electrons of the plasma act to expel all electric fields below the plasma frequency.
  • FIG. 7( b ) the electric fields established by the voltage on the source-coil are also expelled from the plasma region and pushed primarily inside the dielectric window 114 .
  • FIG. 8( a ) through 8 ( e ) show (using transmission line modelling) how the Faraday shield 150 acts to stabilize the source-coil 130 input-impedance.
  • the source-coil 130 acts as a transmission-line when driven with RF currents.
  • the characteristic impedance of that source-coil transmission-line is unstable without a Faraday shield because the plasma conductivity changes and the proximity of the plasma to the source-coil changes. These have a large influence on the characteristic impedance.
  • the impedance is stable with a Faraday shield because the Faraday shield has a fixed conductivity and proximity to the source-coil.
  • FIG. 8( a ) shows an analog model of a loss-less transmission-line.
  • the model simulates transmission-line behavior well and consists of series inductances and shunt capacitances.
  • the shunt capacitances in the model are determined in large part by the capacitance between individual loops (wires) of the source-coil and ground potential. Ground potential is almost invariably asserted at the chamber walls 102 , so FIG. 8( b ) illustrates how one might envision the capacitance of the transmission-line model to be established by the chamber. This capacitance should be small since the chamber is far from the source-coil loops. Since plasma has a finite conductivity and acts to expel electric fields generated by the coil, the formation of plasma in the chamber significantly affects the capacitance between the source coil loops and ground. This is illustrated in FIG.
  • FIGS. 8 ( d ) and 8 ( e ) A Faraday shield 150 can stabilize the transmission-line characteristics of the source-coil as shown in FIGS. 8 ( d ) and 8 ( e ).
  • the shunt capacitance of FIG. 8( a ) is now dominated by the capacitance between the source-coil and Faraday shield instead of the chamber ground.
  • the small capacitance to the chamber ground can still exist through the Faraday shield aperture, but adds an insignificant capacitance.
  • FIGS. 9 ( a ), 9 ( b ), and 10 show data taken from Argon and Chlorine plasmas illustrating the input-impedance stability afforded by a properly designed source-coil 130 /dielectric spacer 140 /Faraday shield 150 assembly.
  • the ICP source voltage and currents at both the powered (input) and ground leads were measured at different powers and pressures for argon and chlorine plasmas without and with the Faraday shield.
  • the voltage and currents were similar for both argon and chlorine discharges under the same conditions (Khater 2001).
  • the voltage generally increased with ICP source power as expected. It had a small dependence on pressure with the highest value occurring at the lowest pressure (1 mTorr).
  • OICR Output to Input Current Ratio
  • FIGS. 9 ( a ) and 9 ( b ) The source-coil OICR for chlorine and argon plasmas without the Faraday shield are shown in FIGS. 9 ( a ) and 9 ( b ) as a function of ICP source power and pressure.
  • the OICR increased by a factor as large as 2 with source power and discharge pressure for both chlorine and argon plasmas. Such increase in the OICR indicates a change in the impedance of the source, which is coupled to changes in the plasma parameters.
  • FIGS. 9 ( a )/ 9 ( b ) also show that the OICR is dependent on the gas type, where the OICR for argon plasma is smaller at lower pressures.
  • FIG. 10 shows the Output-current to Input-Current magnitude Ratio (OICR) as a function of ICP source power for chlorine and argon plasmas with the Faraday shield/dielectric spacer assembled to the source coil.
  • OICR Output-current to Input-Current magnitude Ratio
  • the transmission line parameters of the ICP source (as well as input impedance) are fixed by the Faraday shield and are no longer dependent on plasma conductivity variations.
  • the transmission line parameters can be further controlled by adjusting the dielectric spacer properties and structure to optimize the power deposition symmetry and plasma uniformity.
  • the RMS current at both leads with the Faraday shield increased compared to that measured without the shield. This was expected for equal source input powers.
  • the grounded lead current increased more than the powered lead current as well. This causes an increased OICR and is mainly due to larger capacitance to the shield.
  • a properly designed source can generate electromagnetic fields and plasmas of high symmetry and uniformity.
  • the OICR is plotted in FIG. 10 for chlorine and argon plasmas at a variety of powers and pressures. The OICR is almost completely independent of the plasma conditions when using the Faraday shield indicating that the source electrical properties have been decoupled from the plasma and that its impedance is stabilized.
  • Faraday shield a conductive layer which serves to block electro-static fields.
  • Impedance a complex number which expresses both resistance (the real part) and reactance (the imaginary part).
  • Transmission line a conductor which is long enough, in relation to the electrical wavelength it carries, that substantial phase shifts occur within the length of the line.
  • the electrical parameters of the transmission line are expressed as distributed resistance, inductance and capacitance (i.e. resistance etc. per unit length). The distributed resistance, inductance and capacitance will define a characteristic impedance of the transmission line.
  • Impedance matching In an RF circuit, power will not be efficiently transmitted between elements having different characteristic impedances. Where such an impedance mismatch appears, some of the power will be reflected back to the source. This reflected power will produce a standing wave, which may have an undesirably high magnitude. The degree of mismatch can be measured by Voltage Standing Wave Ratio (VSWR) or by current standing wave ratio. To avoid or minimize the effects of mismatch, it is common to use an impedance matching circuit, which transforms the RF impedances to reduce or eliminate mismatch. In conventional plasma processing systems, the impedance matching circuit operates automatically, to track the wide variations in impedance caused by changes in the plasma conditions.
  • VSWR Voltage Standing Wave Ratio
  • ICP Inductively Coupled Plasma
  • a method for plasma processing comprising the actions of: a) driving a coil with an RF power source to thereby initiate a glow discharge in a process chamber, using capacitive coupling; b) and thereafter inductively coupling power into said glow discharge from said coil; wherein the impedance of said coil does not change by more than 3:1 between said steps a) and b).
  • An inductively-coupled-plasma reactor system comprising: an RF power source; a driver coil which is inductively coupled to a process chamber; and an impedance-matching stage connecting said power source and said coil; wherein no component of said system provides impedance matching over a range of impedance magnitudes of more than 3:1.
  • a plasma processing station comprising: a chamber; and a coil which is electromagnetically coupled to said chamber through a Faraday shield and through a nonuniform dielectric layer; wherein the nonuniformity of said dielectric layer is positioned to increase the net uniformity of plasma generated in said chamber.
  • a method for plasma processing comprising the actions of: driving a coil with an RF power source through a matching network to symmetrically energize a plasma which provides an electrical load to said coil, while stabilizing the input impedance of said coil, independently of said matching network, to thereby maintain the input impedance of said coil independent of variations in the conditions of said plasma and maintain symmetry in the energizing of said plasma independent of variations in the conditions of said plasma.
  • a plasma source structure comprising in combination a Faraday shield and driver coil and dielectric, which are aligned, for a known standing-wave condition of said coil, such that nonuniformity of current magnitude on said coil is compensated by nonuniform geometry of said coil and/or said dielectric and/or said Faraday shield, to provide improved uniformity of power deposition into the plasma.
  • a method for plasma processing comprising the actions of: driving a coil, which is electromagnetically coupled to a process chamber through a Faraday shield and through a nonuniform dielectric layer, with RF power, to thereby energize a glow discharge in the process chamber; wherein the nonuniform thickness of said dielectric layer is positioned to increase the net uniformity of plasma generated in the chamber.
  • a plasma processing station comprising: a chamber; and a coil which is electromagnetically coupled to said chamber and is operatively connected to be driven by an RF power supply at a frequency which induces at least one current node on said coil.
  • a method for plasma processing comprising the actions of: driving a coil which is electromagnetically coupled to a process chamber, with RF power at a frequency which induces at least one current node on said coil, to thereby energize a glow discharge in the process chamber.
  • a method for plasma processing comprising the actions of: initiating a glow discharge in a process chamber, using capacitive coupling, through at least one aperture in a Faraday shield, to a coil which is connected to an RF power source; and thereafter inductively coupling power into said glow discharge from said coil through said Faraday shield, while said glow discharge blocks said capacitive coupling through said aperture.
  • a method for pulsed plasma processing comprising the actions of, at each pulse: igniting a plasma in a chamber, using capacitive coupling, through at least one aperture in a Faraday shield, to a coil which is connected to an RF power source; and thereafter inductively driving said plasma using said coil, while said plasma blocks said capacitive coupling through said aperture.
  • An inductively-coupled-plasma reactor system comprising: an RF power source; an impedance-stabilized driver coil which is inductively coupled to a process chamber through a Faraday shield, and connected to receive pulsed power from said RF power source; and an automatic impedance-matching stage, connecting said power source and said coil, which has a response time slower than the time between pulses of said pulsed power.
  • the TLICP source coil 130 is illustrated with a complex Khater/Overzet/Cherrington geometry like that described in U.S. Pat. No. 6,028,285, but many other source coil geometries are possible.
  • those skilled in the art will recognize that all manner of two-dimensional geometries can be used (including but not limited to planar geometries; e.g. concentric circle or helical or multi-helix geometries), as well as hemispherical geometries, domed geometries, and cylindrical geometries can be used.
  • the preferred class of embodiments uses an aperture in the shield to retain a small amount of capacitive coupling for igniting the plasma.
  • the aperture is not necessary in all embodiments; for example, laser or microwave ignition could be used instead.
  • part of the coil can be tapered line if desired, to provide a graduated impedance transformation and hence a graduated current.
  • the nonuniformity of the dielectric can be achieved not only by nonuniform thickness, but also by different materials, including materials of different permittivities, and also including vacuum, air or other gasses, and even liquids (e.g. connected in a flow through a heat exchanger).
  • the disclosed inventions are not applicable only to plasma etching methods and systems, but also to methods and systems for plasma-assisted deposition, implantation, and other plasma processes.
  • the disclosed inventions are particularly advantageous in pulsed plasma systems.
  • the disclosed impedance-stabilized configurations are especially advantageous in such systems, since the rapid changes in plasma state do not have to be tracked by adaptations of the automatic impedance-matching network. Indeed, the impedance-matching network can now be specified to have both a more limited bandwidth and more limited range than was previously possible.
  • the disclosed inventions are not applicable only to plasma methods and systems used in microfabrication, but can also be adapted to methods and systems for plasma-assisted processing of large articles.
  • Maxwell's equations imply that the magnitude of electromagnetic fields driven by the coil will necessarily vary within the total driven volume.
  • the uniformity or symmetry sought, in design and selection of plasma sources is typically a requirement of (e.g. for a planar coil) circumferential (or “azimuthal”) uniformity, while allowing some known smooth gradation in the radial and axial directions.
  • the disclosed techniques for increasing uniformity can be used to achieve various desired distributions of RF power deposition. For example, depending on the relation between workpiece geometry and source geometry, a system designer might wish to modify the radial distribution of power density, while keeping the circumferential distribution perfectly uniform. The disclosed optimizations can be applied to such specifications if desired.

Abstract

A properly designed and positioned Faraday shield/dielectric spacer/source-coil assembly is used to nearly fix the input impedance of an Inductively Coupled Plasma (ICP) source-coil, making a variable matching network almost unnecessary, and allowing for pulsed plasma processing with very little reflected power. Further, the nearly constant input-impedance also means a nearly constant standing wave pattern on the ICP source-coil and constant power deposition symmetry as well as plasma uniformity independent of RF power, gas pressure and gas composition. This is not possible without a properly designed and positioned Faraday shield because the source-coil impedance is coupled to that of the plasma and changes significantly with the plasma conditions. The ICP source-coil/dielectric spacer/Faraday shield assembly can then be designed to optimize the symmetry of the plasma generation independent of plasma conditions by varying the source coil structure, dielectric spacer material, dielectric spacer structure, and Faraday shield structure. An appropriately positioned aperture in the Faraday shield can allow enough capacitive coupling between the vacuum and ICP source coil to ignite the plasma while preventing any significant capacitive coupling during the subsequent high-density ICP phase.

Description

    CROSS-REFERENCE TO OTHER APPLICATION
  • This application claims priority from U.S. provisional application No. 60/227,804 filed Aug. 25, 2000, which is hereby incorporated by reference.[0001]
  • BACKGROUND AND SUMMARY OF THE INVENTION
  • The present invention relates to plasma-processing sources, systems, and methods, and particularly to inductively-coupled plasma source architectures. [0002]
  • Standing waves unavoidably develop on inductively coupled plasma (ICP) sources because they are mismatched transmission line systems. In addition, the source electrical properties are coupled to those of the plasma, since the source-plasma system behaves similar to a transformer. As a result, the source input impedance and the RF wavelength on the source can be substantially affected by the very plasma conditions it generates. This can also influence the symmetry of the source electromagnetic fields and plasma generation, which in turn will influence the uniformity of the plasma and the ion flux to the wafer surface. [0003]
  • Inductively coupled plasmas behave like an air-core transformer with the inductive source-coil as the primary circuit and the plasma as the secondary (single current loop) circuit. The coil impedance is coupled to that of the plasma and changes with the plasma conductivity, which determines the plasma resistance and reactance, causing changes in the electrical characteristics of the inductive coil. The effect of plasma loading on the coil's voltage, current and phase shift in argon discharges has been studied using transformer theory. (See Piejak 1992, Godyak 1994, Gudmundsson 1997, Gudmundsson 1998, Fayoumi 1997, and Fayoumi 1998, cited below.) Changes in the electrical characteristics of the coil due to plasma loading affect its electromagnetic fields, which largely determine the plasma generation symmetry and process uniformity. Understanding the interaction between the coil's fields and the plasma is essential for inductive source design and scaling in order to optimize plasma process uniformity. Gudmundsson et al. (Gudmundsson 1998) modeled and measured the changes in the source-coil's resistance and reactance at 13.56 MHz caused by plasma loading. El-Fayoumi et al. (Fayoumi 1997, Fayoumi 1998) measured the current induced in argon plasmas generated with a low frequency ICP source-coil. They calculated the plasma resistance and inductance from the induced plasma current and studied their effects on the coupling constant with the coil and its electrical properties. [0004]
  • Most studies have considered the coil's voltage and current to be spatially averaged and did not take into account the effect of plasma loading on the standing wave pattern that unavoidably develops on ICP sources. Transmission line properties of an ICP source result in voltage and current standing waves along its length. The variations in current with position lead to asymmetries in the induced electro-magnetic fields, which in turn can lead to asymmetries in the power deposition, plasma generation and non-uniformity in the processing. (Jaeger 1995, Kushner 1996, Lamm 1997) A three-dimensional model by Kushner et al. (Kushner 1996) showed that the transmission line properties of the coil should influence the power deposition symmetry as well as the ion flux uniformity to the wafer surface. They examined the effect of capacitive termination impedance and coil geometry on the standing wave pattern and power deposition symmetry. In a related study, Lamm 1997, an ICP was modeled as a uniform transmission line system. Lamm made measurements of the standing wave for different source geometries and powers from which he derived analytical expressions for the spatial variations of the voltage and current along the coil length. More recently, Wu et al. (Wu 2000) investigated the influence of source configuration and standing wave effects on argon discharge density profiles generated with a large area ICP source. They modeled the inductive discharge as a lossy transmission line system and applied a transformer model to study the electrical properties of the system. In addition to a matching network, they used a tuning network to launch a traveling wave or a wave with a desired standing wave ratio along the source length. Their experiments showed that the source configuration and standing wave ratio could strongly influence the plasma density profile. Changes in the standing wave pattern on a new ICP source design caused by changes in plasma loading for argon and chlorine discharges have been reported recently by the inventors (Khater 2000, Khater 2001). The voltage and current variations along the coil's length, as well as the phase difference between them, are determined by the coil's characteristic impedance. Since plasma loading changes the coil's characteristic impedance, the standing wave pattern will also change depending on the plasma conditions. As a result, the plasma generation symmetry and uniformity for a fixed ICP source geometry changes as the plasma conditions are varied. This effect should be considered in the design of ICP sources as they are scaled to large sizes for processing large area substrates. [0005]
  • A Faraday shield can be used to minimize these deleterious effects if properly designed and positioned. To date, Faraday shields have been used simply to decrease capacitive coupling between the source and the plasma and reduce sputtering of the dielectric window. Faraday shields have been used in this fashion for at least several decades. A dielectric spacer is placed between the source and the Faraday shield to provide electrical insulation. In most cases, air is chosen to be the dielectric because air has the lowest relative permittivity and results in the smallest standing-wave variation on the source. The present application teaches that a “source-coil/dielectricspacer/Faraday shield” assembly acts as a transmission line with a nearly fixed characteristic impedance and standing wave pattern on the source-coil. In this manner, the source impedance is made stable regardless of plasma conditions since the Faraday shield decouples the source-coil electrical properties from those of the plasma. The key to designing this ICP source-coil/dielectric spacer/Faraday shield assembly is to ensure that the impedance between the shield and ICP source-coil dominates over the impedance between the ICP source-coil and plasma. When this is the case, changes in the plasma characteristics can cause little or no variation in the total ICP source-coil impedance and therefore become negligible. As a result, the standing wave pattern on the ICP source-coil becomes constant, as does the input impedance and plasma generation symmetry. [0006]
  • Such an assembly has important implications for plasma system design and optimization. For example, the use of this type assembly allows any ICP source to be impedance matched by a nearly fixed matching circuit. The possibility of a fixed matching condition will allow much simpler plasma control in addition to easily allowing for pulsed plasma processing with very little reflected power. This has been demonstrated experimentally (Khater 2001). In addition, once the source geometry is optimized for symmetric electromagnetic fields and plasma uniformity with a fixed standing wave pattern, it should stay uniform regardless of the plasma conditions. Optimizing the structure of the dielectric spacer (materials, shape) and Faraday shield structure in addition to the source-coil geometry is important in optimizing the electromagnetic field symmetry. [0007]
  • Finally, a calibrated aperture in the center, at the edge, or at some other location in the Faraday shield can be designed to allow a small amount of capacitive coupling to the plasma for striking the discharge. Once a high-density plasma forms, it will expel this capacitive coupled field and result in an inductively coupled plasma. Consequently, the source will both strike reliably and result in very little window sputtering or other deleterious effects. In addition, the Faraday shield/dielectric spacer/source-coil assembly will still prevent the plasma from changing the source-coil standing wave pattern, input impedance, and fields symmetries. [0008]
  • Transmission Line Based Inductively Coupled Plasma Source with Stable Impedance [0009]
  • The present inventors have realized that the Faraday shield/dielectric spacer/source-coil assembly provides a fundamental change in the electrical characteristics of the coil which drives the plasma, and that this change permits new techniques for operating an inductively-coupled plasma reactor. Without a Faraday shield, the RF behavior of the coil is determined by the state of the chamber's interior, which varies dynamically. The complex impedance of the coil changes dramatically when the plasma is ignited, but also is dependent on other factors, such as pressure, which affect the electron density of the plasma. Since the coil is electrically coupled to the plasma, changes in the electron density of the plasma also change the complex impedance of the coil. [0010]
  • With the Faraday shield, capacitive coupling between the coil and the plasma is largely removed. The present inventors have realized that this makes the coil's complex impedance much more independent of changes in the electron density of the plasma, and that this is very beneficial in optimizing the uniformity and controllability of the plasma source. Conventional ICP systems must allow for a large shift in complex impedance. One result of this is that conventional systems must use automatic matching networks which can adapt to large changes in the magnitude of impedance, e.g. over a range of ten to one. [0011]
  • The electrical behavior of an inductive source-coil is that of a transmission line, which forms the primary of a transformer. The transformer's secondary is the loop of current that flows in the plasma. Conventional wisdom is that current nodes must generally be avoided on the source-coil. A current node on a transmission line will result in the appearance of voltage antinodes, i.e. locations where the voltage has a much larger magnitude than at other parts of the transmission line. This can result in increased erosion of the dielectric shield at such points. (A “node,” analogously, is a location where the current or voltage is lower than at adjacent positions.) Moreover, the current distribution will be very non-uniform under such conditions, and this can result in hot spots, at unpredictable locations in the plasma, which cause non-uniformities in the wafer processing. The present inventors have realized that the use of a three-dimensional source-coil design coupled with the Faraday shield can allow one to circumvent conventional wisdom. One can place current nodes on the source-coil without causing hot spots and still produce symmetric, uniform plasma. The ability to have current nodes on the source-coil, however, allows one to produce uniform plasma over much larger areas. [0012]
  • In one class of embodiments, the decoupling effect of the Faraday shield is used to permit operation of the coil in resonant or near-resonant conditions. Since the coil is decoupled from the variations in the plasma electron density, the location of voltage and current antinodes is less likely to shift unpredictably. Moreover, since the current distribution in the coil is now more predictable, the geometry of the coil can be modified to increase the uniformity of power deposited into the plasma. [0013]
  • In a further class of embodiments this idea is taken even farther, and the coil, supporting dielectric, and Faraday shield are all jointly optimized for plasma uniformity. [0014]
  • BRIEF DESCRIPTION OF THE DRAWING
  • The disclosed inventions will be described with reference to the accompanying drawings, which show important sample embodiments of the invention and which are incorporated in the specification hereof by reference, wherein: [0015]
  • FIG. 1 shows a transmission line inductive plasma source: the left side (part a) shows an exploded view of major components of the source, and the right side (part b) shows a complete plasma-processing reactor which includes this source. [0016]
  • FIGS. [0017] 2(a) through 2(f) show six sample embodiments where a transmission line inductive plasma source 130 is combined with different examples of dielectric spacer 140 cross-section for a primarily planar source configuration.
  • FIGS. [0018] 3(a) through 3(d) show four sample embodiments where the dielectric spacer 140 is implemented with different materials as part of its structure. Although only three dielectrics are delineated here, those skilled in the art will recognize that any number of different materials can be used. Although the dielectric spacer is drawn for the primarily planar configurations of the FIG. 2 embodiments, those skilled in the art will realize that the same kinds of variations can be applied to the cylindrical and domed assemblies of the FIG. 4 embodiments as well.
  • FIGS. [0019] 4(a) and 4(b) show sample embodiments of a transmission line inductive plasma source with different examples of dielectric spacer cross-section for a primarily cylindrical source, and FIG. 4(c) shows an example of the dielectric spacer cross-section for a domed source. Those skilled in the art will realize that all the variation possibilities exemplified in the various FIG. 2 and FIG. 3 embodiments will also apply to the FIG. 4 embodiments.
  • FIGS. [0020] 5(a) through 5(d) show several different examples of the Faraday shield that can allow enough capacitive coupling for plasma ignition while preserving the transmission line source impedance as a near constant for a planar configuration.
  • FIGS. [0021] 6(a) through 6(d) show several different examples of a Faraday shield that can allow enough capacitive coupling for plasma ignition while preserving the transmission line source impedance as a near constant for a substantially cylindrical configuration.
  • FIGS. [0022] 7(a) and 7(b) schematically show how capacitive coupling can be important when the plasma density is small (during plasma ignition) and become negligible when the inductive coupling to the plasma becomes dominant. In FIG. 7(a), with no plasma present, fields penetrate into the chamber 110. In FIG. 7(b), with plasma present, the fields are largely excluded from the chamber and nearly all the potential drop occurs within the dielectric window 114.
  • FIG. 8([0023] a) shows a loss-less transmission line circuit model, consisting of a distributed series inductance and a distributed shunt capacitance, for the source assembly. FIGS. 8(b) through 8(e) show how this model corresponds to the various physical elements of the plasma reactor, in various configurations and conditions. The shunt capacitance is determined by the source-coil assembly and plasma conductivity. It becomes larger when the plasma is present without a Faraday shield but is largely unaffected by the presence of plasma when the Faraday shield is present.
  • FIGS. [0024] 9(a) and 9(b) show Output-current to Input-Current magnitude Ratios (OICRs) as a function of ICP source power for (a) chlorine and (b) argon plasmas, WITHOUT the Faraday shield/dielectric spacer assembled to the source coil.
  • FIG. 10 shows the Output-current to Input-Current magnitude Ratio (OICR) as a function of ICP source power for chlorine and argon plasmas with the Faraday shield/dielectric spacer assembled to the source coil. [0025]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The numerous innovative teachings of the present application will be described with particular reference to the presently preferred embodiment (by way of example, and not of limitation). [0026]
  • FIG. 1 shows a transmission line inductive plasma source (TLICP): the left side (part a) shows an exploded view of major components of the source, and the right side (part b) shows a complete plasma-processing reactor which includes this source. Major components shown include the inductive source-[0027] coil 130, dielectric spacer 140 and Faraday shield 150.
  • The [0028] TLICP source coil 130 is shown with a complex geometry like that described in U.S. Pat. No. 6,028,285 of Khater, Overzet and Cherrington. The illustrated source-coil design consists of two layers of loops separated by a few centimeters. The bottom layer loops are complete circles and are shown as thin lines. The top layer loops are ¾ of a circle (except for the outer most loop which is a full circle), and are shown as thick lines. Plasmas in a wide variety of gases and mixtures have been generated at pressures between 1-500 mTorr and powers up to 1000 W using this source (Khater 2000, Khater 2001).
  • The [0029] dielectric spacer 140 can consist of any number of materials including but not limited to carbon based materials like Teflon®, ceramics like alumina, air or other gases/vapors, and dielectric liquids. The primary purpose of the dielectric insulator to date has been to prevent arcing from the source coil to the Faraday shield 150. In the present invention, the dielectric is structured to produce uniform plasma generation by controlling the transmission-line characteristic-impedance along the source-coil in addition to acting as simple insulation.
  • The [0030] Faraday shield 150 generally consists of a good conductor with anti-eddy-current slots 152. The slots 152 can vary in number and dimension, but it has been found that 16 slots in a circular arrangement of {fraction (1/16)}″ width works well for primarily planar source-coils up to 10″ in diameter. The number of slots generally lies between 4 and 64. The slot width generally lies between {fraction (1/64)}″ and ¼″.
  • Part (b) of FIG. 1 shows the TLICP source assembly ([0031] 130, 140 and 150) installed on a plasma-processing reactor. (The reactor shown is simply a generic reactor, and many other reactor configurations can be used.) The TLICP source generates plasma in the plasma region 110. It has an RF power source 126 connected to it through a matching network 120 consisting of primarily reactive components 122 and 124. In this example the reactive elements shown (122 and 124) are both variable capacitances (as is customary for Inductively Coupled Plasma sources), but fixed capacitances and/or fixed or variable inductances and transformers can all be used. Typical frequencies of the RF power lie between about 100 kHz and 100 MHz. The assembly sits on a dielectric window 114, which allows the electric and magnetic fields produced by the TLICP source assembly to enter the plasma region 110 while also providing a vacuum seal. A gas inlet 112 allows calibrated amounts of gas to enter the plasma region 110. The plasma region 110 is bounded by a vacuum vessel 102 and by a chuck assembly 104 holding a work piece 106 for processing using either a clamp 108 or some other mechanism. (Other mechanisms might include electrostatic clamping or gravity.) The chuck assembly can have power applied to it. Either DC power or RF power 126′ can be applied to the chuck assembly through a matching network, a simple capacitance 116, or a direct connection.
  • FIGS. [0032] 2(a) through 2(f) show six sample embodiments where a transmission line inductive plasma source 130 is combined with different examples of dielectric spacer 140 cross-section for a primarily planar source configuration. In various embodiments the source-coil 130 either lies on top of, partially inside of and/or completely inside of a dielectric spacer 140, which in turn lies on top of a Faraday shield 150. The dielectric spacer 140 can be made of any number of materials including but not limited to Teflon®, alumina, air or other gases/vapors, and dielectric liquids. The dielectric spacer can be a uniform layer of thickness “t” as shown in FIGS. 2(a) and 2(b). It can also have a wide variety of structural elements including a varying thickness (FIG. 2(c)); a central opening (circular, rectangular, etc.) of major dimension x shown in FIG. 2(d); openings of major dimension y placed either under a source-coil element or between source-coil elements shown in FIG. 2(e); recesses shown in FIG. 2(e); and stacks of materials or combinations of the above shown in FIG. 2(f). In addition, the structural elements can include a ground shield 252 placed in close proximity to a section of the source-coil with a dielectric spacer 242 between the ground shield 252 and source-coil shown in FIG. 2(d) and 2(f). The structure of the dielectrics (140, 242) and coil 130 are designed together to optimize the desired properties of the full assembly.
  • FIGS. [0033] 3(a) through 3(d) show top views of four sample embodiments where the dielectric spacer 140 is implemented with different materials as part of its structure. Although only three dielectrics are delineated here, those skilled in the art will recognize that any number of different materials can be used. Although the dielectric spacer is drawn for the primarily planar configurations of the FIG. 2 embodiments, those skilled in the art will realize that the same kinds of variations can be applied to the cylindrical and domed assemblies of the FIG. 4 embodiments as well.
  • In the various FIG. 3 embodiments, several modifications of the [0034] dielectric spacer 140 are shown for a primarily planar source coil configuration. Multiple dielectric materials can be used to optimize the transmission line properties of the TLICP assembly. Those skilled in the art will recognize that a central dielectric 304 with different permittivity from the remaining material of the dielectric spacer 302 can prove beneficial for striking plasma as one example. Either the central dielectric 304 or the circular dielectric 302 can also be a material with limited conductivity. In a second example, an arc of the circular dielectric spacer 140 can be made from another dielectric material 306 in order to optimize plasma generation uniformity. In another example, support structures for the coil can be made of one dielectric material 308 while the remainder of the spacer is made of another 302. This includes dielectric support structures 308 in air 302 but is not limited to such a choice of the materials. Another example of a complex interleaving and stacking of multiple dielectric materials 302, 310, and 312 is shown in FIG. 3(d). The structure of the dielectric and coil are designed together to optimize the desired properties of the system.
  • FIGS. [0035] 4(a) and 4(b) show sample embodiments of a transmission line inductive plasma source with different examples of dielectric spacer cross-section for a primarily cylindrical source, and FIG. 4(c) shows an example of the dielectric spacer cross-section for a domed source. Those skilled in the art will realize that all the variation possibilities exemplified in the various FIG. 2 and FIG. 3 embodiments will also apply to the FIG. 4 embodiments, and all of the examples used to describe the possibilities for a primarily planar configuration can also be applied to cylindrical, domed, conical and hemispherical configurations as well. Those skilled in the art will recognize that there may be slight differences between each configuration caused by the change of symmetry for each coordinate system (Cartesian (x-y) to polar (r-theta) to cylindrical (z-theta) to spherical (theta-phi)), but that the concepts demonstrated for the Cartesian and polar coordinates also apply for other coordinate systems.
  • FIGS. [0036] 5(a) through 5(d) show several different examples of the Faraday shield 150 that can allow enough capacitive coupling for plasma ignition while maintaining the transmission line source impedance nearly constant. The FIG. 5 diagrams are for a primarily planar configuration, but the concepts demonstrated in these embodiments can easily be applied to other coordinate systems by those skilled in the art. The Faraday shield 150 has radial anti eddy-current slots 152 cut into it to match the radial symmetry assumed for the primarily planar source coil 130 of FIG. 1. The slots are cut substantially perpendicular to the direction of current flow in the source-coil, and prevent the Faraday shield from blocking the RF electromagnetic fields generated by those currents. One can also envision a non-uniform density of anti eddy-current slots 510, e.g. as shown in FIG. 5(c), in order to improve the electromagnetic field symmetry of a non-uniform source-coil.
  • A [0037] central opening 502 can also be made that allows the plasma to ignite by stray “capacitive” electric fields. These fields are generated by the large voltages on the source-coil, which the Faraday shield would ordinarily block from the plasma region 110 were not the central opening 502 present. Other kinds of openings can also be used to allow the plasma to strike, e.g. as shown in FIG. 5(b), (c) and (d): for example a radial arc 504, a rectangular opening 506 or a circular opening 508 can be used. The rectangular opening shown in FIG. 5(c) is merely a limited expansion of one of the slots 152. In addition, multiple combinations of these openings can be used in concert. The distinguishing characteristic of these openings is that they allow the plasma source-coil to capacitively ignite the plasma while maintaining the source-coil impedance as a near constant. As such, they will be placed near regions of the source-coil at large voltages with respect to ground rather than only in regions where the source-coil has near ground voltages. Openings may also be placed in proximity to large permittivity dielectrics that will help to reliably ignite the plasma by causing a larger fraction of the voltage drop to occur in the plasma chamber 110. These openings are generally expected to have a static size so that the source-coil input impedance remains stable, but dynamically variable slot sizes can be used as well.
  • FIGS. [0038] 6(a) through 6(d) show several different examples of a Faraday shield that can allow enough capacitive coupling for plasma ignition while preserving the transmission line source impedance as a near constant for a substantially cylindrical configuration. For example, an aperture 602 can be placed between anti eddy-current slots 652 to allow plasma ignition. An expanded slot 604 can do the same thing. The expanded slot or aperture can have almost any shape, but is shown as rectangular in 602, rounded rectangular in 604 and circular in 606. The central opening 502 in FIG. 5 corresponds to the central aperture 608 in FIG. 6, and the reduction in anti eddy-current slots 510 in FIG. 5 corresponds to the reduction of slots 610 in FIG. 6.
  • FIGS. [0039] 7(a) and 7(b) schematically show how capacitive coupling between the source-coil 130 and plasma region 110 can be important when the plasma density is small (during plasma ignition) and become negligible when the inductive coupling to the plasma becomes dominant. In FIG. 7(a), with no plasma present, the voltage on the source-coil establishes electric fields 702 which can penetrate into the plasma region 110 through a calibrated aperture (502 etc.) in the Faraday shield 150. With no plasma present, the field penetration can be substantial because the conductivity of the vacuum is low. This is illustrated in FIG. 7(a). Once the plasma density becomes significant, the electrons of the plasma act to expel all electric fields below the plasma frequency. As a result (FIG. 7(b)), the electric fields established by the voltage on the source-coil are also expelled from the plasma region and pushed primarily inside the dielectric window 114.
  • FIG. 8([0040] a) through 8(e) show (using transmission line modelling) how the Faraday shield 150 acts to stabilize the source-coil 130 input-impedance. The source-coil 130 acts as a transmission-line when driven with RF currents. The characteristic impedance of that source-coil transmission-line is unstable without a Faraday shield because the plasma conductivity changes and the proximity of the plasma to the source-coil changes. These have a large influence on the characteristic impedance. The impedance is stable with a Faraday shield because the Faraday shield has a fixed conductivity and proximity to the source-coil. To illustrate these effects, FIG. 8(a) shows an analog model of a loss-less transmission-line. The model simulates transmission-line behavior well and consists of series inductances and shunt capacitances. The shunt capacitances in the model are determined in large part by the capacitance between individual loops (wires) of the source-coil and ground potential. Ground potential is almost invariably asserted at the chamber walls 102, so FIG. 8(b) illustrates how one might envision the capacitance of the transmission-line model to be established by the chamber. This capacitance should be small since the chamber is far from the source-coil loops. Since plasma has a finite conductivity and acts to expel electric fields generated by the coil, the formation of plasma in the chamber significantly affects the capacitance between the source coil loops and ground. This is illustrated in FIG. 8(c) where a capacitance between the source coil loops and the plasma is placed in series with a capacitance between the plasma and ground. The series combination of these capacitances is significantly larger than the capacitance without plasma and consequently changes the transmission-line characteristics substantially. A Faraday shield 150 can stabilize the transmission-line characteristics of the source-coil as shown in FIGS. 8(d) and 8(e). The shunt capacitance of FIG. 8(a) is now dominated by the capacitance between the source-coil and Faraday shield instead of the chamber ground. The small capacitance to the chamber ground can still exist through the Faraday shield aperture, but adds an insignificant capacitance. When the plasma is started, the large capacitance to the Faraday shield is unaffected and even though the small capacitance to the chamber ground increases, it does not cause any substantial change in the source-coil's transmission-line characteristics.
  • FIGS. [0041] 9(a), 9(b), and 10 show data taken from Argon and Chlorine plasmas illustrating the input-impedance stability afforded by a properly designed source-coil 130/dielectric spacer 140/Faraday shield 150 assembly. The ICP source voltage and currents at both the powered (input) and ground leads were measured at different powers and pressures for argon and chlorine plasmas without and with the Faraday shield. The voltage and currents were similar for both argon and chlorine discharges under the same conditions (Khater 2001). The voltage generally increased with ICP source power as expected. It had a small dependence on pressure with the highest value occurring at the lowest pressure (1 mTorr). This is mainly due to an increase in the source current, which is necessary to sustain the discharge as the pressure is decreased (Piejak 1992, Godyak 1995). The impedance of the source remained inductive and the phase difference between the current at the powered lead of the source-coil, IPrms, and the voltage at the powered lead ranged from 80° to 90° for different plasma conditions. In addition, the current standing wave on the source did not obtain a current node.
  • Since there was no current node on the source-coil and the source current is largest at the grounded lead, I[0042] Grms, the Output to Input Current Ratio (OICR) can be defined as:
  • OICR=|I Grms |/I Prms|
  • The source-coil OICR for chlorine and argon plasmas without the Faraday shield are shown in FIGS. [0043] 9(a) and 9(b) as a function of ICP source power and pressure. The OICR increased by a factor as large as 2 with source power and discharge pressure for both chlorine and argon plasmas. Such increase in the OICR indicates a change in the impedance of the source, which is coupled to changes in the plasma parameters. FIGS. 9(a)/9(b) also show that the OICR is dependent on the gas type, where the OICR for argon plasma is smaller at lower pressures. Changes in the OICR result in changes in the electromagnetic field profile generated by the source, which affects the power deposition symmetry to the plasma as well as plasma processing uniformity (Jaeger 1995, Kushner 1996, Khater 2000). As a result, it is difficult to stabilize the plasma uniformity for a fixed ICP source geometry as the plasma conditions are varied.
  • FIG. 10 shows the Output-current to Input-Current magnitude Ratio (OICR) as a function of ICP source power for chlorine and argon plasmas with the Faraday shield/dielectric spacer assembled to the source coil. In these experimental results, the present inventors installed a Faraday shield between the quartz window and the ICP source. The shield and the source were separated by a dielectric spacer made out of Teflon (see FIG. 1). In addition to reducing capacitive coupling and window sputtering, the Faraday shield acts as the second conductor in a transmission line system with the source as the first conductor and the spacer as the dielectric medium between them. In this manner the transmission line parameters of the ICP source (as well as input impedance) are fixed by the Faraday shield and are no longer dependent on plasma conductivity variations. The transmission line parameters can be further controlled by adjusting the dielectric spacer properties and structure to optimize the power deposition symmetry and plasma uniformity. [0044]
  • The RMS current at both leads with the Faraday shield increased compared to that measured without the shield. This was expected for equal source input powers. The grounded lead current increased more than the powered lead current as well. This causes an increased OICR and is mainly due to larger capacitance to the shield. Despite the larger OICR with the Faraday shield, a properly designed source can generate electromagnetic fields and plasmas of high symmetry and uniformity. The OICR is plotted in FIG. 10 for chlorine and argon plasmas at a variety of powers and pressures. The OICR is almost completely independent of the plasma conditions when using the Faraday shield indicating that the source electrical properties have been decoupled from the plasma and that its impedance is stabilized. It is about the same for both argon and chlorine plasmas, at pressures between 1 and 20 mTorr and RF powers between 100 and 1000 Watts. It was observed that the phase difference between the voltage and current at either lead of the source remained nearly constant for all plasma conditions and that the matching capacitors ([0045] 122 and 124 in FIG. 1) required minimal changes. All of these were taken as indications that the Faraday shield stabilized the source-coil input-impedance and standing wave pattern. As a result, the symmetry of the fields generated by the source-coil assembly will also be stabilized when the shield is used. There are some small variations in the OICR plotted in FIG. 10. It is expected that these were caused by a smaller interaction between the source and the plasma through the center hole 502 in the Faraday shield (FIG. 5(a)).
  • Definitions [0046]
  • Following are short definitions of the usual meanings of some of the technical terms which are used in the present application. (However, those of ordinary skill will recognize whether the context requires a different meaning.) Additional definitions can be found in the standard technical dictionaries and journals. [0047]
  • Faraday shield: a conductive layer which serves to block electro-static fields. [0048]
  • Impedance: a complex number which expresses both resistance (the real part) and reactance (the imaginary part). [0049]
  • Transmission line: a conductor which is long enough, in relation to the electrical wavelength it carries, that substantial phase shifts occur within the length of the line. The electrical parameters of the transmission line are expressed as distributed resistance, inductance and capacitance (i.e. resistance etc. per unit length). The distributed resistance, inductance and capacitance will define a characteristic impedance of the transmission line. [0050]
  • Impedance matching: In an RF circuit, power will not be efficiently transmitted between elements having different characteristic impedances. Where such an impedance mismatch appears, some of the power will be reflected back to the source. This reflected power will produce a standing wave, which may have an undesirably high magnitude. The degree of mismatch can be measured by Voltage Standing Wave Ratio (VSWR) or by current standing wave ratio. To avoid or minimize the effects of mismatch, it is common to use an impedance matching circuit, which transforms the RF impedances to reduce or eliminate mismatch. In conventional plasma processing systems, the impedance matching circuit operates automatically, to track the wide variations in impedance caused by changes in the plasma conditions. [0051]
  • Inductively Coupled Plasma (ICP): a type of plasma source which uses predominantly inductive coupling (rather than capacitive coupling) to electrically drive a plasma with RF power. [0052]
  • According to at least some disclosed embodiments, there is provided: A method for plasma processing, comprising the actions of: a) driving a coil with an RF power source to thereby initiate a glow discharge in a process chamber, using capacitive coupling; b) and thereafter inductively coupling power into said glow discharge from said coil; wherein the impedance of said coil does not change by more than 3:1 between said steps a) and b). [0053]
  • According to at least some disclosed embodiments, there is provided: An inductively-coupled-plasma reactor system, comprising: an RF power source; a driver coil which is inductively coupled to a process chamber; and an impedance-matching stage connecting said power source and said coil; wherein no component of said system provides impedance matching over a range of impedance magnitudes of more than 3:1. [0054]
  • According to at least some disclosed embodiments, there is provided: A plasma processing station, comprising: a chamber; and a coil which is electromagnetically coupled to said chamber through a Faraday shield and through a nonuniform dielectric layer; wherein the nonuniformity of said dielectric layer is positioned to increase the net uniformity of plasma generated in said chamber. [0055]
  • According to at least some disclosed embodiments, there is provided: A method for plasma processing, comprising the actions of: driving a coil with an RF power source through a matching network to symmetrically energize a plasma which provides an electrical load to said coil, while stabilizing the input impedance of said coil, independently of said matching network, to thereby maintain the input impedance of said coil independent of variations in the conditions of said plasma and maintain symmetry in the energizing of said plasma independent of variations in the conditions of said plasma. [0056]
  • According to at least some disclosed embodiments, there is provided: A plasma source structure, comprising in combination a Faraday shield and driver coil and dielectric, which are aligned, for a known standing-wave condition of said coil, such that nonuniformity of current magnitude on said coil is compensated by nonuniform geometry of said coil and/or said dielectric and/or said Faraday shield, to provide improved uniformity of power deposition into the plasma. [0057]
  • According to at least some disclosed embodiments, there is provided: A method for plasma processing, comprising the actions of: driving a coil, which is electromagnetically coupled to a process chamber through a Faraday shield and through a nonuniform dielectric layer, with RF power, to thereby energize a glow discharge in the process chamber; wherein the nonuniform thickness of said dielectric layer is positioned to increase the net uniformity of plasma generated in the chamber. [0058]
  • According to at least some disclosed embodiments, there is provided: A plasma processing station, comprising: a chamber; and a coil which is electromagnetically coupled to said chamber and is operatively connected to be driven by an RF power supply at a frequency which induces at least one current node on said coil. [0059]
  • According to at least some disclosed embodiments, there is provided: A method for plasma processing, comprising the actions of: driving a coil which is electromagnetically coupled to a process chamber, with RF power at a frequency which induces at least one current node on said coil, to thereby energize a glow discharge in the process chamber. [0060]
  • According to at least some disclosed embodiments, there is provided: A method for plasma processing, comprising the actions of: initiating a glow discharge in a process chamber, using capacitive coupling, through at least one aperture in a Faraday shield, to a coil which is connected to an RF power source; and thereafter inductively coupling power into said glow discharge from said coil through said Faraday shield, while said glow discharge blocks said capacitive coupling through said aperture. [0061]
  • According to at least some disclosed embodiments, there is provided: A method for pulsed plasma processing, comprising the actions of, at each pulse: igniting a plasma in a chamber, using capacitive coupling, through at least one aperture in a Faraday shield, to a coil which is connected to an RF power source; and thereafter inductively driving said plasma using said coil, while said plasma blocks said capacitive coupling through said aperture. [0062]
  • According to at least some disclosed embodiments, there is provided: An inductively-coupled-plasma reactor system, comprising: an RF power source; an impedance-stabilized driver coil which is inductively coupled to a process chamber through a Faraday shield, and connected to receive pulsed power from said RF power source; and an automatic impedance-matching stage, connecting said power source and said coil, which has a response time slower than the time between pulses of said pulsed power. [0063]
  • Modifications and Variations [0064]
  • As will be recognized by those skilled in the art, the innovative concepts described in the present application can be modified and varied over a tremendous range of applications, and accordingly the scope of patented subject matter is not limited by any of the specific exemplary teachings given. [0065]
  • Many modifications have been illustrated in the various configurations illustrated in the subparts of FIGS. 2, 3, [0066] 4, 5, 6, and 7. It will also be recognized that these modifications and embodiments can be used in combination with each other, so that a very large number of total possibilities have already been indicated.
  • The [0067] TLICP source coil 130 is illustrated with a complex Khater/Overzet/Cherrington geometry like that described in U.S. Pat. No. 6,028,285, but many other source coil geometries are possible. In particular, those skilled in the art will recognize that all manner of two-dimensional geometries can be used (including but not limited to planar geometries; e.g. concentric circle or helical or multi-helix geometries), as well as hemispherical geometries, domed geometries, and cylindrical geometries can be used.
  • For another example, the preferred class of embodiments uses an aperture in the shield to retain a small amount of capacitive coupling for igniting the plasma. However, the aperture is not necessary in all embodiments; for example, laser or microwave ignition could be used instead. [0068]
  • In another alternative, part of the coil can be tapered line if desired, to provide a graduated impedance transformation and hence a graduated current. [0069]
  • In embodiments which use nonuniform dielectric (to tailor the uniformity of the plasma power deposition profile), the nonuniformity of the dielectric can be achieved not only by nonuniform thickness, but also by different materials, including materials of different permittivities, and also including vacuum, air or other gasses, and even liquids (e.g. connected in a flow through a heat exchanger). [0070]
  • The complete plasma processing stations using the present invention do not have to be simple one-chamber systems like that shown in the drawing. The disclosed inventions are also fully applicable to and advantageous in multistation modules. [0071]
  • The disclosed inventions are not applicable only to plasma etching methods and systems, but also to methods and systems for plasma-assisted deposition, implantation, and other plasma processes. [0072]
  • The disclosed inventions are particularly advantageous in pulsed plasma systems. The disclosed impedance-stabilized configurations are especially advantageous in such systems, since the rapid changes in plasma state do not have to be tracked by adaptations of the automatic impedance-matching network. Indeed, the impedance-matching network can now be specified to have both a more limited bandwidth and more limited range than was previously possible. [0073]
  • The disclosed inventions are not applicable only to plasma methods and systems used in microfabrication, but can also be adapted to methods and systems for plasma-assisted processing of large articles. [0074]
  • Those skilled in the art will understand that Maxwell's equations imply that the magnitude of electromagnetic fields driven by the coil will necessarily vary within the total driven volume. Thus the uniformity or symmetry sought, in design and selection of plasma sources, is typically a requirement of (e.g. for a planar coil) circumferential (or “azimuthal”) uniformity, while allowing some known smooth gradation in the radial and axial directions. The disclosed techniques for increasing uniformity can be used to achieve various desired distributions of RF power deposition. For example, depending on the relation between workpiece geometry and source geometry, a system designer might wish to modify the radial distribution of power density, while keeping the circumferential distribution perfectly uniform. The disclosed optimizations can be applied to such specifications if desired. [0075]
  • The following publications provide additional detail regarding possible implementations of the disclosed embodiments, and of modifications and variations thereof, and the predictable results of such modifications, and are all hereby incorporated by reference: R. Piejak, V. Godyak, and B. Alexandrovich, Plasma Sources Sci. Technol. 1, 179 (1992); V. Godyak, R. Piejak, and B. Alexandrovich, Plasma Sources Sci. Technol. 3, 169 (1994); J. Gudmundsson, and M. Lieberman, Plasma Sources Sci. Technol. 6, 540 (1997); J. Gudmundsson, and M. Lieberman, Plasma Sources Sci. Technol. 7, 83 (1998); I. El-Fayoumi and I. Jones, Plasma Sources Sci. Technol. 6, 201 (1997); I. El-Fayoumi and I. Jones, Plasma Sources Sci. Technol. 7, 179 ([0076] 1998); E. Jaeger, L. Berry, J. Tolliver, and D. Batchelor, Phys. Plasmas 2, 2597 (1995); M. Kushner, W. Collison, M. Grapperhaus, J. Holland, and M. Barnes, J. Appl. Phys. 80, 1337 (1996); A. Lamm, J. Vac. Sci. Technol. A 15, 2615 (1997); Y. Wu and M. Lieberman, Plasma Sources Sci. Technol. 9, 210 (2000); M. Khater and L. Overzet, Plasma Sources Sci. Technol. 9, 545 (2000); M. Hopkins and W. Graham, Rev. Sci. Instrum. 57, 2210 (1986); V. Godyak, R. Piejak, and B. Alexandrovich, Plasma Sources Sci. Technol. 4, 332 (1995); S. Shinohara, S. Takechi, and Y. Kawai, Jpn. J. Appl. Phys. 35, Part 1, 4503 (1996); M. Khater and L. Overzet, J. Vac. Sci. Technol A 19, 785 (2001); Grill, Cold Plasma in Materials Fabrication (1994); Chapman, Glow Discharge Processes; Coburn, Plasma etching and reactive ion etching (1982); Handbook of Plasma Processing Technology (ed. Rossnagel); Lieberman, Principles of Plasma Discharges and Materials Processing (1994); PLASMA PROCESSING (ed. Dieleman et al. 1982); and Plasma Etching (Manos and Flamm, 1989). All of these publications are hereby incorporated by reference.
  • None of the description in the present application should be read as implying that any particular element, step, or function is an essential element which must be included in the claim scope: THE SCOPE OF PATENTED SUBJECT MATTER IS DEFINED ONLY BY THE ALLOWED CLAIMS. Moreover, none of these claims are intended to invoke paragraph six of 35 [0077] USC section 112 unless the exact words “means for” are followed by a participle.

Claims (44)

What is claimed is:
1. A method for plasma processing, comprising the actions of:
a) driving a coil with an RF power source to thereby initiate a glow discharge in a process chamber, using capacitive coupling;
b) and thereafter inductively coupling power into said glow discharge from said coil;
wherein the impedance of said coil does not change by more than 3:1 between said steps a) and b).
2. The method of claim 1, wherein said dielectric layer consists of at least one solid body.
3. The method of claim 1, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness, through a Faraday shield, and through a vacuum-sealed dielectric chamber wall.
4. An inductively-coupled-plasma reactor system, comprising:
an RF power source;
a driver coil which is inductively coupled to a process chamber; and
an impedance-matching stage connecting said power source and said coil;
wherein no component of said system provides impedance matching over a range of impedance magnitudes of more than 3:1.
5. The system of claim 4, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness and through a Faraday shield.
6. The system of claim 4, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness, through a Faraday shield, and through a vacuum-sealed dielectric chamber wall.
7. A plasma processing station, comprising:
a chamber; and
a coil which is electromagnetically coupled to said chamber through a Faraday shield and through a nonuniform dielectric layer;
wherein the nonuniformity of said dielectric layer is positioned to increase the net uniformity of plasma generated in said chamber.
8. The station of claim 7, wherein said Faraday shield is also separated from the interior of said chamber by a dielectric vacuum-sealed wall.
9. The station of claim 7, wherein said dielectric layer consists of at least one solid body.
10. The station of claim 7, wherein said Faraday shield includes an aperture under a portion of said coil which does not include any voltage node on said coil.
11. The station of claim 7, wherein said dielectric layer has nonuniform thickness.
12. The station of claim 7, wherein the nonuniformity of said dielectric layer includes cutouts.
13. The station of claim 7, wherein said dielectric layer includes multiple different materials.
14. A method for plasma processing, comprising the actions of:
driving a coil with an RF power source through a matching network to symmetrically energize a plasma which provides an electrical load to said coil,
while stabilizing the input impedance of said coil,
independently of said matching network,
to thereby maintain the input impedance of said coil independent of variations in the conditions of said plasma
and maintain symmetry in the energizing of said plasma independent of variations in the conditions of said plasma.
15. The method of claim 14, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness and through a Faraday shield.
16. A plasma source structure, comprising in combination a Faraday shield and driver coil and dielectric, which are aligned, for a known standing-wave condition of said coil, such that nonuniformity of current magnitude on said coil is compensated by nonuniform geometry of said coil and/or said dielectric and/or said Faraday shield, to provide improved uniformity of power deposition into the plasma.
17. The source structure of claim 16, wherein said coil has an approximately planar geometry.
18. The source structure of claim 16, wherein said coil has a Khater/Overzet/Cherrington geometry.
19. The source structure of claim 16, wherein said dielectric has a nonuniform thickness.
20. The source structure of claim 16, wherein said coil is electromagnetically coupled to said chamber through said dielectric, through said Faraday shield, and through a vacuum-sealed dielectric chamber wall.
21. A method for plasma processing, comprising the actions of:
driving a coil,
which is electromagnetically coupled to a process chamber through a Faraday shield and through a nonuniform dielectric layer,
with RF power,
to thereby energize a glow discharge in the process chamber;
wherein the nonuniform thickness of said dielectric layer is positioned to increase the net uniformity of plasma generated in the chamber.
22. The method of claim 21, wherein said Faraday shield is also separated from the interior of said chamber by a vacuum-sealed dielectric wall.
23. The method of claim 21, wherein said coil has an approximately planar geometry.
24. A plasma processing station, comprising:
a chamber; and
a coil which is electromagnetically coupled to said chamber and is operatively connected to be driven by an RF power supply at a frequency which induces at least one current node on said coil.
25. The station of claim 24, wherein said coil has an approximately planar geometry.
26. The station of claim 24, wherein said coil has a Khater/Overzet/Cherrington geometry.
27. The station of claim 24, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness and through a Faraday shield.
28. A method for plasma processing, comprising the actions of:
driving a coil which is electromagnetically coupled to a process chamber,
with RF power at a frequency which induces at least one current node on said coil,
to thereby energize a glow discharge in the process chamber.
29. The method of claim 28, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness and through a Faraday shield.
30. The method of claim 28, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness, through a Faraday shield, and through a dielectric chamber wall.
31. A method for plasma processing, comprising the actions of:
initiating a glow discharge in a process chamber, using capacitive coupling, through at least one aperture in a Faraday shield, to a coil which is connected to an RF power source;
and thereafter inductively coupling power into said glow discharge from said coil through said Faraday shield, while said glow discharge blocks said capacitive coupling through said aperture.
32. The method of claim 31, wherein said Faraday shield also includes anti-eddy-current cutouts.
33. The method of claim 31, wherein said aperture of said Faraday shield is located under a portion of said coil which does not include any voltage node.
34. The method of claim 31, wherein said coil has an approximately Khater/Overzet/Cherrington geometry.
35. The method of claim 31, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness and also through said Faraday shield.
36. The method of claim 31, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness, through said Faraday shield, and through a vacuum-sealed dielectric chamber wall.
37. A method for pulsed plasma processing, comprising the actions of, at each pulse:
igniting a plasma in a chamber, using capacitive coupling, through at least one aperture in a Faraday shield, to a coil which is connected to an RF power source;
and thereafter inductively driving said plasma using said coil, while said plasma blocks said capacitive coupling through said aperture.
38. The method of claim 37, further comprising the action, after each pulse, of allowing a delay which is longer than the free electron lifetime before repeating said action a).
39. The method of claim 37, wherein said Faraday shield also includes anti-eddy-current cutouts.
40. The method of claim 37, wherein said Faraday shield includes an aperture under a portion of said coil which does not include any voltage node.
41. The method of claim 37, wherein said coil has an approximately Khater/Overzet/Cherrington geometry.
42. An inductively-coupled-plasma reactor system, comprising:
an RF power source;
an impedance-stabilized driver coil which is inductively coupled to a process chamber through a Faraday shield, and connected to receive pulsed power from said RF power source; and
an automatic impedance-matching stage, connecting said power source and said coil, which has a response time slower than the time between pulses of said pulsed power.
43. The system of claim 42, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness and through a Faraday shield.
44. The system of claim 42, wherein said coil is electromagnetically coupled to said chamber through a dielectric layer of nonuniform thickness, through a Faraday shield, and through a vacuum-sealed dielectric chamber wall.
US09/878,156 2000-08-25 2001-06-08 Transmission line based inductively coupled plasma source with stable impedance Expired - Fee Related US6459066B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US09/878,156 US6459066B1 (en) 2000-08-25 2001-06-08 Transmission line based inductively coupled plasma source with stable impedance
AU2001286661A AU2001286661A1 (en) 2000-08-25 2001-08-23 Transmission line based inductively coupled plasma source with stable impedance
PCT/US2001/026326 WO2002019387A2 (en) 2000-08-25 2001-08-23 Transmission line based inductively coupled plasma source with stable impedance
TW090120894A TW536437B (en) 2000-08-25 2001-08-24 Transmission line based inductively coupled plasma source with stable impedance

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22780400P 2000-08-25 2000-08-25
US09/878,156 US6459066B1 (en) 2000-08-25 2001-06-08 Transmission line based inductively coupled plasma source with stable impedance

Publications (2)

Publication Number Publication Date
US20020023899A1 true US20020023899A1 (en) 2002-02-28
US6459066B1 US6459066B1 (en) 2002-10-01

Family

ID=26921776

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/878,156 Expired - Fee Related US6459066B1 (en) 2000-08-25 2001-06-08 Transmission line based inductively coupled plasma source with stable impedance

Country Status (4)

Country Link
US (1) US6459066B1 (en)
AU (1) AU2001286661A1 (en)
TW (1) TW536437B (en)
WO (1) WO2002019387A2 (en)

Cited By (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050017201A1 (en) * 2003-07-14 2005-01-27 Gi-Chung Kwon Apparatus using hybrid coupled plasma
US20080241419A1 (en) * 2007-04-02 2008-10-02 Thai Cheng Chua Device that enables plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US7459899B2 (en) 2005-11-21 2008-12-02 Thermo Fisher Scientific Inc. Inductively-coupled RF power source
EP2053631A1 (en) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Method and device for plasma treatment of moving substrates
US20090159423A1 (en) * 2007-12-25 2009-06-25 Applied Materials, Inc. Asymmetrical RF Drive for Electrode of Plasma Chamber
US20100206483A1 (en) * 2009-02-13 2010-08-19 Sorensen Carl A RF Bus and RF Return Bus for Plasma Chamber Electrode
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
US20110095689A1 (en) * 2009-10-27 2011-04-28 Tyco Healthcare Group Lp Inductively-Coupled Plasma Device
US20110139751A1 (en) * 2008-05-30 2011-06-16 Colorado State Univeristy Research Foundation Plasma-based chemical source device and method of use thereof
US20110140607A1 (en) * 2008-05-30 2011-06-16 Colorado State University Research Foundation System, method and apparatus for generating plasma
US20120120395A1 (en) * 2010-11-12 2012-05-17 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
WO2012099548A1 (en) 2011-01-20 2012-07-26 Institut ''jožef Stefan'' Device for high-frequency gas plasma excitation
US20120261587A1 (en) * 2009-12-30 2012-10-18 Fei Company Encapsulation of Electrodes in Solid Media for use in conjunction with Fluid High Voltage Isolation
US20130047923A1 (en) * 2011-08-24 2013-02-28 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, and plasma generating device
US20130160949A1 (en) * 2011-12-21 2013-06-27 Hitachi High-Technologies Corporation Plasma processing apparatus
US20130196510A1 (en) * 2003-04-01 2013-08-01 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and cvd process uniformity
CN103311084A (en) * 2012-03-13 2013-09-18 中微半导体设备(上海)有限公司 Power supplying system for adjusting distribution of electric field of plasma processing cavity
JP2014500574A (en) * 2010-10-20 2014-01-09 ラム リサーチ コーポレーション Method and apparatus for plasma ignition and maintenance
US8642974B2 (en) 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
WO2014110237A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Inductively coupled plasma source
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US20150118415A1 (en) * 2013-10-25 2015-04-30 Tokyo Electron Limited Plasma processing apparatus and method of performing plasma process
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
JP2015092588A (en) * 2014-12-09 2015-05-14 株式会社日立ハイテクノロジーズ Plasma processing apparatus
US20160049279A1 (en) * 2014-08-14 2016-02-18 Allied Techfinders Co., Ltd. Plasma device
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
WO2016060801A1 (en) * 2014-10-14 2016-04-21 Applied Materials, Inc Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20160118229A1 (en) * 2014-10-22 2016-04-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
JP2016167602A (en) * 2016-03-23 2016-09-15 株式会社日立ハイテクノロジーズ Plasma processing apparatus
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
TWI596670B (en) * 2012-02-27 2017-08-21 蘭姆研究公司 Hybrid plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9818584B2 (en) 2011-10-19 2017-11-14 Fei Company Internal split faraday shield for a plasma source
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
EP3261111A1 (en) 2016-04-27 2017-12-27 National Center For Scientific Research "Demokritos" Variable faraday shield for a substrate holder, a clamping ring, or an electrode, or their combination in a plasma reactor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107630207A (en) * 2016-07-18 2018-01-26 北京北方华创微电子装备有限公司 Plasma starter method and apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US20180358206A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10375812B2 (en) * 2012-03-30 2019-08-06 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface-wave plasma (SWP) processing method and apparatus
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
CN110491760A (en) * 2019-08-23 2019-11-22 江苏鲁汶仪器有限公司 A kind of faraday's cleaning device and plasma process system
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
WO2021113387A1 (en) * 2019-12-02 2021-06-10 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN113533308A (en) * 2021-06-15 2021-10-22 杭州谱育科技发展有限公司 Device and method for detecting elements in radioactive sample
CN113728413A (en) * 2019-03-05 2021-11-30 先进工程解决方案全球控股私人有限公司 Single turn and laminated wall inductively coupled plasma source
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
CN114173464A (en) * 2021-11-10 2022-03-11 中国科学院上海天文台 System for preparing hydrogen plasma of hydrogen atom frequency standard
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN114242554A (en) * 2021-12-20 2022-03-25 北京北方华创微电子装备有限公司 Semiconductor process equipment and impedance compensation method in radio frequency loop
US20220123786A1 (en) * 2008-05-07 2022-04-21 Mojo Mobility, Inc. Contextually aware charging of mobile devices
US20220122809A1 (en) * 2020-10-20 2022-04-21 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11569685B2 (en) 2006-01-31 2023-01-31 Mojo Mobility Inc. System and method for inductive charging of portable devices
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US7678705B2 (en) * 2001-07-05 2010-03-16 Tegal Corporation Plasma semiconductor processing system and method
JP3983557B2 (en) * 2002-01-29 2007-09-26 富士通株式会社 Inductively coupled plasma processing equipment
US7089171B2 (en) * 2002-10-24 2006-08-08 International Business Machines Corporation Method for characterizing the accuracy of a simulated electrical circuit model
US20040182319A1 (en) * 2003-03-18 2004-09-23 Harqkyun Kim Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes
US7871490B2 (en) * 2003-03-18 2011-01-18 Top Engineering Co., Ltd. Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
JP4483231B2 (en) * 2003-08-27 2010-06-16 ソニー株式会社 Method for manufacturing magnetic memory device
USH2212H1 (en) * 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
US7771562B2 (en) * 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
US7426900B2 (en) * 2003-11-19 2008-09-23 Tokyo Electron Limited Integrated electrostatic inductive coupling for plasma processing
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050194099A1 (en) * 2004-03-03 2005-09-08 Jewett Russell F.Jr. Inductively coupled plasma source using induced eddy currents
US7309842B1 (en) * 2004-03-19 2007-12-18 Verionix Incorporated Shielded monolithic microplasma source for prevention of continuous thin film formation
US7570028B2 (en) * 2007-04-26 2009-08-04 Advanced Energy Industries, Inc. Method and apparatus for modifying interactions between an electrical generator and a nonlinear load
TWI383712B (en) * 2007-05-22 2013-01-21 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
TWI423737B (en) * 2007-05-22 2014-01-11 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5576738B2 (en) * 2010-07-30 2014-08-20 株式会社東芝 Plasma processing apparatus and plasma processing method
CN104637767B (en) * 2013-11-15 2017-02-15 中微半导体设备(上海)有限公司 Inductance coil and inductance coupling plasma processing device
CN104684235B (en) * 2013-11-28 2017-07-07 中微半导体设备(上海)有限公司 A kind of inductance coil group and inductance coupling plasma processing device
CN110504149B (en) 2018-05-17 2022-04-22 北京北方华创微电子装备有限公司 Pulse modulation system and method of radio frequency power supply

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747922A (en) 1986-03-25 1988-05-31 The United States Of America As Represented By The United States Department Of Energy Confined ion beam sputtering device and method
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
EP0574100B1 (en) 1992-04-16 1999-05-12 Mitsubishi Jukogyo Kabushiki Kaisha Plasma CVD method and apparatus therefor
JP2941572B2 (en) 1992-08-11 1999-08-25 三菱電機株式会社 Plasma etching apparatus and method for manufacturing semiconductor device
US5580429A (en) 1992-08-25 1996-12-03 Northeastern University Method for the deposition and modification of thin films using a combination of vacuum arcs and plasma immersion ion implantation
US5330800A (en) 1992-11-04 1994-07-19 Hughes Aircraft Company High impedance plasma ion implantation method and apparatus
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5619103A (en) 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5580419A (en) 1994-03-23 1996-12-03 Trw Inc. Process of making semiconductor device using focused ion beam for resistless in situ etching, deposition, and nucleation
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5554853A (en) 1995-03-10 1996-09-10 Krytek Corporation Producing ion beams suitable for ion implantation and improved ion implantation apparatus and techniques
US5728261A (en) 1995-05-26 1998-03-17 University Of Houston Magnetically enhanced radio frequency reactive ion etching method and apparatus
US5650032A (en) 1995-06-06 1997-07-22 International Business Machines Corporation Apparatus for producing an inductive plasma for plasma processes
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5783102A (en) 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5868897A (en) 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6028285A (en) 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition

Cited By (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130196510A1 (en) * 2003-04-01 2013-08-01 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and cvd process uniformity
US7442273B2 (en) * 2003-07-14 2008-10-28 Jusung Engineering Co., Ltd. Apparatus using hybrid coupled plasma
US20050017201A1 (en) * 2003-07-14 2005-01-27 Gi-Chung Kwon Apparatus using hybrid coupled plasma
US7459899B2 (en) 2005-11-21 2008-12-02 Thermo Fisher Scientific Inc. Inductively-coupled RF power source
US11569685B2 (en) 2006-01-31 2023-01-31 Mojo Mobility Inc. System and method for inductive charging of portable devices
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US20080241419A1 (en) * 2007-04-02 2008-10-02 Thai Cheng Chua Device that enables plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
WO2009053235A1 (en) * 2007-10-22 2009-04-30 Industrial Plasma Services & Technologies - Ipst Gmbh Method and device for the plasma treatment of running metal substrates
US20100308022A1 (en) * 2007-10-22 2010-12-09 Industrial Plasma Services & Technologies-Ipst Gmbh Method and device for the plasma treatment of running metal substrates
EP2053631A1 (en) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Method and device for plasma treatment of moving substrates
US8835797B2 (en) * 2007-10-22 2014-09-16 Advanced Galvanisation Ag Method and device for the plasma treatment of running metal substrates
US20090159423A1 (en) * 2007-12-25 2009-06-25 Applied Materials, Inc. Asymmetrical RF Drive for Electrode of Plasma Chamber
US8343592B2 (en) 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
US11606119B2 (en) * 2008-05-07 2023-03-14 Mojo Mobility Inc. Metal layer for inductive power transfer
US20220123786A1 (en) * 2008-05-07 2022-04-21 Mojo Mobility, Inc. Contextually aware charging of mobile devices
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US20110140607A1 (en) * 2008-05-30 2011-06-16 Colorado State University Research Foundation System, method and apparatus for generating plasma
US20110139751A1 (en) * 2008-05-30 2011-06-16 Colorado State Univeristy Research Foundation Plasma-based chemical source device and method of use thereof
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
US9287091B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation System and methods for plasma application
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8992723B2 (en) 2009-02-13 2015-03-31 Applied Material, Inc. RF bus and RF return bus for plasma chamber electrode
US20100206483A1 (en) * 2009-02-13 2010-08-19 Sorensen Carl A RF Bus and RF Return Bus for Plasma Chamber Electrode
US9039864B2 (en) 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
US20110126405A1 (en) * 2009-09-29 2011-06-02 Jonghoon Baek Off-Center Ground Return for RF-Powered Showerhead
WO2011041332A3 (en) * 2009-09-29 2011-07-14 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
KR200476124Y1 (en) 2009-09-29 2015-01-30 어플라이드 머티어리얼스, 인코포레이티드 Offcenter ground return for rfpowered showerhead
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
US20110095689A1 (en) * 2009-10-27 2011-04-28 Tyco Healthcare Group Lp Inductively-Coupled Plasma Device
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8878434B2 (en) 2009-10-27 2014-11-04 Covidien Lp Inductively-coupled plasma device
US8642974B2 (en) 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US20120261587A1 (en) * 2009-12-30 2012-10-18 Fei Company Encapsulation of Electrodes in Solid Media for use in conjunction with Fluid High Voltage Isolation
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
JP2014500574A (en) * 2010-10-20 2014-01-09 ラム リサーチ コーポレーション Method and apparatus for plasma ignition and maintenance
JP2017054824A (en) * 2010-10-20 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation Plasma ignition and sustaining methods and apparatuses
US10395901B2 (en) 2010-10-20 2019-08-27 Lam Research Corporation Plasma ignition and sustaining apparatus
US20120120395A1 (en) * 2010-11-12 2012-05-17 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
US9025143B2 (en) * 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE112012000015B4 (en) * 2011-01-20 2016-04-21 Institut "Jožef Stefan" Device for exciting a high-frequency gas plasma
DE112012000015T5 (en) 2011-01-20 2013-05-08 Institut "Jožef Stefan" Device for exciting a high-frequency gas plasma
WO2012099548A1 (en) 2011-01-20 2012-07-26 Institut ''jožef Stefan'' Device for high-frequency gas plasma excitation
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
WO2012177876A3 (en) * 2011-06-21 2013-02-28 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US9591735B2 (en) 2011-06-21 2017-03-07 Fei Company High voltage isolation of an inductively coupled plasma ion source with a liquid that is not actively pumped
CN103621187A (en) * 2011-06-21 2014-03-05 Fei公司 Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US20130047923A1 (en) * 2011-08-24 2013-02-28 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, and plasma generating device
US9818584B2 (en) 2011-10-19 2017-11-14 Fei Company Internal split faraday shield for a plasma source
US20130160949A1 (en) * 2011-12-21 2013-06-27 Hitachi High-Technologies Corporation Plasma processing apparatus
TWI596670B (en) * 2012-02-27 2017-08-21 蘭姆研究公司 Hybrid plasma processing systems
CN103311084A (en) * 2012-03-13 2013-09-18 中微半导体设备(上海)有限公司 Power supplying system for adjusting distribution of electric field of plasma processing cavity
US10375812B2 (en) * 2012-03-30 2019-08-06 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface-wave plasma (SWP) processing method and apparatus
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014110237A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Inductively coupled plasma source
US10170278B2 (en) 2013-01-11 2019-01-01 Applied Materials, Inc. Inductively coupled plasma source
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US10524848B2 (en) 2013-03-06 2020-01-07 Covidien Lp System and method for sinus surgery
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150118415A1 (en) * 2013-10-25 2015-04-30 Tokyo Electron Limited Plasma processing apparatus and method of performing plasma process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US20160049279A1 (en) * 2014-08-14 2016-02-18 Allied Techfinders Co., Ltd. Plasma device
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
WO2016060801A1 (en) * 2014-10-14 2016-04-21 Applied Materials, Inc Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20160118229A1 (en) * 2014-10-22 2016-04-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP2015092588A (en) * 2014-12-09 2015-05-14 株式会社日立ハイテクノロジーズ Plasma processing apparatus
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP2016167602A (en) * 2016-03-23 2016-09-15 株式会社日立ハイテクノロジーズ Plasma processing apparatus
EP3261111A1 (en) 2016-04-27 2017-12-27 National Center For Scientific Research "Demokritos" Variable faraday shield for a substrate holder, a clamping ring, or an electrode, or their combination in a plasma reactor
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107630207A (en) * 2016-07-18 2018-01-26 北京北方华创微电子装备有限公司 Plasma starter method and apparatus
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
KR102360608B1 (en) * 2017-06-09 2022-02-09 매슨 테크놀로지 인크 Plasma processing apparatus
US20180358206A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
KR20210072126A (en) * 2017-06-09 2021-06-16 매슨 테크놀로지 인크 Plasma processing apparatus
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
EP3935660A4 (en) * 2019-03-05 2022-11-09 AES Global Holdings, Pte. Ltd. Single-turn and laminated-wall inductively coupled plasma sources
CN113728413A (en) * 2019-03-05 2021-11-30 先进工程解决方案全球控股私人有限公司 Single turn and laminated wall inductively coupled plasma source
CN110491760A (en) * 2019-08-23 2019-11-22 江苏鲁汶仪器有限公司 A kind of faraday's cleaning device and plasma process system
WO2021113387A1 (en) * 2019-12-02 2021-06-10 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US20220122809A1 (en) * 2020-10-20 2022-04-21 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
US11881380B2 (en) * 2020-10-20 2024-01-23 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
CN113533308A (en) * 2021-06-15 2021-10-22 杭州谱育科技发展有限公司 Device and method for detecting elements in radioactive sample
CN114173464A (en) * 2021-11-10 2022-03-11 中国科学院上海天文台 System for preparing hydrogen plasma of hydrogen atom frequency standard
CN114242554A (en) * 2021-12-20 2022-03-25 北京北方华创微电子装备有限公司 Semiconductor process equipment and impedance compensation method in radio frequency loop

Also Published As

Publication number Publication date
WO2002019387A2 (en) 2002-03-07
AU2001286661A1 (en) 2002-03-13
WO2002019387A3 (en) 2003-01-30
TW536437B (en) 2003-06-11
US6459066B1 (en) 2002-10-01

Similar Documents

Publication Publication Date Title
US6459066B1 (en) Transmission line based inductively coupled plasma source with stable impedance
US6756737B2 (en) Plasma processing apparatus and method
JP4482308B2 (en) Plasma processing apparatus and plasma processing method
Stewart et al. Plasma uniformity in high-density inductively coupled plasma tools
KR100652982B1 (en) Plasma processing method and apparatus
JP4584565B2 (en) Plasma processing apparatus and plasma processing method
US6164241A (en) Multiple coil antenna for inductively-coupled plasma generation systems
KR100292439B1 (en) Plasma generator and surface treatment apparatus using this plasma generator
US6744213B2 (en) Antenna for producing uniform process rates
KR100748050B1 (en) Plasma processor with coil responsive to variable amplitude rf envelope
EP0520519A1 (en) Plasma processing reactor and process for plasma etching
US20040011465A1 (en) Plasma Processing apparatus
EP1058489A2 (en) Method and apparatus for generating a plasma
US9502219B2 (en) Plasma processing method
US6518705B2 (en) Method and apparatus for producing uniform process rates
WO2005093780A2 (en) Rf plasma source with conductive top section
KR101872053B1 (en) Plasma processing apparatus
KR19980025047A (en) Plasma processing equipment
KR20040020912A (en) Plasma processor
KR0170387B1 (en) High-frequency semiconductor wafer processing method using a negative self-bias
Kaeppelin et al. Different operational regimes in a helicon plasma source
US6097157A (en) System for ion energy control during plasma processing
US5998931A (en) Method and apparatus for controlling electrostatic coupling to plasmas
JP2000315598A (en) Plasma processing device
Vinogradov Transmission line balanced inductive plasma sources

Legal Events

Date Code Title Description
AS Assignment

Owner name: BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KHATER, MARWAN H.;OVERZET, LAWRENCE J.;REEL/FRAME:012179/0680;SIGNING DATES FROM 20010824 TO 20010828

FEPP Fee payment procedure

Free format text: PAT HOLDER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: LTOS); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
FPAY Fee payment

Year of fee payment: 8

CC Certificate of correction
REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20141001