US20020028544A1 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
US20020028544A1
US20020028544A1 US09/916,329 US91632901A US2002028544A1 US 20020028544 A1 US20020028544 A1 US 20020028544A1 US 91632901 A US91632901 A US 91632901A US 2002028544 A1 US2002028544 A1 US 2002028544A1
Authority
US
United States
Prior art keywords
film
conductive film
semiconductor layer
region
channel tft
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/916,329
Other versions
US6613620B2 (en
Inventor
Etsuko Fujimoto
Satoshi Murakami
Shunpei Yamazaki
Shingo Eguchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Assigned to SEMICONDUCTOR ENERGY LABORATORY CO., LTD. reassignment SEMICONDUCTOR ENERGY LABORATORY CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YAMAZAKI, SHUNPEI, EGUCHI, SHINGO, FUJIMOTO, ETSUKO, MURAKAMI, SATOSHI
Publication of US20020028544A1 publication Critical patent/US20020028544A1/en
Priority to US10/456,608 priority Critical patent/US6707068B2/en
Application granted granted Critical
Publication of US6613620B2 publication Critical patent/US6613620B2/en
Priority to US10/793,031 priority patent/US6828586B2/en
Priority to US10/981,608 priority patent/US7173283B2/en
Priority to US11/670,460 priority patent/US7511303B2/en
Priority to US12/406,140 priority patent/US7800115B2/en
Priority to US12/878,221 priority patent/US8134157B2/en
Priority to US13/396,751 priority patent/US8278160B2/en
Priority to US13/613,215 priority patent/US8659025B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L29/78624Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile the source and the drain regions being asymmetrical
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L29/78627Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile with a significant overlap between the lightly doped drain and the gate electrode, e.g. GOLDD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L2029/7863Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile with an LDD consisting of more than one lightly doped zone or having a non-homogeneous dopant distribution, e.g. graded LDD

Definitions

  • the present invention relates to a semiconductor device having a circuit comprising a thin film transistor (TFT) that uses a crystalline semiconductor film formed on a substrate (a liquid crystal display device, in particular), and to a method of manufacturing the semiconductor device.
  • TFT thin film transistor
  • the semiconductor device manufactured in accordance with the present invention is specifically a liquid crystal display device represented by an active matrix liquid crystal display device in which a pixel portion and a driver circuit to be placed in the periphery of the pixel portion are formed on the same substrate.
  • the invention also relates to electronic appliances that employ the display device as a display unit.
  • TFTs with a crystalline semiconductor film (typically, a polysilicon film) on an insulating surface as a semiconductor element are used for various integrated circuits at present.
  • the TFTs are used most often as switching elements of a display device.
  • the TFTs having, as an active layer (a semiconductor layer including a channel formation region, a source region, and a drain region), a crystalline semiconductor film, which provides higher mobility than an amorphous semiconductor film, are high in driving performance, and hence used also as elements of a driver circuit. Accordingly, in an active matrix liquid crystal display device, for example, an image circuit for displaying an image and a driver circuit for controlling the image circuit are formed on a single substrate.
  • an active matrix liquid crystal display device integrated circuits such as a pixel circuit for displaying an image, a shift register circuit based on a CMOS circuit, a level shifter circuit, a buffer circuit, and a sampling circuit are all arranged on a single substrate while forming different functional blocks.
  • a liquid crystal display device as above has excellent features including being thin, small-sized, light-weight, and low in power consumption. For that reason, the liquid crystal display device is now used in various scenes; to name a few, as a display unit of a personal computer for space saving and as a display unit of a portable information equipment for obtaining the latest information anytime, any place.
  • a pixel portion of the liquid crystal display device has a TFT functioning as a switching element (also called a pixel TFT) and a storage capacitor, and is driven by applying a voltage to a liquid crystal.
  • the liquid crystal has to be driven with an alternate current, and a method called frame inversion driving is often employed.
  • the TFT is required to have a characteristic of sufficiently low OFF current (Ioff: the value of drain current flowing when the TFT is in off-operation). However, OFF current is often high when the TFT is formed of a polysilicon film.
  • LDD structure with a low concentration impurity region (lightly doped drain) (a structure in which an impurity region having a low concentration is provided between a channel formation region and a source region or a drain region doped with a high concentration of impurity element).
  • the ON current value has to be sufficiently high (Ion: the value of drain current flowing when the TFT is in on-operation). Degradation of the ON current value due to hot carriers is effectively prevented by a known structure called the GOLD (gate-drain overlapped LDD) structure in which a gate electrode partially overlaps an LDD region (with a gate insulating film interposed therebetween).
  • GOLD gate-drain overlapped LDD
  • the present invention has been made in view of the above, and an object of the present invention is therefore to provide a semiconductor device, typically, an active matrix liquid crystal display device, in which OFF current of a TFT in a pixel portion is reduced and the reliability of a TFT in a driver circuit is improved (i.e., degradation due to hot carriers is reduced) without increasing the number of masks.
  • a semiconductor device typically, an active matrix liquid crystal display device
  • a liquid crystal display device is low in light utilization efficiency, and front light or back light is often used during display in order to improve visibility.
  • the use of front light or back light raises power consumption of its display portion, canceling low power consumption of the liquid crystal display device itself. Accordingly, another object of the present invention is to provide a display device of excellent visibility without increasing the number of manufacture steps.
  • a semiconductor device having a TFT that is formed in a pixel portion and an n-channel TFT and a p-channel TFT that constitute a driver circuit provided in the periphery of the pixel portion, all of the TFTs being formed on the same substrate, characterized in that the n-channel TFT has a second concentration impurity region that partially overlaps a gate electrode, and that the p-channel TFT and the TFT formed in the pixel portion respectively have second concentration impurity regions that do not overlap gate electrodes.
  • a semiconductor device having a TFT that is formed in a pixel portion and an n-channel TFT and a p-channel TFT that constitute a driver circuit provided in the periphery of the pixel portion, all of the TFTs being formed on the same substrate, characterized in that the n-channel TFT has a gate electrode composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of a gate insulating film, the second conductive film contacting the top face of the first conductive film, the first conductive film being longer than the second conductive film in the channel length direction, the first conductive film partially overlapping a second concentration impurity region, and characterized in that the p-channel TFT and the TFT formed in the pixel portion respectively have gate electrodes that do not overlap second concentration impurity regions, the gate electrodes being composed of the first conductive film that contacts the top face of the gate insulating film and the second conductive film that contacts the
  • a semiconductor device having a driver circuit that is composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween; the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer
  • a semiconductor device having a driver circuit that is composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween; the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer
  • a semiconductor device having a driver circuit and a pixel portion, the driver circuit being composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, the pixel portion including a TFT and a storage capacitor, characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween; the first p-channel TFT has a semiconductor layer, a gate insulating
  • a semiconductor device having a driver circuit that is composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT; characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region has an L OV region and an L off region, and the L OV region overlaps the first conductive film with the gate insulating film interposed therebetween whereas the L off region does not overlap the first conductive film; and the first p-channel TFT and
  • the semiconductor device is characterized in that the gate electrodes of the n-channel TFT, the p-channel TFTs, and the TFT formed in the pixel portion are formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or formed of an alloy material or a compound material containing any element in the group above as its main ingredient.
  • the semiconductor device is characterized in that a plurality of protrusions are formed in the pixel portion; the TFT formed in the pixel portion is electrically connected to a pixel electrode that is uneven; and the uneven portion of the pixel electrode has a radius of curvature of 0.1 to 0.4 ⁇ m, and the uneven portion of the pixel electrode is 0.3 to 3 ⁇ m tall.
  • FIGS. 1A to 1 C are diagrams showing an embodiment mode of the present invention.
  • FIGS. 2A to 2 C are diagrams showing the embodiment mode of the present invention.
  • FIGS. 3A to 3 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 4A to 4 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 5A and 5B are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIG. 6 is a diagram showing the structure of a semiconductor device according to the present invention.
  • FIGS. 7A to 7 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 8A to 8 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 9A to 9 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIG. 10 is a diagram showing the top view of a semiconductor device according to the present invention.
  • FIG. 11 is a diagram showing a sectional view of a semiconductor device according to the present invention.
  • FIGS. 12A and 12B are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 13A and 13B are diagrams showing a process of manufacturing a semiconductor device according to -the present invention.
  • FIGS. 14A to 14 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 15A to 15 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 16A to 16 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIG. 17 is a diagram showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 16A to 18 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 19A to 19 C a re diagram s showing a process of manufacturing a semiconductor device according to the present invention
  • FIGS. 20A to 20 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 21A to 21 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIG. 22 is a diagram showing a sectional view of a semiconductor device according to the present invention.
  • FIG. 23 is a circuit block diagram of an active matrix liquid crystal display device
  • FIG. 24 is a circuit block diagram of an active matrix liquid crystal display device
  • FIGS. 25A to 25 D are diagrams showing an exemplary method of crystallizing a semiconductor film
  • FIGS. 26A to 26 D are diagrams showing an exemplary method of crystallizing a semiconductor film
  • FIGS. 27A and 27B are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 28A to 28 C are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIGS. 29A and 29B are diagrams showing a process of manufacturing a semiconductor device according to the present invention.
  • FIG. 30 is a top view of a semiconductor device according to the present invention.
  • FIGS. 31A and 31B are diagrams showing the circuit structure of an EEMOS circuit and an EDMOS circuit, respectively;
  • FIG. 32 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention.
  • FIG. 33 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention.
  • FIGS. 34A to 34 F are diagrams showing examples of an electronic appliance
  • FIGS. 35A to 35 D are diagrams showing examples of an electronic appliance
  • FIGS. 36A to 36 C are diagrams showing examples of an electronic appliance
  • FIG. 37 is a graph showing the Id-Vg curve of a TFT manufactured in accordance with the present invention.
  • FIG. 38 is a graph showing the Id-Vg curve of a TFT manufactured in accordance with the present invention.
  • FIG. 39 is a diagram showing a sectional view of an inverter circuit
  • FIG. 40 is a graph showing the Id-Vg curve of a TFT manufactured in accordance with the present invention.
  • FIGS. 41A and 41B are graphs showing the Id-Vg curve of TFTs manufactured in accordance with the present invention.
  • FIG. 42 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention.
  • FIG. 43 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention.
  • FIG. 44 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention.
  • FIGS. 45A and 45B are graphs showing results of measuring the reliability of TFTs manufactured in accordance with the present invention.
  • FIG. 46 is a diagram showing an embodiment of the present invention.
  • FIGS. 47A and 47B are respectively a top view and a sectional view of an embodiment of the present invention.
  • a base insulating film 11 is formed from an insulating film such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film.
  • the base insulating film 11 in this embodiment mode has a two-layer structure 11 a and 11 b .
  • the base insulating film may have a single layer or three or more layers of the insulating films given in the above.
  • an amorphous semiconductor film is formed on the base insulating film 11 to a thickness of 30 to 60 nm.
  • the amorphous semiconductor film is then subjected to a known crystallization treatment (such as laser crystallization, thermal crystallization, or thermal crystallization using nickel or other catalysts) to form a crystalline semiconductor film.
  • the obtained crystalline semiconductor film is patterned into a desired shape to form semiconductor layers 12 to 14 .
  • the layers may be doped with an impurity element imparting p-type conductivity in order to control the threshold of an n-channel TFT:.
  • impurity elements that can give a semiconductor the p type conductivity are elements belonging to Group 13 in the periodic table, such as boron (B), aluminum (Al), and gallium (Ga).
  • a gate insulating film 15 is formed next to cover the island-like semiconductor layers 12 to 14 .
  • the gate insulating film 15 is formed by plasma CVD or sputtering from an insulating film containing silicon to have a thickness of 40 to 150 nm.
  • the gate insulating film may be a single layer or a laminate of an insulating film containing silicon.
  • the conductive films may be formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or formed of an alloy material or a compound material containing any element in the group above as its main ingredient.
  • a semiconductor film typically a polycrystalline silicon film, doped with an impurity element such as phosphorus may be used.
  • resist masks 17 to 19 are formed by photolithography and a first etching treatment is conducted by ICP (inductively coupled plasma) etching or other etching methods to form an electrode and a wiring line.
  • the W films 20 b to 22 b are first etched under first etching conditions to taper the first conductive film around the edge, and then the W films 20 b to 22 b and the TaN films 20 a to 22 a are simultaneously etched under second etching conditions to form first shape conductive layers 20 to 22 .
  • Denoted by 26 is a part of the gate insulating film, and regions thereof that are not covered with the first shape conductive layers 20 to 22 are also etched and thinned.
  • a first doping treatment is conducted, without removing the resist masks, to dope the semiconductor layers with an impurity element imparting n-type conductivity. Ion doping or ion implantation is employed for the doping treatment.
  • the first shape conductive layers 20 to 22 serve as masks against the impurity element imparting n-type conductivity to form first concentration impurity regions 23 to 25 in a self-aligning manner.
  • Second shape second conductive films 27 b to 29 b are formed by anisotropic etching.
  • the first conductive layers and the gate insulating film are also etched slightly to form second shape first conductive films 27 a to 29 a .
  • second shape conductive layers 27 to 29 (the first conductive films 27 a to 29 a and the second conductive films 27 b to 29 b ) and a gate insulating film 39 are formed.
  • a second doping treatment is next conducted without removing the resist masks.
  • the layers are doped with an impurity element imparting n-type conductivity in a dose smaller than in the first doping treatment and at a high acceleration voltage.
  • second concentration impurity regions 33 to 35 and 36 to 38 that are newly formed in the semiconductor layers inside the first concentration impurity regions formed in FIG. 1B.
  • the semiconductor layers under the second shape first conductive films 27 a to 29 a are also doped with the impurity element while using the second shape conductive layers 27 to 29 as masks.
  • third concentration impurity regions 36 to 38 and second concentration impurity regions 33 to 35 are formed.
  • the second concentration impurity regions are placed between the first concentration impurity regions and the third concentration impurity regions ( 33 is between 30 and 36 , 34 is between 31 and 37 , and 35 is between 32 and 38 ).
  • a mask 40 is newly formed from a resist so as to cover the n-channel TFT of a driver circuit portion.
  • a third etching treatment is conducted as shown in FIG. 2A.
  • the first conductive layers of a p-channel TFT and of the TFT in the pixel portion are etched to form third shape conductive layers 41 and 42 .
  • a gate insulating film 43 that is not covered with the mask 40 is slightly etched and thinned.
  • the gate insulating film is etched after the resist mask is removed as shown in FIG. 2B.
  • the conductive layers serve as masks to leave portions of the gate insulating film unetched, thereby forming gate insulating layers 44 to 46 .
  • resist masks 47 and 48 are newly formed to conduct a third doping treatment as shown in FIG. 2B.
  • the semiconductor layer to be an active layer of the p-channel TFT is doped with an impurity element imparting p-type conductivity while using the third shape conductive layer 41 ( 41 a and 41 b ) as a mask against the impurity element.
  • fourth concentration impurity regions 49 to 51 are formed in a self-aligning manner.
  • An n-channel TFT 71 of a driver circuit 73 includes: the third concentration impurity region 36 overlapping with the second shape conductive layer 27 for forming a gate electrode (the region 36 is called a GOLD region in this specification); the second concentration impurity region 33 formed outside the gate electrode (the region 33 is called an LDD region in this specification); and the first concentration impurity region functioning as a source region or a drain region.
  • a reference symbol 72 denotes a p-channel TFT of the driver circuit 73 .
  • a pixel TFT 74 in the pixel portion has the third concentration impurity region 38 and the second concentration impurity region 35 formed outside the gate electrode (the regions 38 and 35 are both called LDD regions in this specification), and has the first concentration impurity region 32 functioning as a source region or a drain region.
  • This embodiment mode describes a method of forming an uneven electrode with projections formed by the same process that is used to form a TFT in a pixel portion.
  • a substrate is prepared by forming an insulating film on a surface of a glass substrate, a quartz substrate, a silicon substrate, a metal substrate, or a stainless steel substrate.
  • a plastic substrate may also be used as long as it has a heat resistance against the process temperature of embodiments.
  • a base insulating film is formed on the substrate and a semiconductor layer is formed on the base insulating film.
  • the projections can have high reproducibility when formed using a photo mask. Therefore it is appropriate to form the projections in accordance with the process of manufacturing a pixel TFT 1203 .
  • An example of forming the projections by layering the semiconductor layer, a gate insulating film, and a conductive film similar to the manufacture of the pixel TFT 1203 is illustrated in FIGS. 3A to 5 B.
  • the method of forming the projections is not particularly limited and a single layer of one of the above films, or a laminate combining the above films may be used.
  • the projections may be a laminate of the semiconductor layer and the insulating film, or a single layer of the conductive film. In other words, a plurality of projections can be formed without increasing the number of steps for manufacturing a semiconductor device.
  • the curvature of the uneven portion of the pixel electrode can be adjusted by selecting the material of the insulating film.
  • the radius of curvature of the uneven portion of the pixel electrode is set to 0.1 to 0.4 ⁇ m (preferably 0.2 to 2 ⁇ m).
  • the insulating film is an organic resin film
  • an appropriate organic resin film has a viscosity of 10 to 1000 cp (preferably 40 to 200 cp) (for instance, a polyimide film or an acrylic resin film), so that the surface of the film shows enough irregularities in accordance with the underlying uneven region.
  • the pixel electrode After forming the uneven interlayer insulating film, the pixel electrode is formed thereon.
  • the surface of the pixel electrode is also irregular due to the uneven insulating film.
  • the uneven portion is 0.3 to 3 ⁇ m tall. With the uneven portion formed on the surface of the pixel electrode, light can effectively be scattered when incident light is reflected as shown in FIG. 6.
  • the projections shown in this embodiment mode are a laminate of the semiconductor layer, the gate insulating film, the first conductive film, and the second conductive film layered in accordance with the process of manufacturing the pixel TFT.
  • the projections are not particularly limited and any layer or film given in the above can form a single layer or a laminate to serve as the projections.
  • the projections having a necessary height can be formed without increasing the number of manufacture steps.
  • One projection is spaced apart from an adjacent projection by 0.1 ⁇ m or more, preferably 1 ⁇ m.
  • the projections desirably vary in size in order to scatter the reflected light better, though no particular limitation is set.
  • the shape and arrangement of the projections may irregular or regular.
  • the projections do not need to be in any particular place as long as they are in a region below the pixel electrode which corresponds to the display region of the pixel portion.
  • An appropriate size of the protrusion when viewed from the above is 100 to 400 ⁇ m 2 , preferably, 25 to 100 ⁇ m 2 .
  • the uneven pixel electrode can be formed without increasing the number of manufacture steps.
  • Embodiments of the present invention will be described with reference to FIGS. 7A to 11 .
  • a detailed description will be given on a method of forming, simultaneously, on the same substrate, a TFT for a pixel portion and TFTs (an n-channel TFT and a p-channel TFT) for a driver circuit that is provided in the periphery of the pixel portion.
  • a substrate 100 may be a glass substrate, a quartz substrate, a ceramic substrate, or the like.
  • a silicon substrate, a metal substrate, or a stainless steel substrate may be used if the surface of the substrate is formed with an insulating film.
  • a plastic substrate having a heat resistance against the process temperature of this embodiment may also be used.
  • a base insulating film 101 is formed on the substrate 100 from an insulating film such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film.
  • the base insulating film 101 in this embodiment has a two-layer structure. However, it may be a single layer of the insulating films given in the above, or a laminate consisting of more than two layers of the above insulating films.
  • the first layer 101 a of the base insulating film 101 is a silicon oxynitride film 101 a formed to a thickness of 50 to 100 nm using as reaction gas SiH 4 , NH 3 , and N 2 O.
  • the second layer 101 b of the base insulating film 101 is a silicon oxynitride film 101 b formed to a thickness of 100 to 150 nm using as reaction gas SiH 4 , and N 2 O.
  • the film 101 b is layered on the film 101 a.
  • An amorphous semiconductor film is formed next on the base insulating film 101 .
  • the thickness of the amorphous semiconductor film is 30 to 60 nm.
  • the amorphous semiconductor film is formed by plasma CVD using SiH 4 gas.
  • the base insulating film and the amorphous semiconductor film can be formed by the same film formation method, and therefore the base insulating film and the amorphous semiconductor film may be formed successively.
  • the amorphous semiconductor film is subjected to a known crystallization treatment (such as laser crystallization, thermal crystallization, or thermal crystallization using nickel or other catalysts) to form a crystalline semiconductor film.
  • a known crystallization treatment such as laser crystallization, thermal crystallization, or thermal crystallization using nickel or other catalysts
  • the obtained crystalline semiconductor film is patterned into a desired shape.
  • a solution containing nickel is retained to the top face of the amorphous silicon film.
  • the film is then subjected to dehydrogenation (at 500° C. for an hour) followed by thermal crystallization (at 550° C. for four hours) and laser annealing treatment for improving crystallinity, whereby a crystalline silicon film is formed.
  • the crystalline silicon film is patterned by photolithography to form semiconductor layers 102 to 106 .
  • the layers may be doped with an impurity element imparting p-type conductivity in order to control the threshold (Vth) of an n-channel TFT.
  • impurity elements that can give a semiconductor the p type conductivity are elements belonging to Group 13 in the periodic table, such as boron (B), aluminum (Al), and gallium (Ga). In this embodiment, boron (B) is used in the doping.
  • a pulse oscillation type or continuous emission type excimer laser, a YAG laser, or a YVO 4 laser may be used.
  • a pulse oscillation type or continuous emission type excimer laser, a YAG laser, or a YVO 4 laser may be used.
  • lasers it is appropriate to use a method in which laser light radiated from a laser oscillator is condensed by an optical system into a linear beam, and is irradiated to the semiconductor film.
  • the conditions of the crystallization may be properly selected by an operator.
  • a gate insulating film 107 is then formed for covering the island-like semiconductor layers 102 to 106 .
  • the gate insulating film 107 is formed of an insulating film containing silicon with a thickness of from 40 to 150 nm by a plasma CVD method or a sputtering method.
  • a single layer or a lamination structure of an insulating film containing other silicon can be used for the gate insulating film.
  • the silicon oxide film When the silicon oxide film is used, it can be formed by a plasma CVD method in which TEOS (tetraethyl orthosilicate) and O 2 are mixed, with a reaction pressure of 40 Pa, a substrate temperature of from 300 to 400° C., and discharged at a high frequency (13.56 MHz) power density of 0.5 to 0.8 W/cm 2 .
  • TEOS tetraethyl orthosilicate
  • a first conductive film (TaN) 108 and a second conductive film (W) 109 are formed into lamination to have a film thickness of 20 to 100 nm and 100 to 400 nm, respectively.
  • the conductive films forming a gate electrode may be formed of an element selected from the group consisting of Ta, W, Ti. Mo, Al, and Cu, or an alloy material or a compound material containing the above element as its main constituent. Further, a semiconductor film typified by a polycrystalline silicon film doped with an impurity element such as phosphorus may be used.
  • any combination may be employed such as a combination in which the first conductive film is formed of tantalum (Ta) and the second conductive film is formed of W, a combination in which the first conductive film is formed of titanium nitride (TaN) and the second conductive film is formed of Al, or a combination in which the first conductive film is formed of tantalum nitride (TaN) and the second conductive film is formed of Cu.
  • masks 110 to 115 made from resist are formed using a photolithography method, and a first etching process is performed in order to form electrodes and wirings.
  • an ICP (inductively coupled plasma) etching method is used, a gas mixture of CF 4 , Cl 2 and O 2 is used as an etching gas, the gas flow rate is set to 25/25/10 sccm, and a plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode at 1 Pa, thereby performing etching.
  • a 150 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), effectively applying a negative self-bias voltage.
  • the W film is etched with the first etching conditions, and a first shape conductive film including the taper portion at the end portion is formed.
  • the first etching conditions are changed into the second etching conditions without removing the masks 110 to 115 made of resist, a gas mixture of CF 4 and Cl 2 is used as an etching gas, the gas flow rate is set to 30/30 sccm, and a plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode at 1 Pa, thereby performing etching for about 30 seconds.
  • a 20 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), effectively applying a negative self-bias voltage.
  • the W film and the TaN film are both etched on the same order with the second etching conditions in which CF 4 and Cl 2 are mixed. Note that, the etching time may be increased by approximately 10 to 20% in order to perform etching without any residue on the gate insulating film.
  • first shape conductive layer is formed to have a tapered shape at the end portion due to the effect of the bias voltage applied to the substrate side by adopting a suitable shape of the masks formed from resist.
  • the angle of the tapered portions is set to 15 to 45°.
  • first shape conductive layers 117 to 122 (first conductive layers 117 a to 122 a and second conductive layers 117 b to 122 b ) are formed by the first etching process.
  • Reference numeral 116 denotes a gate insulating film, and regions of the gate insulating film, which are not covered by the first shape conductive layers 117 to 122 , are made thinner by approximately 20 to 50 nm by etching.
  • a first doping process is performed to add an impurity element for imparting n-type conductivity to the semiconductor layer without removing the mask made of resist (FIG. 7B).
  • Doping may be carried out by an ion doping method or an ion implantation method.
  • the condition of the ion doping method is that a dosage is 1.5 ⁇ 10 15 /cm 2 , and an acceleration voltage is 60 to 100 keV.
  • the impurity element for imparting n-type conductivity an element belonging to group 15 of the periodic table, typically phosphorus (P) or arsenic (As) is used.
  • the conductive layers 117 to 121 become masks to the impurity element imparting n-type conductivity, and the first concentration impurity regions 123 to 127 are formed in a self-aligning manner.
  • the impurity element imparting n-type conductivity in the concentration range of 1 ⁇ 10 20 to 1 ⁇ l0 21 atoms/cm 3 is added to the first concentration impurity regions 123 to 127 .
  • the second etching process is performed without removing the masks made of resist.
  • a gas mixture of CF 4 , Cl 2 and O 2 is used as an etching gas, the gas flow rate is set to 20/20/20 (sccm), and a plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode at 1 Pa, thereby performing etching.
  • a 20 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), effectively applying a self-bias voltage which is lower than that of the first etching process.
  • W film is etched.
  • W film is etched in a different direction to form the conductive films 129 to 134 .
  • Etching reactions in etching the W film and the TaN film with a mixture gas of CF 4 and Cl 2 can be inferred from the kind of radicals or ions generated and the vapor pressure of a reaction product.
  • the vapor pressure of WF 6 which is a fluoride of W
  • WF 6 is extremely high while the rest of them, namely, WCl 5 , TaF 5 , and TaCl 5 , have about the same level of vapor pressure. Therefore the W film and the TaN film are etched similarly with a mixture gas of CF 4 and C 1 2 .
  • the W film whose fluoride has high vapor pressure is etched at an increased etching rate.
  • the etching rate of the TaN film does not increase much when F is increased.
  • the surface of the TaN film is slightly oxidized by addition of O 2 to the mixture gas because TaN is more easily oxidized than W.
  • the oxide of TaN does not react with fluorine or chlorine, thereby further lowering the etching rate of the TaN film. Accordingly, the etching rate of the W film can be differentiated from the etching rate of the TaN film so that the W film is etched faster than the TaN film.
  • a second doping treatment is conducted as shown in FIG. 8A without removing the resist masks.
  • the layers are doped with an impurity element imparting n-type conductivity in a dose smaller than in the first doping treatment and at a high acceleration voltage.
  • the acceleration voltage is set to 70 to 120 keV, 90 keV, in this embodiment.
  • the dose is set to 1.5 ⁇ 10 14 atoms/cm 2 .
  • New impurity regions are thus formed in the semiconductor layers inside the first concentration impurity regions formed in FIG. 8B.
  • the semiconductor layers under the second shape first conductive layers 129 a to 133 a are also doped with the impurity element while using the second shape conductive layers 129 to 133 as masks.
  • third concentration impurity regions 140 to 144 and second concentration impurity regions 135 to 139 are third concentration impurity regions 140 to 144 and second concentration impurity regions 135 to 139 .
  • the third concentration impurity regions 140 to 144 overlap the second shape first conductive layers 129 a to 133 a , respectively.
  • the second concentration impurity regions are placed between the first concentration impurity regions and the third concentration impurity regions ( 135 is between 145 and 140 , 136 is between 146 and 141 , 137 is between 147 and 142 , 138 is between 148 a and 143 , and 139 is between 149 and 144 ).
  • masks 150 and 151 are newly formed from a resist to conduct a third etching treatment as shown in FIG. 8B.
  • SF 6 and Cl 2 are used as the etching gas, the gas flow rate ratio of them is set to 50/10 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.3 Pa to generate plasma for 30 second etching.
  • the substrate side receives an RF (13.56 MHz) power of 10 W to apply a substantially negative self-bias voltage.
  • the TaN film is etched in a future p-channel TFT and in a future pixel portion TFT under the above third etching conditions.
  • Third shape conductive layers 152 to 155 are formed as a result.
  • a ‘future p-channel TFT’ refers to a TFT in the middle of fabrication which is to serve as a p-channel TFT after the fabrication is completed.
  • a ‘future n-channel TFT’ refers to an unfinished TFT that is to function as an n-channel TFT after its completion.
  • the resist masks are removed and the gate insulating film is then etched as shown in FIG. 8C.
  • CHF 3 is used as the etching gas, the gas flow rate thereof is set to 35 SCCM, and an RF power of 800 W is applied to generate plasma for the etching.
  • the second shape conductive layers 129 and 131 and the third shape conductive layers 152 to 155 serve as masks to cut off portions of the gate insulating film for each TFT ( 157 - 162 ).
  • the masks 164 to 166 are formed from resist and a third doping process is performed.
  • forth concentration impurity regions 167 to 172 are formed, in which the impurity element imparting conductivity opposite to the above conductivity is added to the semiconductor layer that becomes an active layer of the p-channel TFT.
  • the third shape conductive layers 152 and 154 are used as masks to the impurity element, and the impurity element that imparts the p-type conductivity is added, to thereby form the forth concentration impurity regions in a self-aligning manner.
  • the fourth concentration impurity regions 167 to 172 are formed by an ion doping method using diborane (B 2 H 6 ).
  • the semiconductor layer forming the n-channel TFT is covered with the masks 164 and 166 formed from resist.
  • phosphorus is added to the forth concentration impurity regions 167 and 172 at different concentrations in accordance with the first and second doping processes, the doping process is performed such that the concentration of the impurity element imparting p-type conductivity is higher in any of the impurity regions.
  • the impurity regions function as the source region and the drain region of the p-channel TFT so that no problem occurs.
  • the impurity regions are formed on the respective semiconductor layers.
  • all the impurity regions are formed in a self-aligning manner, with the conductive layer as a mask.
  • the third shape conductive layers 129 , 130 , 152 , and 153 which overlap the semiconductor layers function as gate electrodes.
  • the conductive layer 155 functions as source wiring and the conductive layer 154 functions as the capacitor wiring which is one of storage capacity.
  • first interlayer insulating film 173 covering the whole surface is formed.
  • This first interlayer insulating film 173 is formed of an insulating film containing silicon with a thickness of 100 to 200 nm by a plasma CVD method or a sputtering method.
  • a silicon oxynitride film with a film thickness of 150 nm is formed by a plasma CVD method.
  • the first interlayer insulating film 173 is not particularly limited to the silicon oxynitride film, and other insulating films containing silicon may be formed into a single layer or a lamination structure.
  • a step of activating the impurity elements added in the respective semiconductor layers is performed.
  • This step is carried out by thermal annealing using an annealing furnace.
  • the thermal annealing may be performed in a nitrogen atmosphere having an oxygen concentration of 100 ppm or less, preferably 0.1 ppm or less and at 400 to 700° C., typically 500 to 550° C.
  • a laser annealing method, or a rapid thermal annealing method can be applied thereto.
  • nickel used as the catalyst for crystallization is gettered to the regions ( 145 to 149 , 167 , 170 ) containing phosphorus at a high concentration.
  • nickel concentration of the semiconductor layer which becomes a channel formation region is lowered.
  • the TFT having a channel formation region thus formed is decreased in off current value, and has high electric field mobility because of good crystallinity, thereby attaining satisfactory characteristics.
  • a second interlayer insulating film 174 made of an organic insulating material is formed on the first interlayer insulating film 173 . Then, patterning is performed for forming a contact hole reaching the source wiring 155 and contact holes reaching the respective impurity regions 145 , 147 , 148 a 167 and 170 .
  • a pixel electrode 183 Besides, in the pixel portion 1407 , a pixel electrode 183 , a gate wiring 182 , and a connecting electrode 181 are formed (FIG. 9C).
  • the source wiring 155 is electrically connected with the pixel TFT 1404 by the connecting electrode 181 .
  • the gate wiring 182 is electrically connected with a third shape conductive layer 153 (a gate electrode of the pixel TFT).
  • the pixel electrode 183 is electrically connected with the drain region of the pixel TFT and with the semiconductor layer functioning as one of electrodes forming a storage capacity.
  • the pixel electrode 183 the film composed of Al or Ag as its main constituent, or a lamination film of the films, which is superior in reflection.
  • the driver circuit 1406 including an n-channel TFT 1401 , a p-channel TFT 1402 , and an n-channel TFT 1403 , and the pixel portion 1407 including the pixel TFT 1404 and a storage capacitor 1405 can be formed on the same substrate.
  • a substrate is called an active matrix substrate for convenience.
  • the n-channel TFT 1401 of the driver circuit 1406 includes a channel formation region 184 , the third concentration impurity region 140 (GOLD region) overlapping with the third shape conductive layer 129 forming the gate electrode, the second concentration impurity region 135 (LDD region) formed outside the gate electrode, and the first concentration impurity region 145 functioning as a source region or a drain region.
  • the p-channel TFT 1402 includes a channel formation region 185 , forth concentration impurity regions 168 and 169 , which are formed outside the gate electrode, and a forth concentration impurity region 167 functioning as a source region or a drain region.
  • the n-channel TFT 1403 includes a channel formation region 186 , the third concentration impurity region 142 (GOLD region) overlapping the third shape conductive layer 131 forming the gate electrode, the second concentration impurity region 137 (LDD region) formed outside the gate electrode, and the first concentration impurity region 147 functioning as a source region or a drain region.
  • GOLD region the third concentration impurity region 142
  • LDD region the second concentration impurity region 137
  • first concentration impurity region 147 functioning as a source region or a drain region.
  • the pixel TFT 1404 of the pixel portion includes a channel formation region 187 , the third concentration impurity region 143 (LDD region) formed outside the gate electrode, the second concentration impurity region 138 (LDD region), and the first concentration impurity region 148 a functioning as a source region or a drain region.
  • impurity elements imparting p-type conductivity are added at the same concentration as the forth concentration impurity region to the respective semiconductor layers 170 to 172 functioning as one of electrodes of the storage capacitor 1405 .
  • the storage capacitor 1405 is formed by the capacitor wiring 154 and the semiconductor layers 170 to 172 with the insulating film (the same film as the gate insulting film) as a dielectric.
  • an optimal structure is chosen for the respective TFTs constituting the circuits in accordance with circuit specifications required for the pixel portion and the driver circuit, so that the operation performance and the reliability of the semiconductor device are improved.
  • the LDD structure or the GOLD structure is chosen for an n-channel TFT according to the circuit specification.
  • the n-channel TFTs 1401 and 1403 are suitable for driver circuits for which high-speed operation is more important, such as a shift register, a frequency dividing circuit, a signal dividing circuit, a level shifter, and a buffer.
  • a TFT obtains a structure that places stress on hot carrier countermeasures by having a GOLD region.
  • the pixel TFT 1404 is an n-channel TFT having a structure that gives priority to low OFF current operation. This TFT is therefore applicable to a sampling circuit other than the pixel portion.
  • the TFT has no GOLD region that can increase the OFF current value but has an LDD region and an offset region to obtain low OFF current operation.
  • the first concentration impurity region 148 b is very effective in reducing the OFF current value.
  • FIG. 10 shows the top view of a pixel portion on an active matrix substrate fabricated in accordance with this embodiment.
  • components corresponding to those in FIGS. 7A to 9 C are denoted by the same reference symbols.
  • the sectional view taken along the dot-dashed line A-A′ in FIG. 10 corresponds to the one taken along the dot-dashed line A-A′ in FIG. 9.
  • the sectional view taken along the dot-dashed line B-B′ in FIG. 10 corresponds to the one taken along the dot-dashed line B-B′ in FIG. 9.
  • the active matrix substrate having the pixel structure of this embodiment is characterized in that, the gate electrode 153 of the pixel TFT and the gate line 182 are formed in different layers so that the semiconductor layer is shielded from light by the gate line 182 .
  • the pixel electrodes are arranged so that edges of the pixel electrodes overlap the source wiring line in order to shield gaps between the pixel electrodes against light without using a black matrix.
  • the surfaces of the pixel electrodes according to this embodiment are desirably made uneven by a known method, e.g., the sand blast method or etching, in order to increase the white light level by preventing regular reflection and scattering the reflected light.
  • a known method e.g., the sand blast method or etching
  • the pixel structure described above makes it possible to arrange pixel electrodes having a larger area to improve the aperture ratio.
  • the manufacture process shown in this embodiment requires only six photo masks to fabricate an active matrix substrate (namely, a semiconductor layer pattern mask, a first wiring line pattern mask (including the gate electrode 153 of the pixel TFT, the capacitor wiring line 154 , and the source line 155 ), a pattern mask for forming conductive layers of the p-channel TFT and of the pixel portion TFT, a pattern mask for forming the source region and the drain region of the p-channel TFT, a pattern mask for forming contact holes, and a second wiring pattern mask (including the pixel electrode 183 , the connector electrode 181 , and the gate line 182 )). Therefore this embodiment can contribute to cutting the process and the manufacture cost and improving the yield.
  • FIG. 11 shows a sectional view of an active matrix substrate suitable for a transmissive liquid crystal display device.
  • the manufacture process of this substrate is the same as the substrate for the above reflective liquid crystal display device up through the step of forming a second interlayer insulating film.
  • a transparent conductive film is formed and then patterned to form a transparent conductive layer 191 .
  • the transparent conductive film may be formed of a compound of indium oxide and tin oxide, or a compound of indium oxide and zinc oxide.
  • wiring lines 175 to 180 electrically connected to the first concentration impurity regions or the fourth concentration impurity regions are formed.
  • the wiring lines are formed by patterning a laminate of a Ti film with a thickness of 50 to 250 nm and an alloy film (of Al and Ti) with a thickness of 300 to 500 nm.
  • a pixel electrode 191 , a gate line 182 , and connector electrodes 192 and 193 are formed in the pixel portion 1407 .
  • the connector electrodes 192 and 193 are formed so as to overlap the pixel electrode 191 . In this way, the active matrix substrate suitable for the transmissive liquid crystal display can be manufactured when one more mask is used.
  • TFTs according to this embodiment have displayed excellent characteristics. Of those, the pixel TFT is picked to show its TFT characteristic (the V-I characteristic), which is graphed in FIG. 37. The gate leak is also shown in the graph and it is sufficiently low.
  • the pixel TFT structure of the present invention is particularly capable of lowering OFF current, and also rates well in terms of mobility. OFF current is a drain current flowing when a TFT is in an OFF state.
  • FIG. 37 is a V-I characteristic graph of Samples 1 through 8
  • FIG. 38 shows the TFT characteristic of Sample 3.
  • Sample 3 shows as small threshold (Vth) as 0.263 V, which is desirable (Vth is the voltage at the rising point in the V-I characteristic graph). The smaller the difference becomes, the more the short channel effect is contained. Sample 3 has a mobility of 119.2 cm 2 /Vs, meaning it is also excellent in mobility ( ⁇ FE ) that is a parameter indicating easiness for carriers to move.
  • the S value (subthreshold coefficient), which is the reciprocal of the maximum inclination in the rising part of the I-V curve, is 0.196 V/decade in Sample 3 .
  • ON current is a drain current flowing when a TFT is in an ON state.
  • Shift- 1 denotes the voltage at the rising of the I-V curve.
  • FIG. 39 shows a p-channel TFT 2100 and an n-channel TFT 2200 of an inverter circuit manufactured in accordance with the present invention. These TFTs are formed on a base insulating film 2002 that is formed on a substrate 2001 .
  • the p-channel TFT 2100 has a semiconductor layer 2003 , a gate insulating film 2021 , and a gate electrode that is composed of a first conductive layer 2005 a and a second conductive layer 2005 b .
  • the semiconductor layer 2003 includes a channel formation region 2012 , a source region 2013 connected with a source electrode 2009 , a drain region 2014 connected with a drain electrode 2018 , and an LDD region 2015 sandwiched between the drain region and the channel formation region.
  • Reference symbols 2007 and 2008 denote first and second interlayer insulating films, respectively.
  • the end of the first conductive film 2005 a and the end of the second conductive film 2005 b almost coincide with each other on the source region side whereas the end of the first conductive film 2005 a on the drain region side is extended outward.
  • This structure is obtained by forming a resist mask in the third etching treatment shown in FIG. 8B so as to cover only one side of the gate electrode.
  • the semiconductor layer 2003 in the p-channel TFT is doped with a p type impurity element by ion doping or the like to form an impurity region in the semiconductor layer.
  • the LDD region 2015 can be formed by using the first conductive film 2005 a as a mask. In ion doping, it is possible to form the LDD region as well as the source region and the drain region in a single doping treatment by controlling the acceleration voltage. Instead, the doping treatment may be conducted twice while optimizing the acceleration voltage, so that formation of the LDD region is separated from formation of the source region and the drain region.
  • the n-channel TFT 2200 has a semiconductor layer 2004 , a gate insulating film 2022 , and a gate electrode that is composed of a first conductive film 2006 a and a second conductive film 2006 b .
  • the semiconductor layer 2004 includes a channel formation region 2016 , a source region 2017 connected with a source electrode 2010 , a drain region 2018 , and LDD regions 2019 and 2020 .
  • the end of the first conductive film 2006 a and the end of the second conductive film 2006 b in the gate electrode of the n-channel TFT 2200 almost coincide with each other on the source region side whereas the end of the first conductive film 2006 a on the drain region side is extended outward.
  • the LDD region 2019 on the source region side is an LDD region that does not overlap with the gate electrode, whereas the LDD region 2020 on the drain region side overlaps the gate electrode.
  • the LDD regions overlapping the gate electrodes are formed on the drain side in the p-channel TFT and the n-channel TFT as described above. This eases the electric field intensity near a drain and prevents degradation of a TFT due to hot carriers. The preventive effect is needed also in a p-channel TFT especially when the channel length is in submicron level.
  • an LDD region overlapping a gate electrode increases a parasitic capacitance applied to the gate electrode and hence is not always be provided on the source side where there is no need to ease electric field.
  • the present invention it is possible to form the LDD region only on the drain side as shown in FIG. 39. Furthermore, the invention can readily be applied to the case of employing a minute design rule, because the source region, the drain region, and the LDD region are all formed in a self-aligning manner.
  • the TFT structure according to this embodiment can most effectively be applied to a TFT in which the position of a drain region is determined in advance as in an inverter circuit.
  • the TFT structure of this embodiment can be combined freely with the manufacture process of Embodiment 1 by merely changing the resist mask pattern.
  • the p-channel TFT and the n-channel TFT of the inverter circuit shown in Embodiment 2 degradation due to hot carriers is not noticeable when the driving voltage is 10 V or lower. Then the LDD region overlapping a gate electrode may not necessarily be formed.
  • the p-channel TFT has the same structure as the p-channel TFT 402 shown in FIG. 11 while the n-channel TFT has the same structure as the n-channel TFT 404 shown in FIG. 11 and takes a single gate structure.
  • the channel length is set to 0.6 ⁇ m or less in the active matrix substrate described in Embodiment 1, it is desirable to form the LDD region overlapping a gate electrode also in the p-channel TFT.
  • the LDD region is formed in the same way as the LDD region of the n-channel TFT 1401 is formed to obtain the same structure, but is doped with a p type impurity element.
  • the LDD region is provided only on the drain side as shown in Embodiment 2, if the direction of a source and a drain is already determined as in shift register circuits and buffer circuits.
  • Embodiment 5 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • the impurity elements used in doping are also the same.
  • the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in Embodiment 1 to reach the state illustrated in FIG. 7B.
  • etching is made under the second etching conditions without removing the resist masks 110 to 115 .
  • CF 4 and Cl 2 are used as the etching gas, the gas flow rate ratio of them is set to 30/30 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1 Pa to generate plasma for 30 second etching.
  • the substrate side also receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • the conductive film (A), i.e., the TaN film, and the conductive film (B), i.e., the W film are etched to the same extent under the second etching conditions using a mixture of CF 4 and C 1 2 .
  • a first shape gate electrode and wiring lines 217 to 223 are formed.
  • the gate electrode is composed of first shape first conductive films 217 a to 223 a and first shape second conductive films 217 b to 223 b.
  • a second doping treatment is conducted without removing the resist masks 110 to 115 .
  • the semiconductor layers 102 to 106 are doped with an impurity element imparting n-type conductivity (hereinafter referred to as n type impurity element).
  • the doping treatment is achieved by ion doping or ion implantation.
  • the n type impurity element to be used is an element belonging to Group 15 in the periodic table, typically, phosphorus (P) or arsenic (As).
  • the first shape gate electrode and capacitance wiring lines 217 to 221 serve as masks to form first concentration impurity regions 224 a to 224 e in a self-aligning manner (FIG. 12A).
  • a third etching treatment is conducted.
  • CF 4 , C 1 2 , and O 2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for the etching.
  • the substrate side receives an RF (13.56 MHz) power of 20 W for 80 second etching treatment.
  • a second shape gate electrode and wiring lines 225 to 231 are formed.
  • the gate electrode is composed of second shape first conductive films 225 a to 231 a and second shape second conductive films 225 b to 231 b.
  • a third doping treatment is conducted without removing the resist masks 110 to 115 .
  • the semiconductor layers under the second shape first conductive films are also doped with an n type impurity element while using the second shape conductive layer and capacitance wiring lines 225 to 229 as masks.
  • Formed as a result of this treatment between the first concentration impurity regions and the channel formation regions are second concentration impurity regions 232 a to 232 e each containing the n type impurity element in a concentration of 1 ⁇ 10 18 to 1 ⁇ 10 19 atoms/cm 3 .
  • the first concentration impurity regions 224 a to 224 e each contain the n type impurity element in a concentration of 1 ⁇ 10 20 to 1 ⁇ 10 21 atoms/cm 3 (FIG. 12B).
  • the resist masks 110 to 115 are removed and masks 233 to 234 for covering a future n-channel TFT and a future pixel TFT are formed from a resist to conduct a fourth doping treatment.
  • the semiconductor layers are doped with a p type impurity element in a future first p-channel TFT and in a future second p-channel TFT while using the second shape conductive layers 226 and 227 and the capacitance wiring line 229 as masks.
  • Fourth concentration impurity regions 235 a to 235 c and fifth concentration impurity regions 235 d to 235 f are thus formed in a self-aligning manner.
  • p type impurity regions are formed by ion doping using diborane (B 2 H 6 ).
  • the fourth concentration impurity regions (P + ) 235 a to 235 c each contain the p type impurity element in a concentration of 2 ⁇ 10 20 to 1 ⁇ 10 21 atoms/cm 3 .
  • the fifth concentration impurity regions 235 d to 235 f each contain the p type impurity element in a concentration of 2 ⁇ 10 17 to 1 ⁇ 10 19 atoms/cm 3 .
  • the semiconductor layers of the p-channel TFTs have previously been doped with the n type impurity element, the layers do not have a problem to function as source regions and drain regions of the future p-channel TFTs if they are doped with the p type impurity element in the fourth doping treatment in a concentration higher than the concentration of the n type impurity element (FIG. 13A).
  • Resist masks 236 and 237 are then used to cover the n-channel TFT and the first p-channel TFT of the driver circuit to conduct a fourth etching treatment.
  • Cl 2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 30 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage.
  • the impurity regions are formed in the respective semiconductor layers through the above steps.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in Embodiment 1.
  • this embodiment describes only the structure of the pixel TFT and the control circuit
  • other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1.
  • the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a ⁇ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • Embodiment 6 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • the impurity elements used in doping are also the same.
  • the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in Embodiment 1 to reach the state illustrated in FIG. 7B. Thereafter, a second etching treatment is conducted.
  • CF 4 , Cl 2 and O 2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 60 second etching.
  • the substrate (sample stage) side also receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • second shape conductive layers 301 to 304 and wiring lines 305 to 307 are formed.
  • the semiconductor layers are doped with an n type impurity element through the second shape first conductive films in a self-aligning manner while using the second shape second conductive films as masks.
  • the first concentration impurity regions 308 a to 308 e each contain the n type impurity element in a concentration of 1 ⁇ 10 20 to 1 ⁇ 10 21 atoms/cm 3 .
  • the resist masks 110 to 115 are removed. Thereafter, masks 309 and 310 for covering the n-channel TFT and the pixel TFT are newly formed from a resist to conduct a third doping treatment.
  • the semiconductor layers in the p-channel TFTs are doped with a p type impurity element in a self-aligning manner while using the second shape conductive layers as masks.
  • Fourth concentration impurity regions 311 a to 311 c and fifth concentration impurity regions 311 d to 311 f are thus formed (FIG. 14B).
  • the resist masks 309 and 310 are removed, and masks 312 and 313 are newly formed from a resist to cover the n-channel TFT and the second p-channel TFT Cl 2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 10 W to apply a substantially negative self-bias voltage.
  • third shape conductive layers (composed of third shape first conductive films 314 a and 315 a and third shape second conductive films 314 b and 315 b ) 314 and 315 , and wiring lines 316 to 318 (FIG. 14C).
  • offset regions 311 g and 311 h are formed in the semiconductor layers of the first p-channel TFT and of the pixel TFT, respectively.
  • An offset region in this specification refers to a semiconductor layer having the same composition as a channel formation region (meaning, the region contains the same impurity element as the channel formation region), and the region does not overlap a gate electrode.
  • the offset regions 311 g and 311 h function as simple resistors and are very effective in reducing the OFF current value.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in Embodiment 1.
  • this embodiment describes only the structure of the pixel TFT and the control circuit
  • other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1.
  • the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a ⁇ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • Embodiment 7 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in Embodiment 1 to reach the state illustrated in FIG. 7B. Thereafter, a second etching treatment is conducted.
  • the second etching treatment CF 4 , Cl 2 and O 2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 80 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • second shape conductive layers and wiring lines are formed.
  • the n-channel TFT and the pixel TFT are covered with resist masks 401 and 402 , respectively, to conduct a second doping treatment.
  • the semiconductor layers in the p-channel TFTs are doped with a p type impurity element.
  • the semiconductor layers are doped with the p type impurity element through the second shape first conductive films in a self-aligning manner while using the second shape second conductive films as masks.
  • fourth concentration impurity regions 403 a to 403 c and fifth concentration impurity regions 403 d to 403 f are formed (FIG. 15A).
  • n-channel TFT and the second p-channel TFT are then covered with resist masks 404 and 405 , respectively, to conduct a third etching treatment.
  • Cl 2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • third shape conductive layers 406 and 407 and wiring lines 408 to 410 are formed (FIG. 15B).
  • the resist masks 404 and 405 are removed to conduct a third doping treatment.
  • the semiconductor layers are doped with an n type impurity element to form impurity regions 411 a and 411 b .
  • the semiconductor layers in the p-channel TFTs do not have a problem to function as source regions and drain regions of the p-channel TFTs because the regions have already been doped with the p type impurity element in a concentration higher than the concentration of the n type impurity element (FIG. 15C).
  • the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in Embodiment 1.
  • this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1.
  • the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a ⁇ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • Embodiment 8 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • the second etching treatment and the second doping treatment are conducted in accordance with the manufacture process shown in Embodiment 1 to reach the state illustrated in FIG. 7C.
  • a mask 501 is formed from a resist to cover the n-channel TFT and a third etching treatment is conducted.
  • Cl 2 is used as the etching gas
  • the gas flow rate thereof is set to 80 SCCM
  • an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage.
  • third shape conductive layers and wiring lines 502 to 507 are formed (FIG. 16B).
  • the gate insulating film is etched.
  • CHF 3 is used as the etching gas, the gas flow rate thereof is set to 35 SCCM, and an RF (13.56 MHz) power of 800 W is applied to generate plasma for the etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • the second shape gate electrode serves as a mask for the n-channel TFT whereas the third shape conductive layers and the capacitance wiring lines serve as masks for the other TFTs, and portions of the gate insulating film are cut off for each TFT to form gate insulating films 508 to 514 (FIG. 16C).
  • masks 515 and 516 are newly formed from a resist to conduct a third doping treatment.
  • the semiconductor layers in the p-channel TFTs are doped with a p type impurity element while using the third shape gate electrode and capacitance wiring lines as masks.
  • Fourth concentration impurity regions 517 a to 517 c and fifth concentration impurity regions 517 d to 517 f are thus formed in a self-aligning manner (FIG. 17).
  • the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in Embodiment 1.
  • this embodiment describes only the structure of the pixel TFT and the control circuit
  • other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1.
  • the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a ⁇ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • Embodiment 9 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • the second etching treatment and the second doping treatment are conducted in accordance with the manufacture process shown in Embodiment 1 to reach the state of FIG. 7C where the second shape conductive layers and wiring lines are formed.
  • the n-channel TFT is covered with a resist mask 601 to conduct a third etching treatment.
  • Cl 2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage.
  • third shape conductive layers and wiring lines 602 to 607 are formed (FIG. 18B).
  • the resist mask 601 is then removed and masks 608 and 609 are newly formed from a resist to cover the n-channel TFT and the pixel TFT, respectively.
  • a third doping treatment is conducted and the semiconductor layers are doped with a p type impurity element to form fourth concentration p type impurity regions 610 a to 610 c and fifth concentration impurity regions 610 d to 610 f (FIG. 18C).
  • the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in Embodiment 1.
  • this embodiment describes only the structure of the pixel TFT and the control circuit
  • other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1.
  • the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a ⁇ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • Embodiment 10 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • First etching conditions for the second etching treatment are as follows: CF 4 and Cl 2 are used as the etching gas, the gas flow rate ratio of them is set to 30/30 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 30 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage (FIG. 19B).
  • etching gas CF 4 , Cl 2 and O 2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 60 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • second shape conductive layers and wiring lines 701 to 707 are formed (FIG. 19C).
  • a second doping treatment is conducted next.
  • the semiconductor layers are doped with an n type impurity element while using the second shape gate electrode and capacitance wiring lines as masks.
  • second concentration impurity regions 708 a to 708 e each containing the n type impurity element in a concentration of 1 ⁇ 10 18 to 1 ⁇ 10 19 atoms/cm 3 are formed in a self-aligning manner.
  • the first concentration impurity regions each contain the n type impurity element in a concentration of 1 ⁇ 10 20 to 1 ⁇ 10 21 atoms/cm 3 (FIG. 20A).
  • the second etching treatment is divided into two stages to etch the conductive films.
  • the etching treatment under the first conditions removes the edges of the first conductive films. This results in formation of L OV regions in which the gate electrode overlaps the second concentration impurity regions with the gate insulating film interposed therebetween and L off regions 719 in which the gate electrode does not overlap the second concentration impurity regions.
  • a mask 709 is formed from a resist and covers the n-channel TFT to conduct a third etching treatment.
  • Cl 2 is used as the etching gas
  • the gas flow rate thereof is set to 80 SCCM
  • an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage.
  • third shape conductive layers and wiring lines 710 to 715 are formed (FIG. 20B).
  • Masks 716 and 717 are newly formed from a resist to cover the n-channel TFT and the pixel TFT, respectively, in preparation for a third doping treatment.
  • the semiconductor layers in the p-channel TFTs are doped with a p type impurity element while using the third shape conductive layers and the capacitance wiring lines as masks.
  • Fourth concentration impurity regions 718 a to 718 c and fifth concentration impurity regions 718 d to 718 f are thus formed in a self-aligning manner (FIG. 20C).
  • the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in Embodiment 1.
  • this embodiment describes only the structure of the pixel TFT and the control circuit
  • other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1.
  • the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a ⁇ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • Embodiment 11 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • the second etching treatment and the second doping treatment are conducted in accordance with the manufacture process shown in Embodiment 1 to reach the state of FIG. 7C where the second shape conductive layers and the wiring lines are formed.
  • resist masks 801 and 802 are formed to cover the future n-channel TFT and the future second p-channel TFT, respectively, and a third etching treatment is conducted.
  • Cl 2 is used as the etching gas
  • the gas flow rate thereof is set to 80 SCCM
  • an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage.
  • third shape conductive layers and wiring lines 803 to 807 are formed (FIG. 21B).
  • masks 808 and 809 are newly formed from a resist to cover the n-channel TFT and the pixel TFT, respectively.
  • a third doping treatment is conducted. Through the third doping treatment, the seniconductor layers in the p-channel TFTs are doped with a p type impurity element while using the third shape conductive layers and the capacitance wiring lines as masks. Fourth concentration impurity regions 810 a to 810 c and fifth concentration impurity regions 810 d to 810 f are thus formed in a self-aligning manner (FIG. 21C).
  • the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in Embodiment 1.
  • This embodiment shows results of measuring characteristics of TFTs manufactured in accordance with manufacture methods disclosed in this specification.
  • FIG. 40 shows a graph representing a relation between the drain current (Id) and the gate voltage (Vg) (hereinafter referred to as Id-Vg curve) of a pixel TFT (n-channel TFT) manufactured in accordance with the manufacture method described in Embodiment 5.
  • the measurement has been made by setting the source voltage (Vs) to 0 V and the drain voltage (Vd) to 1 V or 14 V.
  • the measured value of the channel length (L) is 6 ⁇ m and the measured value of the channel width (W) is 4 ⁇ m.
  • OFF current (Ioff) is 0.5 pA when Vd is 14 V.
  • FIGS. 41A and 41B respectively show Id-Vg curves of a pixel TFT and a first p-channel TFT of a driver circuit that are obtained through the manufacture method described in Embodiment 8.
  • the measurement has been made by setting the source voltage (Vs) to 0 V and the drain voltage (Vd) to 1 V or 14 V.
  • the measured value of the channel length (L) is 6 ⁇ m and the measured value of the channel width (W) is 4 ⁇ m in the pixel TFT.
  • the measured value of the channel length (L) is 7 ⁇ m and the measured value of the channel width (W) is 8 ⁇ m in the first p-channel TFT.
  • OFF current (Ioff) of the pixel TFT is 0.3 pA whereas OFF current (Ioff) of the first p-channel TFT is 2 pA. Comparing them to a p-channel TFT that has no offset region, the pixel TFT and the first p-channel TFT can control sharp rise of Ioff when Vg is high.
  • n-channel TFT has an Ioff of 10 to 30 pA, a field effect mobility of 130 to 180 cm 2 /Vs, and an S value of 0.19 to 0.26 V/dec.
  • the p-channel TFT has an Ioff of 2 to 10 pA, a field effect mobility of 70 to 110 cm 2 /Vs, and an S value of 0.19 to 0.25 V/dec.
  • the pixel TFT has an Ioff of 2 to 10 pA, a field effect mobility of 70 to 150 cm 2 /Vs, and an S value of 0.16 to 0.24 V/dec.
  • the reliability is estimated by checking the ten-year guarantee voltage.
  • the ten-year guarantee voltage is obtained by inferring a stress voltage having a lifetime of ten years from a linear relation provided by plotting the reciprocal of a stress voltage into a semi-logarithmic graph.
  • the lifetime here is defined as a time a TFT takes to change its maximum mobility value ( ⁇ FE (max) ) by 10%.
  • TFTs (driver circuit) manufactured in accordance with the manufacture method of Embodiment Mode 1 have been measured.
  • the ten-year guarantee voltage of the TFTs is 20 V or higher as shown in FIG. 42, displaying high reliability.
  • the thousand-hour life temperature by ON stress is checked next.
  • the temperature at which the characteristic changes by 0.1 V in thousand hours (life temperature) is inferred by plotting the time the TFT characteristic (Shift #1) takes to change by 0.1 V when Vg is +20 V ( ⁇ 20 V in the p-channel TFT) and Vd is 0V against 1000/T (T: absolute temperature (K)).
  • T absolute temperature (K)
  • the thousand-hour life temperature is 80° C. or higher in both the n-channel TFT and p-channel TFT.
  • the thousand-hour life temperature by OFF stress is checked next.
  • the temperature at which the characteristic changes by 0.1 V in thousand hours (life temperature) is inferred by plotting the time the TFT characteristic (Shift #1) takes to change by 0.1 V when Vg is 0V and Vd is +20 V ( ⁇ 20 V in the p-channel TFT) against 1000/T (T: absolute temperature (K)).
  • T absolute temperature (K)
  • the thousand-hour life temperature is 80° C. or higher in both the n-channel TFT and p-channel TFT.
  • the characteristic shift of the n-channel TFT and the characteristic shift of the p-channel TFT due to transient stress are checked next.
  • the ON characteristic shift is observed after twenty hours (at room temperature) when Vd is +20 V ( ⁇ 20 V in the p-channel TFT) and Vg is 2 to 6 V ( ⁇ 6 to ⁇ 2 V in the p-channel TFT).
  • the transient stress is a stress applied when the drain voltage is set to a certain value and the gate voltage is set to a certain value.
  • FIGS. 45A and 45B confirm that the change in maximum ratio of the field effect mobility in twenty hours is limited to 10% or less in both the n-channel TFT and p-channel TFT.
  • An active matrix substrate as shown in FIG. 9C is first prepared using the process of one of Embodiments 1 through 8.
  • An alignment film 1181 is formed on the active matrix substrate and subjected to rubbing treatment.
  • an organic resin film such as an acrylic resin film is patterned before forming the alignment film 1181 in order to form in a desired position a columnar spacer 1180 for maintaining a distance between two substrates.
  • spherical spacers may be sprayed onto the entire surface of the substrate.
  • An opposing substrate 1182 is prepared next. Colored layers 1183 and 1184 and a leveling film 1185 are formed on the opposing substrate 1182 .
  • the red colored layer 1183 partially overlaps the blue colored layer 1184 to form a second light shielding portion. Though not shown in FIG. 22, the red colored layer partially overlaps a green colored layer to form a first light shielding portion.
  • an opposing electrode 1186 is formed in the pixel portion.
  • An alignment film 1187 is formed on the entire surface of the opposing substrate 1182 and subjected to rubbing treatment.
  • the active matrix substrate on which the pixel portion and the driver circuit are formed is bonded to the opposing substrate with a sealing member.
  • the sealing member has a filler mixed therein.
  • the filler together with the columnar spacer, keeps the distance between the two substrates uniform when the substrates are bonded to each other.
  • a liquid crystal material 1188 is injected between the substrates and the device is completely sealed by an end-sealing material (not shown).
  • the liquid crystal material 1188 may be a known liquid crystal material.
  • the number of manufacture steps can be reduced by forming a first light shielding portion or a second light shielding portion from colored layers to shield gaps between pixels from light as in this embodiment instead of forming a black mask.
  • FIG. 23 shows a block diagram of a semiconductor device manufactured in accordance with the present invention.
  • This embodiment describes a semiconductor device having a source side driver circuit 90 , a pixel portion 91 , and a gate side driver circuit 92 .
  • the term driver circuit herein collectively refers to a source side driver circuit and a gate side driver circuit.
  • the source side driver circuit 90 is provided with a shift register 90 a , a buffer 90 b , and a sampling circuit (transfer gate) 90 c .
  • the gate side driver circuit 92 is provided with a shift register 92 a , a level shifter 92 b , and a buffer 92 c . If necessary, a level shifter circuit may be provided between the sampling circuit and the shift register.
  • the pixel portion 91 is composed of a plurality of pixels, and each of the plural pixels has TFT elements.
  • another gate side driver circuit may be provided in across the pixel portion 91 from the gate side driver circuit 92 .
  • a source side driver circuit 93 is provided with a shift register 93 a , the latch (A) 93 b , the latch (B) 93 c , a D/A converter 93 d , and a buffer 93 e .
  • a gate side driver circuit 95 is provided with a shift register 95 a , a level shifter 95 b , and a buffer 95 c . If necessary, a level shifter circuit may be provided between the latch ( 13 ) 93 c and the D/A converter 93 d .
  • a reference symbol 94 denotes a pixel portion.
  • This embodiment gives a description with reference to FIGS. 25A to 25 D on a process of forming a semiconductor film to serve as an active layer of a TFT.
  • the crystallization means in this embodiment is a technique described in Embodiment Mode 1 of Japanese Patent Application Laid-open No. Hei 7-130652.
  • a base insulating film 1402 with a thickness of 200 nm is formed on a substrate (glass substrate, in this embodiment) 1401 from a silicon oxynitride film.
  • An amorphous semiconductor film (amorphous silicon film, in this embodiment) 1403 with a thickness of 200 nm is formed thereon.
  • the base insulating film and the amorphous semiconductor film may be formed successively without exposing them to the air.
  • an aqueous solution containing 10 ppm of catalytic element by weight (in this embodiment, the catalytic element is nickel and the aqueous solution is nickel acetate aqueous solution) is applied by spin coating to form a catalytic element containing layer 1404 over the entire surface of the amorphous semiconductor film 1403 .
  • the catalytic element that can be used here other than nickel (Ni) include iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum (Pt), copper (Cu), and gold (Au) (FIG. 25A).
  • a catalytic element may be deposited by evaporation or sputtering to form a thin film (nickel film, in the case of this embodiment) on the amorphous semiconductor film.
  • heat treatment is conducted at 400 to 500° C. for about an hour to release hydrogen from the film. Then the film is subjected to heat treatment at 500 to 650° C. (preferably 550 to 570° C.) for four to twelve hours (preferably four to six hours). In this embodiment, the film is heated at 550° C. for four hours to form a crystalline semiconductor film (crystalline silicon film, in this embodiment) 1405 (FIG. 25B).
  • a laser light irradiation step may be inserted here to improve the crystallinity of the crystalline semiconductor film 1405 .
  • the next step is gettering for removing nickel used in the crystallization step from the crystalline silicon film.
  • a mask insulating film 1406 with a thickness of 150 nm is formed on the surface of the crystalline semiconductor film 1405 and is patterned to form an opening 1407 .
  • the exposed portion of the crystalline semiconductor film is doped with an element belonging to Group 15 (phosphorus, in this embodiment).
  • a gettering region 1408 containing phosphorus in a concentration of 1 ⁇ 10 19 to 1 ⁇ 10 20 atoms/cm 3 is formed (FIG. 25C).
  • a heat treatment step is carried out next in a nitrogen atmosphere at 450 to 650° C. (preferably 500 to 550° C.) for four to twenty-four hours (preferably six to twelve hours).
  • nickel in the crystalline semiconductor film moves in the direction indicated by the arrow and is trapped in the gettering region 1408 by the gettering action of phosphorus. Since nickel is removed from the crystalline semiconductor film, the concentration of nickel contained in the crystalline semiconductor film 1409 is reduced to 1 ⁇ 10 17 atoms/cm 3 or lower, preferably 1 ⁇ 10 16 atoms/cm 3 (FIG. 25D).
  • the crystalline semiconductor film 1409 formed as above has a very high crystallinity owing to the use of a catalytic element for promoting crystallization (nickel, in this embodiment).
  • An alternative method of gettering the catalytic element is to utilize phosphorus (P) as the n type impurity element for doping the source region or the drain region in the step of activating the impurity element used to dope the semiconductor film after the inorganic interlayer insulating film is formed in the manufacture process of Embodiment 1.
  • P phosphorus
  • This embodiment gives a description with reference to FIGS. 26A to 26 D on a process of forming a semiconductor film to serve as an active layer of a TFT. Specifically, a technique described in Japanese Patent Application Laid-open No. Hei 10-247735 (corresponding to U.S. Pat. No. 6,165,824) is used.
  • a base insulating film 1502 with a thickness of 200 nm is formed on a substrate (glass substrate, in this embodiment) 1501 from a silicon oxynitride film.
  • An amorphous semiconductor film (amorphous silicon film, in this embodiment) 1503 with a thickness of 200 nm is formed thereon.
  • the base insulating film and the amorphous semiconductor film may be formed successively without exposing them to the air.
  • a mask insulating film 1504 is then formed from a silicon oxide film to a thickness of 200 nm. An opening 1505 is formed in the film.
  • an aqueous solution containing 100 ppm of catalytic element by weight (in this embodiment, the catalytic element is nickel and the aqueous solution is nickel acetate aqueous solution) is applied by spin coating to form a catalytic element containing layer 1506 .
  • the catalytic element containing layer 1506 selectively contacts the amorphous semiconductor film 1503 in the region where the opening 1505 has been formed.
  • the catalytic element that can be used here other than nickel (Ni) include iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum (Pt), copper (Cu), and gold (Au) (FIG. 26A).
  • a catalytic element may be deposited by evaporation or sputtering to form a thin film (nickel film, in the case of this embodiment) on the amorphous semiconductor film.
  • the next step is gettering for removing nickel used in the crystallization step from the crystalline silicon film.
  • the mask insulating film 1504 previously formed is used as a mask without changing anything about the insulating film and the crystalline semiconductor film is doped with an element belonging to Group 15 (phosphorus, in this embodiment).
  • a gettering region 1508 is formed as a result in the exposed part of the crystalline semiconductor film at the opening 1505 .
  • the gettering region 1508 contains phosphorus in a concentration of 1 ⁇ 10 19 to 1 ⁇ 10 20 atoms/cm 3 is formed (FIG. 26C).
  • a heat treatment step is carried out next in a nitrogen atmosphere at 450 to 650° C. (preferably 500 to 550° C.) for four to twenty-four hours (preferably six to twelve hours).
  • nickel in the crystalline semiconductor film moves in the direction indicated by the arrow and is trapped in the gettering region 1508 by the gettering action of phosphorus. Since nickel is removed from the crystalline semiconductor film, the concentration of nickel contained in the crystalline semiconductor film 1509 is reduced to 1 ⁇ 10 17 atoms/cm 3 or lower, preferably 1 ⁇ 10 16 atoms/cm 3 (FIG. 26D).
  • the crystalline semiconductor film 1509 formed as above has a very high crystallinity by being crystallized while selectively doped with a catalytic element for promoting crystallization (nickel, in this embodiment). Specifically, the film has a crystal structure in which rod-like or columnar crystals are arranged in a specific orientation.
  • An alternative method of gettering the catalytic element is to utilize phosphorus (P) as the n type impurity element for doping the source region or the drain region in the step of activating the impurity element used to dope the semiconductor film after the inorganic interlayer insulating film is formed in the manufacture process of Embodiment 1.
  • P phosphorus
  • FIG. 3A to FIG. 6 each corresponding to FIG. 27A to FIG. 30 is a method of manufacturing a semiconductor device in which a TFT for a pixel portion and a TFT for a driver circuit provided in the periphery of the pixel portion are formed on the same substrate.
  • the semiconductor device has a pixel electrode that is uneven because of an uneven region formed in the pixel portion by the same manufacture process as the TFTs.
  • a substrate 2100 in this embodiment is made of glass such as barium borosilicate glass or alumino borosilicate glass, typical example of which is Corning #7059 or #1737 glass (a product of Corning Incorporated).
  • the substrate 2100 may be a quartz substrate, a silicon substrate, a metal substrate, or a stainless steel substrate if an insulating film is formed on the surface.
  • a plastic substrate may also be used if it has a heat resistance against the process temperature of this embodiment.
  • a base insulating film 2101 is formed from an insulating film such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film.
  • the second layer is layered on the first layer.
  • An amorphous semiconductor film is next formed on the base insulating film by a known method (such as sputtering, LPCVD, or plasma CVD).
  • the amorphous semiconductor film is then crystallized by a known crystallization treatment (laser crystallization, thermal crystallization, or thermal crystallization using Ni or other catalytic element) to form a crystalline semiconductor film.
  • the obtained crystalline semiconductor film is patterned into a desired shape to form island-like semiconductor layers 2102 to 2105 and an island-like semiconductor layer 2301 for forming projections in the pixel portion (See FIG. 3A).
  • the projections in this embodiment are formed in accordance with the process of manufacturing a pixel TFT.
  • an amorphous silicon film with a thickness of 55 nm is formed by plasma CVD and then irradiated with laser to form a crystalline silicon film.
  • the film is desirably subjected to heat treatment at 400 to 500° C. for about an hour in order to reduce the hydrogen content in the film to 5 atom % or less prior to the crystallization step.
  • Another employable crystallization method consists of applying a solution containing Ni to the amorphous silicon film, subjecting the film to thermal crystallization treatment (at 550° C., for four hours), and performing laser annealing treatment on the obtained crystalline silicon film to improve crystallinity of the film.
  • the laser usable in the laser annealing treatment include pulse oscillation type or continuous wave KrF excimer laser, XeCl excimer laser, YAG laser, and YVO 4 laser. When one of these lasers is used, laser beams emitted from a laser emitter are collected by an optical system into a linear beam to irradiate the semiconductor film. Conditions for crystallization can be set by an operator suitably.
  • the semiconductor film may be crystallized by heat without using a catalytic element, or by RTA (rapid thermal annealing) in which the film is crystallized around 500 to 700° C. After the semiconductor film is crystallized by RTA, the film may be subjected to laser annealing treatment to improve its crystallinity.
  • RTA rapid thermal annealing
  • the semiconductor layers may be doped with a minute amount of impurity element (boron or phosphorus: in this embodiment, boron is used) in order to control threshold of the TFTs.
  • impurity element boron or phosphorus: in this embodiment, boron is used
  • a gate insulating film 2106 is formed so as to cover the semiconductor layers 2102 to 2105 and the island-like semiconductor layer 2301 for forming the projections.
  • the gate insulating film 2106 is an insulating film containing silicon which is formed by plasma CVD or sputtering to a thickness of 40 to 150 nm.
  • the gate insulating film is not limited to a silicon oxynitride film but may be a single layer or a laminate of other insulating films containing silicon.
  • the film is formed by plasma CVD through electric discharge while using a mixture of TEOS (tetraethyl orthosilicate) and O 2 , and setting the reaction pressure to 40 Pa, the substrate temperature to 300 to 400° C., and the power density to 0.5 to 0.8 W/cm 2 at a high frequency (13.56 MHz).
  • TEOS tetraethyl orthosilicate
  • the silicon oxide film formed in this way can provide excellent characteristics as the gate insulating film when subjected to thermal annealing at 400 to 500° C.
  • a first conductive film 2107 with a thickness of 20 to 100 nm and a second conductive film 2108 with a thickness of 100 to 400 nm are formed next on the gate insulating film 2106 .
  • the film 2107 is a TaN film having a thickness of 30 nm and the film 2108 is a W film having a thickness of 370 nm.
  • the TaN film is formed by sputtering in an atmosphere containing nitrogen using a Ta target.
  • the W film is formed by sputtering using a W target.
  • the W film may be formed by thermal CVD using tungsten hexafluoride (WF 6 ).
  • the W film has to be less resistive in order to use the film for a gate electrode.
  • the resistivity of the W film is desirably 20 ⁇ cm or lower.
  • the W film can have low resistivity when the grain size is large.
  • the W film in this embodiment is formed by sputtering using highly pure W target (purity: 99.9999%) and taking great care not to allow impurities from the air to mix in the film in the middle of formation. A resistivity of 9 to 20 ⁇ cm is thus attained.
  • the first conductive film 2107 is a TaN film whereas the second conductive film 2108 is a W film in this embodiment, they are not particularly limited.
  • Each of the conductive films can be formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or may be formed of an alloy material or compound material containing any of the above elements as its main ingredient.
  • a semiconductor film typically a polycrystalline silicon film, doped with an impurity element such as phosphorus may be used.
  • the first conductive film and the second conductive film can take various combinations, e.g., a combination of Ta film for the first conductive film 2107 and W film for the second conductive film 2108 , a combination of TaN film for the first conductive film 2107 and Al film for the second conductive film 2108 , and a combination of TaN film for the first conductive film 2107 and Cu film for the second conductive film 2108 (FIG. 27A).
  • masks 2109 to 2113 and a mask 2302 for forming the projections are formed from a resist by photolithography to conduct a first etching treatment for forming electrodes and wiring lines.
  • This embodiment employs ICP (inductively coupled plasma) etching in which CF 4 , C 1 2 , and O 2 are used as the etching gas, the gas flow rate ratio of them is set to 25/25/10 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for the etching.
  • the substrate side receives an RF (13.56 MHz) power of 150 W to apply a substantially negative self-bias voltage.
  • etching is made under the second etching conditions without removing the resist masks 2109 to 2113 .
  • CF 4 and Cl 2 are used as the etching gas, the gas flow rate ratio of them is set to 30/30 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1 Pa to generate plasma for 30 second etching.
  • the substrate side also receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage.
  • the TaN film and the W film are etched to the same extent under the second etching conditions using a mixture of CF 4 and Cl 2 . Up to this point, first shape conductive layers 2114 to 2118 and a conductive film 2303 for forming the projections are formed.
  • a first doping treatment is conducted next without removing the resist masks 2109 to 2113 .
  • the semiconductor layers are doped with an impurity element imparting n-type conductivity (hereinafter referred to as n type impurity element) in a self-aligning manner while using the first shape conductive layers as masks.
  • the doping treatment is achieved by ion doping or ion implantation.
  • the n type impurity element to be used is an element belonging to Group 15 in the periodic table, typically, phosphorus (P) or arsenic (As).
  • P phosphorus
  • As arsenic
  • a second etching treatment is conducted.
  • CF 4 , Cl 2 , and O 2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1 Pa to generate plasma for the etching.
  • the substrate side receives an RF (13.56 MHz) power of 20 W to apply a self-bias voltage lower than in the first etching treatment.
  • the W film is etched under these second etching conditions.
  • second shape conductive layers 2121 to 2125 and a conductive film 2304 for forming the projections are formed (FIG. 3C).
  • second concentration impurity regions 2126 b to 2129 b are formed on the inside of the n type impurity region 2126 a to 2129 a (on the channel formation region side).
  • the second concentration impurity regions each contain an impurity element in a concentration of 1 ⁇ 10 18 to 1 ⁇ 10 19 atoms/cm.
  • the resist masks 2109 to 2113 are removed and a mask 2130 is newly formed from a resist to conduct a third etching treatment.
  • Cl 2 is used as the etching gas
  • the gas flow rate thereof is set to 80 SCCM
  • an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching.
  • the substrate side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage.
  • the second shape gate electrodes in the future p-channel TFT of the driver circuit and in the future pixel TFT are etched to form third shape gate electrodes 2131 and 2132 of the future p-channel TFT and the future pixel TFT, respectively, and to form a conductive film 2305 for forming the projections (FIG. 4B, FIG. 28B).
  • a ‘future pixel TFT’ refers to a pixel TFT in the middle of fabrication.
  • a ‘future n-channel TFT’ (‘future p-channel TFT’) refers to an unfinished TFT that is to function as an n-channel TFT (p-channel TFT) after its completion.
  • a resist mask 2133 is newly formed to cover the future pixel TFT and the uneven region.
  • the future n-channel TFT of the driver circuit is covered with the mask 2130 .
  • a third doping treatment is conducted to dope the semiconductor layers in the p-channel TFT and in the storage capacitor with an impurity element imparting p-type conductivity (hereinafter referred to as p type impurity element).
  • the semiconductor layers are doped with a p type impurity element in a self-aligning manner while using the third shape conductive layers as masks to form fourth concentration impurity regions.
  • This embodiment employs ion doping using diborane (B 2 H 6 ) to form fourth concentration impurity regions 2134 to 2137 .
  • the fourth concentration impurity regions are doped with an n type impurity element (phosphorus (P), in this embodiment) in different concentrations.
  • n type impurity element phosphorus (P), in this embodiment
  • all of them do not have a problem to function as source regions and drain regions of the p-channel TFTs because doping of impurity elements is performed making sure that those impurity regions contain the p type impurity element in a concentration higher than the concentration of the n type impurity element.
  • the respective semiconductor layers are doped with the impurity elements for imparting the respective conductivity types and all of the impurity regions are formed in a self-aligning manner while using the gate electrodes as masks.
  • the resist masks 2130 , 2133 , and 2134 are removed and a first interlayer insulating film 2138 is formed to cover the entire surface.
  • the first interlayer insulating film 2138 is formed from an insulating film containing silicon by plasma CVD or sputtering to a thickness of 200 to 400 nm.
  • a silicon oxynitride film with a thickness of 400 nm is formed by plasma CVD.
  • the material of the insulating film is not limited to a silicon oxynitride film and a single layer or a laminate of other insulating films containing silicon may be used.
  • the next step is heat treatment for activating the impurity elements used to dope the semiconductor layers.
  • This heat treatment step for activation is achieved by heat treatment that uses a furnace (furnace annealing).
  • Conditions of the heat treatment includes preparing nitrogen atmosphere whose oxygen concentration is 1 ppm or less, preferably, 0.1 ppm or less, and setting the temperature to 300 to 500° C., typically 400 to 450° C. In this embodiment, activation is made by heat treatment at 450° C. for four hours.
  • furnace annealing laser annealing, RTA, or thermal annealing may be adopted.
  • the concentration of Ni used as a catalyst has to be lowered in the channel formation region. Then gettering and the heat treatment activation are simultaneously conducted, so that nickel is moved to an n type impurity region that contains a high concentration of phosphorus (P).
  • the temperature of the heat treatment is set to 300 to 700° C., typically 500 to 550° C.
  • the nickel concentration can be lowered in the semiconductor layer most part of which is to serve as the channel formation region.
  • the heat treatment for activation in this embodiment is conducted after the first interlayer insulating film 2138 is formed.
  • the first interlayer insulating film 2138 may be formed after the heat treatment. If the material used for the conductive films is weak against heat, it is preferred to form the interlayer insulating film for protecting the conductive films before the heat treatment step as in this embodiment.
  • the semiconductor layers are subjected to another heat treatment in an atmosphere containing 3 to 100% of hydrogen at 300 to 550° C. for one to twelve hours for hydrogenation.
  • heat treatment is conducted in an atmosphere containing about 3% of hydrogen at 410° C. for an hour.
  • This step is to terminate dangling bonds in the semiconductor layers by hydrogen contained in the interlayer insulating film.
  • Other hydrogenation measures include plasma hydrogenation (utilizing hydrogen excited by plasma).
  • An alternative is to form a silicon oxynitride film with a thickness of 50 to 100 nm as the first interlayer insulating film 2138 , conduct heat treatment at 300 to 700° C. (typically 550° C.) for about four hours for activation of the impurity elements used to dope the semiconductor film, form a silicon nitride film to a thickness of 100 to 300 nm, and conduct another heat treatment at 300 to 550° C. for one to twelve hours in a nitrogen atmosphere containing hydrogen.
  • 300 to 700° C. typically 550° C.
  • a second interlayer insulating film 2139 is formed on the first interlayer insulating film 2138 .
  • an acrylic resin film is formed to a thickness of 0.8 to 1.2 ⁇ m. Influenced by the uneven region formed in the pixel portion, the second interlayer insulating film 2139 has uneven surface.
  • the interlayer insulating film may be formed without removing the resist mask used to form the protrusions in order to make the influence of the protrusions clearer.
  • contact holes reaching the source wiring lines and the semiconductor layers (impurity regions) of the TFTs are formed through the first interlayer insulating film 2138 and the second interlayer insulating film 2139 .
  • Wiring lines 2140 to 2145 for electrically connecting the TFTs are formed next.
  • the wiring lines 2140 to 2145 are formed by patterning a laminate of a Ti film with a thickness of 50 to 250 nm and an alloy film (an alloy film of Al and Ti) with a thickness of 300 to 500 nm.
  • a pixel electrode 2144 is formed in the pixel portion.
  • the pixel electrode 2144 is desirably formed of a material having excellent reflectivity, such as a film mainly containing Al or Ag, and a laminate of a Al containing film and a Ag containing film. Influenced by the uneven region 1207 formed in a pixel portion 1206 , the pixel electrode is uneven.
  • an end of the pixel electrode 2144 overlaps a source line with the first interlayer insulating film 2138 and the second interlayer insulating film 2139 interposed therebetween. Therefore gaps between pixel electrodes can be shielded from light without using a black mask.
  • a driver circuit 1205 that has an n-channel TFT 1201 (channel formation region 2146 ) and a p-channel TFT 1202 (channel formation region 2147 ) is formed on the same substrate on which the pixel portion 1206 having a pixel TFT 1203 (channel formation region 2148 ), a storage capacitor 1204 , and the uneven region 1207 is formed (FIG. 29B).
  • a substrate as such is called an active matrix substrate in this specification.
  • FIG. 30 shows the top view of the active matrix substrate manufactured in accordance with this embodiment.
  • a source line 2125 and a gate electrode are formed from the same conductive film in the same layer (the gate insulating film 2119 ).
  • the pixel portion in this embodiment is provided with the uneven region 1207 .
  • the manufacture process shown in this embodiment requires only six photo masks to fabricate an active matrix substrate (namely, a semiconductor layer pattern mask, a mask for forming a gate electrode, a mask for etching an unnecessary L OV region, a mask for forming for forming a source region and a drain region of a p-channel, a mask for forming contact holes, and a mask for forming a wiring line and a pixel electrode). Therefore a reflective active matrix substrate in which an uneven region having a plurality of protrusions is formed in a pixel portion to form an uneven pixel electrode can be manufactured without complicating the manufacture process. This embodiment is thus capable of contributing to cutting manufacture cost and improving the yield.
  • a reflective liquid crystal display device will be described in which an electro-optical device manufactured employing the present invention is combined with a light source, a reflector, and a light guide plate.
  • An LED or a cold-cathode tube is used for the light source.
  • the light source is arranged along a side face of the light guide plate.
  • the reflector is placed behind the light source.
  • the top face of the light guide plate refers to the face facing a user and the bottom face of the light guide plate refers to the face opposite to the top face.
  • the material of the light guide plate may be quarts, inorganic glass (refractive index: 1.42 to 1.7, transmissivity: 80 to 91%) such as borosilicate glass, or a plastic material (resin material).
  • the usable plastic material is a mixture of resins such as a methacrylic resin, typically polymethylmethacrylate known as acryl (refractive index: 1.49, transmissivity: 92 to 93%), polycarbonate (refractive index: 1.59, transmissivity: 88 to 90%), polyarylate (refractive index: 1.61, transmissivity: 85%), poly-4-methylpentene-1 (refractive index: 1.46, transmissivity: 90%), an AS resin [acrylonitrile-styrene polymer] (refractive index: 1.57, transmissivity: 90%), and an MS resin [methylmethacrylate-styrene copolymer] (refractive index: 1.56, transmissivity: 90%).
  • a semiconductor device manufactured in accordance with any one of Embodiments 1 through 11 can be applied to this embodiment.
  • an opposing substrate 2151 provided with a color filter and other components is bonded to an active matrix substrate through a sealing member.
  • the active matrix substrate is provided with a pixel portion, a driver circuit, an external input terminal 2210 for bonding an FPC (flexible printed circuit), and a connection wiring line 2211 for connecting the external input terminal to input portions of circuits.
  • the FPC is composed of a base film 2213 and a wiring line 2214 , and is bonded to the external input terminal by anisotropic conductive resin 2215 .
  • the mechanical strength of the bonding is enhanced by a reinforcing plate.
  • FIG. 47B shows a sectional view of the external input terminal 2210 taken along the line e-e′ in FIG. 47A.
  • Denoted by 2217 is a wiring line formed of a conductive film to form a pixel electrode 2144 .
  • the outer diameter of a conductive particle 2216 is smaller than the pitch of the wiring line 2217 . Therefore, when dispersed throughout the adhesive 2215 in an appropriate amount, the conductive particle can establish an electric connection with the corresponding wiring line on the FPC side without causing short-circuit with adjacent wiring lines.
  • the liquid crystal display panel manufactured as above can be used for a display unit of various electric appliances.
  • This embodiment describes a case in which pixel TFTs for a pixel portion of a semiconductor device and TFTs for driver circuit of the semiconductor device all have the same conductivity type (all of them are p-channel TFTs, or all of them are n-channel TFTs). The description is given with reference to FIGS. 31A and 31B.
  • a general driver circuit is designed based on a CMOS circuit in which an n-channel TFT and a p-channel TFT are combined complementarily.
  • the driver circuit of this embodiment is composed solely of TFTs having the same conductivity type (p-channel TFTs). Accordingly, the mask used in doping an impurity element for controlling the conductivity type is unnecessary, and one less masks can be accomplished in the manufacturing process of the TFTs. As a result, cutting the manufacture process and manufacture cost is made possible.
  • a PMOS circuit there are an EEMOS circuit composed of enhancement type TFTs and an EDMOS circuit composed of a combination of an enhancement type TFT and a depletion type TFT.
  • FIG. 31A An example of the EEMOS circuit is shown in FIG. 31A whereas an example of the EDMOS circuit is shown in FIG. 31B.
  • denoted by 1801 and 1802 are both enhancement type p-channel TFTs (hereinafter referred to as E type PTFT).
  • E type PTFT enhancement type p-channel TFTs
  • FIG. 31B 1803 denotes an E type PTFT while 1804 denotes a depletion type p-channel TFT (hereinafter referred to as D type PTFT).
  • VDH denotes a power supply line to which a positive voltage is applied (positive power supply line)
  • V DL denotes a power supply line to which a negative voltage is applied (negative power supply line).
  • the negative power supply line may be a power supply line of a ground electric potential (ground power supply line).
  • TFT The characteristic required for a TFT varies depending on which circuit the TFT constitutes.
  • TFTs having different structures can be formed for different circuits without increasing the number of manufacture steps.
  • a semiconductor device manufactured in accordance with Embodiments 1 through 8 employs the GOLD structure that is known to be effective in preventing degradation of the ON current value due to hot carriers in order to secure reliability of a TFT of a driver circuit.
  • the present inventors have conducted tests on reliability in which the optimum value is obtained for the length of a region where a gate electrode and a low concentration impurity region overlap in the channel length direction in the GOLD structure (the length is hereinafter called the length of the L OV region) by setting three kinds of L OV length conditions.
  • the characteristic shift of an n-channel TFT due to transient stress is checked.
  • the ON characteristic shift is observed after twenty hours (at room temperature) when Vd is +20 V and Vg is 2 to 6 V.
  • the transient stress is a stress applied when the drain voltage is set to a certain value and the gate voltage is set to a certain value.
  • the present inventors use the transient stress to estimate the reliability of a TFT.
  • FIG. 32 shows results of measuring the transient stress of samples having different L OV lengths. The results in FIG. 32 confirm that the change in maximum value of the field effect mobility in twenty hours is limited to 10% or less when the L OV length is 1 ⁇ m or longer.
  • the ten-year guarantee voltage is obtained by inferring a stress voltage having a lifetime of ten years from a linear relation provided by plotting the reciprocal of a stress voltage into a semi-logarithmic graph.
  • the lifetime here is defined as a time a TFT takes to change its maximum mobility value ( ⁇ FE (max) ) by 10%.
  • the present inventors use the ten-year guarantee voltage to estimate the reliability of a TFT.
  • FIG. 33 shows results of obtaining the ten-year guarantee voltage for varying L OV lengths.
  • the results in FIG. 33 show that a highly reliable semiconductor device can be obtained when the length of the L OV region is 1 ⁇ m or longer, preferably, 1.5 ⁇ m or longer.
  • CMOS circuit and the pixel portion formed by implementing the present invention can be used in an active matrix liquid crystal display device. Namely, the present invention can be implemented for all electronic equipment that incorporates the semiconductor device (liquid crystal display device) in its display portion.
  • a video camera a digital camera
  • a projector rear type or front type
  • a head mounted display goggle type display
  • a personal computer and a portable information terminal (such as a mobile computer, a portable telephone, or an electronic book).
  • a portable information terminal such as a mobile computer, a portable telephone, or an electronic book.
  • FIG. 34A shows a personal computer, which contains components such as a main body 5001 , an image input portion 5002 , a display portion 5003 , and a keyboard 5004 .
  • the present invention can be applied to the image input portion 5002 , the display portion 5003 , and other signal control circuits.
  • FIG. 34B shows a video camera, which contains components such as a main body 5101 , a display portion 5102 , an audio input portion 5103 , operation switches 5104 , a battery 5105 , and an image receiving portion 5106 .
  • the present invention can be applied to the display portion 5102 , and other signal control circuits.
  • FIG. 34C shows a mobile computer, which contains components such as a main body 5201 , a camera portion 5202 , an image receiving portion 5203 , operation switches 5204 , and a display portion 5205 .
  • the present invention can be applied to the display portion 5205 and other signal control circuits.
  • FIG. 34D shows a goggle type display, which contains components such as a main body 5301 , a display portion 5302 , and arm portions 5303 .
  • the present invention can be applied to the display portion 5302 and other signal control circuits.
  • FIG. 34E shows a player which uses a recording medium with a program recorded therein (hereinafter referred to as a recording medium), which contains components such as a main body 5401 , a display portion 5402 , a speaker portion 5403 , a recording medium 5404 , and operation switches 5405 .
  • a recording medium which contains components such as a main body 5401 , a display portion 5402 , a speaker portion 5403 , a recording medium 5404 , and operation switches 5405 .
  • a DVD (digital versatile disk) or CD (compact disk) is used as the recording medium for this player, and that appreciation of music or a movie or performing games or the Internet can be done.
  • the present invention can be applied to the display portion 5402 and other signal control circuits.
  • FIG. 34F shows a digital camera, which contains components such as a main body 5501 , a display portion 5502 , an eye piece portion 5503 , operation switches 5504 , and an image receiving portion (not shown in the figure).
  • the present invention can be applied to the display portion 5502 and other signal control circuits.
  • FIG. 35A shows a front type projector, which contains components such as a projecting apparatus 5601 and a screen 5602 .
  • the present invention can be applied to a liquid crystal display device 5808 which structures a portion of the projecting apparatus 5601 , and to other signal control circuits.
  • FIG. 35B shows a rear type projector, which contains components such as a main body 5701 , a projecting apparatus 5702 , a mirror 5703 , and a screen 5704 .
  • the present invention can be applied to the liquid crystal display device 5808 which structures a portion of the projecting apparatus 5702 , and to other signal control circuits.
  • the projecting apparatuses 5601 and 5702 of FIG. 35A and FIG. 35B are each composed of a light source optical system 5801 , mirrors 5802 and 5804 to 5806 , a dichroic mirror 5803 , a prism 5807 , the liquid crystal display device 5808 , a phase difference plate 5809 , and a projecting optical system 5810 .
  • the projecting optical system 5810 is composed of an optical system including a projection lens.
  • a three-plate type example is shown in Embodiment 10, but there are no particular limitations, and a single-plate type may also be used, for example.
  • optical systems such as an optical lens, a film having a light polarizing function, a film for regulating the phase difference, and an IR film may be suitably placed in the optical path shown by the arrow in FIG. 35C by the operator.
  • FIG. 35D is a diagram showing one example of the light source optical system 5801 in FIG. 35C.
  • the light source optical system 5801 is composed of a reflector 5811 , a light source 5812 , lens arrays 5813 and 5814 , a polarizing conversion element 5815 , and a condenser lens 5816 .
  • the light source optical system shown in FIG. 35D is one example, and the light source optical system is not limited to the structure shown in the figure.
  • optical systems such as an optical lens, a film having a light polarizing function, a film for regulating the phase difference, and an IR film may be suitably added to the light source optical system by the operator.
  • FIG. 36A shows a portable telephone
  • reference numerals 3001 and 3002 denote a display panel and an operation panel, respectively.
  • the display panel 3001 and the operation panel 3002 are connected through a connecting portion 3003 .
  • an angle ⁇ formed by the surface on which a display portion 3004 of the display panel 3001 is provided and the surface on which operation keys 3006 of the operation panel 3002 are provided can be arbitrarily changed.
  • the portable telephone includes an audio output portion 3005 , the operation keys 3006 , a power source switch 3007 , and an audio input portion 3008 .
  • the present invention can be applied to the display portion 3004 .
  • FIG. 36B shows a portable book (electronic book), which contains components such as a main body 3101 , display portions 3102 and 3103 , a recording medium 3104 , operation switches 3105 , and an antenna 3106 .
  • the present invention can be applied to the display portions 3102 and 3103 , and to other signal control circuits.
  • FIG. 36C shows a display, which contains components such as a main body 3201 , a support stand 3202 , and a display portion 3203 .
  • the present invention can be applied to the display portion 3103 .
  • the display of the present invention is advantageous for cases of large size screens in particular, and is advantageous for displays having a diagonal equal to or greater than 10 inches (in particular, equal to or greater than 30 inches).
  • the applicable range of the present invention is thus extremely wide, and the present invention can be applied to electronic equipment of all fields. Furthermore, the electronic equipment in this embodiment can be realized by using a semiconductor device formed in accordance with any combination of Embodiments 1 to 14.
  • TFTs whose required characteristics are different from one another can be formed on the same substrate without increasing the number of manufacture steps. Since the manufacture steps do not increase, manufacture cost is lowered and the yield is not reduced.
  • the present invention also can provide a highly reliable semiconductor device.
  • the semiconductor device can have excellent visibility by giving its pixel electrode an uneven surface.

Abstract

A semiconductor device with high reliability and operation performance is manufactured without increasing the number of manufacture steps. A gate electrode has a laminate structure. A TFT having a low concentration impurity region that overlaps the gate electrode or a TFT having a low concentration impurity region that does not overlap the gate electrode is chosen for a circuit in accordance with the function of the circuit.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a semiconductor device having a circuit comprising a thin film transistor (TFT) that uses a crystalline semiconductor film formed on a substrate (a liquid crystal display device, in particular), and to a method of manufacturing the semiconductor device. The semiconductor device manufactured in accordance with the present invention is specifically a liquid crystal display device represented by an active matrix liquid crystal display device in which a pixel portion and a driver circuit to be placed in the periphery of the pixel portion are formed on the same substrate. The invention also relates to electronic appliances that employ the display device as a display unit. [0002]
  • 2. Description of the Related Art [0003]
  • TFTs with a crystalline semiconductor film (typically, a polysilicon film) on an insulating surface as a semiconductor element are used for various integrated circuits at present. The TFTs are used most often as switching elements of a display device. The TFTs having, as an active layer (a semiconductor layer including a channel formation region, a source region, and a drain region), a crystalline semiconductor film, which provides higher mobility than an amorphous semiconductor film, are high in driving performance, and hence used also as elements of a driver circuit. Accordingly, in an active matrix liquid crystal display device, for example, an image circuit for displaying an image and a driver circuit for controlling the image circuit are formed on a single substrate. [0004]
  • In an active matrix liquid crystal display device, integrated circuits such as a pixel circuit for displaying an image, a shift register circuit based on a CMOS circuit, a level shifter circuit, a buffer circuit, and a sampling circuit are all arranged on a single substrate while forming different functional blocks. A liquid crystal display device as above has excellent features including being thin, small-sized, light-weight, and low in power consumption. For that reason, the liquid crystal display device is now used in various scenes; to name a few, as a display unit of a personal computer for space saving and as a display unit of a portable information equipment for obtaining the latest information anytime, any place. [0005]
  • A pixel portion of the liquid crystal display device has a TFT functioning as a switching element (also called a pixel TFT) and a storage capacitor, and is driven by applying a voltage to a liquid crystal. The liquid crystal has to be driven with an alternate current, and a method called frame inversion driving is often employed. The TFT is required to have a characteristic of sufficiently low OFF current (Ioff: the value of drain current flowing when the TFT is in off-operation). However, OFF current is often high when the TFT is formed of a polysilicon film. A known solution for this problem is the LDD structure with a low concentration impurity region (lightly doped drain) (a structure in which an impurity region having a low concentration is provided between a channel formation region and a source region or a drain region doped with a high concentration of impurity element). [0006]
  • On the other hand, high driving voltage is applied to a buffer circuit and the circuit needs to have a withstand voltage high enough to prevent damage against high voltage. In order to enhance the current driving ability, the ON current value has to be sufficiently high (Ion: the value of drain current flowing when the TFT is in on-operation). Degradation of the ON current value due to hot carriers is effectively prevented by a known structure called the GOLD (gate-drain overlapped LDD) structure in which a gate electrode partially overlaps an LDD region (with a gate insulating film interposed therebetween). [0007]
  • In order to obtain a semiconductor device that meets the required performance, it is necessary to fabricate different TFTs for different circuits. However, increased number of masks are needed to form an LDD structure TFT and a GOLD structure TFT. An increase in number of masks used leads to more manufacture steps, complication of the manufacture process, and reduction in yield. [0008]
  • SUMMARY OF THE INVENTION
  • The present invention has been made in view of the above, and an object of the present invention is therefore to provide a semiconductor device, typically, an active matrix liquid crystal display device, in which OFF current of a TFT in a pixel portion is reduced and the reliability of a TFT in a driver circuit is improved (i.e., degradation due to hot carriers is reduced) without increasing the number of masks. [0009]
  • A liquid crystal display device is low in light utilization efficiency, and front light or back light is often used during display in order to improve visibility. The use of front light or back light raises power consumption of its display portion, canceling low power consumption of the liquid crystal display device itself. Accordingly, another object of the present invention is to provide a display device of excellent visibility without increasing the number of manufacture steps. [0010]
  • According to the present invention, there is provided a semiconductor device having a TFT that is formed in a pixel portion and an n-channel TFT and a p-channel TFT that constitute a driver circuit provided in the periphery of the pixel portion, all of the TFTs being formed on the same substrate, characterized in that the n-channel TFT has a second concentration impurity region that partially overlaps a gate electrode, and that the p-channel TFT and the TFT formed in the pixel portion respectively have second concentration impurity regions that do not overlap gate electrodes. [0011]
  • According to the present invention, there is provided a semiconductor device having a TFT that is formed in a pixel portion and an n-channel TFT and a p-channel TFT that constitute a driver circuit provided in the periphery of the pixel portion, all of the TFTs being formed on the same substrate, characterized in that the n-channel TFT has a gate electrode composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of a gate insulating film, the second conductive film contacting the top face of the first conductive film, the first conductive film being longer than the second conductive film in the channel length direction, the first conductive film partially overlapping a second concentration impurity region, and characterized in that the p-channel TFT and the TFT formed in the pixel portion respectively have gate electrodes that do not overlap second concentration impurity regions, the gate electrodes being composed of the first conductive film that contacts the top face of the gate insulating film and the second conductive film that contacts the top face of the first conductive film, the first conductive film and the second conductive film having the same length in the channel length direction. [0012]
  • According to the present invention, there is provided a semiconductor device having a driver circuit that is composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween; the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region; the channel formation region and the gate electrode of the first p-channel TFT have substantially the same length in the channel length direction; the second p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region; the gate electrode of the second p-channel TFT is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; and the fifth concentration impurity region of the second p-channel TFT overlaps the first conductive film with the gate insulating film interposed therebetween. [0013]
  • According to the present invention, there is provided a semiconductor device having a driver circuit that is composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween; the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, a fifth concentration impurity region and an offset region; the second p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region; the gate electrode of the second p-channel TFT is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; and the fifth concentration impurity region of the second p-channel TFT overlaps the first conductive film with the gate insulating film interposed therebetween. [0014]
  • According to the present invention, there is provided a semiconductor device having a driver circuit and a pixel portion, the driver circuit being composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, the pixel portion including a TFT and a storage capacitor, characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween; the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, a fifth concentration impurity region, and an offset region; the second p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region; the gate electrode of the second p-channel TFT is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the fifth concentration impurity region of the second p-channel TFT overlaps the first conductive film with the gate insulating film interposed therebetween; and the TFT formed in the pixel portion has a semiconductor layer that includes a channel formation region, a source region, a drain region, a second impurity region, and an offset region. [0015]
  • Further, according to the present invention, there is provided a semiconductor device having a driver circuit that is composed of an n-channel TFT, a first p-channel TFT, and a second p-channel TFT; characterized in that: the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region; the gate electrode is composed of a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; the second concentration impurity region has an L[0016] OVregion and an Loff region, and the LOV region overlaps the first conductive film with the gate insulating film interposed therebetween whereas the Loff region does not overlap the first conductive film; and the first p-channel TFT and the second p-channel TFT respectively have semiconductor layers, each of the semiconductor layers including a channel formation region, a source region, a drain region, and a fifth concentration impurity region.
  • In the above present invention, the semiconductor device is characterized in that the gate electrodes of the n-channel TFT, the p-channel TFTs, and the TFT formed in the pixel portion are formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or formed of an alloy material or a compound material containing any element in the group above as its main ingredient. [0017]
  • In the above present invention, the semiconductor device is characterized in that a plurality of protrusions are formed in the pixel portion; the TFT formed in the pixel portion is electrically connected to a pixel electrode that is uneven; and the uneven portion of the pixel electrode has a radius of curvature of 0.1 to 0.4 μm, and the uneven portion of the pixel electrode is 0.3 to 3 μm tall.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the accompanying drawings: [0019]
  • FIGS. 1A to [0020] 1C are diagrams showing an embodiment mode of the present invention;
  • FIGS. 2A to [0021] 2C are diagrams showing the embodiment mode of the present invention;
  • FIGS. 3A to [0022] 3C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 4A to [0023] 4C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 5A and 5B are diagrams showing a process of manufacturing a semiconductor device according to the present invention; [0024]
  • FIG. 6 is a diagram showing the structure of a semiconductor device according to the present invention; [0025]
  • FIGS. 7A to [0026] 7C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 8A to [0027] 8C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 9A to [0028] 9C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIG. 10 is a diagram showing the top view of a semiconductor device according to the present invention; [0029]
  • FIG. 11 is a diagram showing a sectional view of a semiconductor device according to the present invention; [0030]
  • FIGS. 12A and 12B are diagrams showing a process of manufacturing a semiconductor device according to the present invention; [0031]
  • FIGS. 13A and 13B are diagrams showing a process of manufacturing a semiconductor device according to -the present invention; [0032]
  • FIGS. 14A to [0033] 14C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 15A to [0034] 15C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 16A to [0035] 16C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIG. 17 is a diagram showing a process of manufacturing a semiconductor device according to the present invention; [0036]
  • FIGS. 16A to [0037] 18C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 19A to [0038] 19C a re diagram s showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 20A to [0039] 20C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 21A to [0040] 21C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIG. 22 is a diagram showing a sectional view of a semiconductor device according to the present invention; [0041]
  • FIG. 23 is a circuit block diagram of an active matrix liquid crystal display device; [0042]
  • FIG. 24 is a circuit block diagram of an active matrix liquid crystal display device; [0043]
  • FIGS. 25A to [0044] 25D are diagrams showing an exemplary method of crystallizing a semiconductor film;
  • FIGS. 26A to [0045] 26D are diagrams showing an exemplary method of crystallizing a semiconductor film;
  • FIGS. 27A and 27B are diagrams showing a process of manufacturing a semiconductor device according to the present invention; [0046]
  • FIGS. 28A to [0047] 28C are diagrams showing a process of manufacturing a semiconductor device according to the present invention;
  • FIGS. 29A and 29B are diagrams showing a process of manufacturing a semiconductor device according to the present invention; [0048]
  • FIG. 30 is a top view of a semiconductor device according to the present invention; [0049]
  • FIGS. 31A and 31B are diagrams showing the circuit structure of an EEMOS circuit and an EDMOS circuit, respectively; [0050]
  • FIG. 32 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention; [0051]
  • FIG. 33 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention; [0052]
  • FIGS. 34A to [0053] 34F are diagrams showing examples of an electronic appliance;
  • FIGS. 35A to [0054] 35D are diagrams showing examples of an electronic appliance;
  • FIGS. 36A to [0055] 36C are diagrams showing examples of an electronic appliance;
  • FIG. 37 is a graph showing the Id-Vg curve of a TFT manufactured in accordance with the present invention; [0056]
  • FIG. 38 is a graph showing the Id-Vg curve of a TFT manufactured in accordance with the present invention; [0057]
  • FIG. 39 is a diagram showing a sectional view of an inverter circuit; [0058]
  • FIG. 40 is a graph showing the Id-Vg curve of a TFT manufactured in accordance with the present invention; [0059]
  • FIGS. 41A and 41B are graphs showing the Id-Vg curve of TFTs manufactured in accordance with the present invention; [0060]
  • FIG. 42 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention; [0061]
  • FIG. 43 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention; [0062]
  • FIG. 44 is a graph showing results of measuring the reliability of a TFT manufactured in accordance with the present invention; [0063]
  • FIGS. 45A and 45B are graphs showing results of measuring the reliability of TFTs manufactured in accordance with the present invention; [0064]
  • FIG. 46 is a diagram showing an embodiment of the present invention; and [0065]
  • FIGS. 47A and 47B are respectively a top view and a sectional view of an embodiment of the present invention.[0066]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • [Embodiment Mode 1][0067]
  • An embodiment mode of the present invention will be described with reference to FIGS. 1A to [0068] 2C.
  • On a [0069] substrate 10, a base insulating film 11 is formed from an insulating film such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film. The base insulating film 11 in this embodiment mode has a two- layer structure 11 a and 11 b. However, the base insulating film may have a single layer or three or more layers of the insulating films given in the above.
  • Next, an amorphous semiconductor film is formed on the [0070] base insulating film 11 to a thickness of 30 to 60 nm. No limitation is put on the material of the amorphous semiconductor film, but the film is preferably formed of silicon or a silicon germanium (SixGe1-x; 0<x<1, typically x=0.001 to 0.05) alloy. The amorphous semiconductor film is then subjected to a known crystallization treatment (such as laser crystallization, thermal crystallization, or thermal crystallization using nickel or other catalysts) to form a crystalline semiconductor film. The obtained crystalline semiconductor film is patterned into a desired shape to form semiconductor layers 12 to 14.
  • After forming the semiconductor layers [0071] 12 to 14, the layers may be doped with an impurity element imparting p-type conductivity in order to control the threshold of an n-channel TFT:. Known impurity elements that can give a semiconductor the p type conductivity are elements belonging to Group 13 in the periodic table, such as boron (B), aluminum (Al), and gallium (Ga).
  • A [0072] gate insulating film 15 is formed next to cover the island-like semiconductor layers 12 to 14. The gate insulating film 15 is formed by plasma CVD or sputtering from an insulating film containing silicon to have a thickness of 40 to 150 nm. Of course, the gate insulating film may be a single layer or a laminate of an insulating film containing silicon.
  • Subsequently formed on the [0073] gate insulating film 15 as a laminate are a first conductive film (TaN film) 16 a with a thickness of 20 to 100 nm and a second conductive film (W film) 16 b with a thickness of 100 to 400 nm. The conductive films may be formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or formed of an alloy material or a compound material containing any element in the group above as its main ingredient. Alternatively, a semiconductor film, typically a polycrystalline silicon film, doped with an impurity element such as phosphorus may be used.
  • Next, resist [0074] masks 17 to 19 are formed by photolithography and a first etching treatment is conducted by ICP (inductively coupled plasma) etching or other etching methods to form an electrode and a wiring line. The W films 20 b to 22 b are first etched under first etching conditions to taper the first conductive film around the edge, and then the W films 20 b to 22 b and the TaN films 20 a to 22 a are simultaneously etched under second etching conditions to form first shape conductive layers 20 to 22. Denoted by 26 is a part of the gate insulating film, and regions thereof that are not covered with the first shape conductive layers 20 to 22 are also etched and thinned.
  • Then a first doping treatment is conducted, without removing the resist masks, to dope the semiconductor layers with an impurity element imparting n-type conductivity. Ion doping or ion implantation is employed for the doping treatment. In the first doping treatment, the first shape [0075] conductive layers 20 to 22 serve as masks against the impurity element imparting n-type conductivity to form first concentration impurity regions 23 to 25 in a self-aligning manner.
  • Still keeping the resist masks in place, a second etching treatment is conducted as shown in FIG. 1C. Second shape second [0076] conductive films 27 b to 29 b are formed by anisotropic etching. At this point, the first conductive layers and the gate insulating film are also etched slightly to form second shape first conductive films 27 a to 29 a. As a result, second shape conductive layers 27 to 29 (the first conductive films 27 a to 29 a and the second conductive films 27 b to 29 b) and a gate insulating film 39 are formed.
  • A second doping treatment is next conducted without removing the resist masks. In the second doping treatment, the layers are doped with an impurity element imparting n-type conductivity in a dose smaller than in the first doping treatment and at a high acceleration voltage. Thus formed are second [0077] concentration impurity regions 33 to 35 and 36 to 38 that are newly formed in the semiconductor layers inside the first concentration impurity regions formed in FIG. 1B. In the doping, the semiconductor layers under the second shape first conductive films 27 a to 29 a are also doped with the impurity element while using the second shape conductive layers 27 to 29 as masks.
  • Thus formed are third [0078] concentration impurity regions 36 to 38 and second concentration impurity regions 33 to 35. The third concentration impurity regions 36 to 38 overlap the second shape first conductive films 27 a to 29 a, respectively. The second concentration impurity regions are placed between the first concentration impurity regions and the third concentration impurity regions (33 is between 30 and 36, 34 is between 31 and 37, and 35 is between 32 and 38).
  • Then the resist masks are removed. Thereafter, a [0079] mask 40 is newly formed from a resist so as to cover the n-channel TFT of a driver circuit portion. A third etching treatment is conducted as shown in FIG. 2A. The first conductive layers of a p-channel TFT and of the TFT in the pixel portion are etched to form third shape conductive layers 41 and 42. At this point, a gate insulating film 43 that is not covered with the mask 40 is slightly etched and thinned.
  • In order to avoid fluctuation caused by the uneven gate insulating film, the gate insulating film is etched after the resist mask is removed as shown in FIG. 2B. The conductive layers serve as masks to leave portions of the gate insulating film unetched, thereby forming [0080] gate insulating layers 44 to 46.
  • Next, resist [0081] masks 47 and 48 are newly formed to conduct a third doping treatment as shown in FIG. 2B. In the third doping treatment, the semiconductor layer to be an active layer of the p-channel TFT is doped with an impurity element imparting p-type conductivity while using the third shape conductive layer 41 (41 a and 41 b) as a mask against the impurity element. As a result, fourth concentration impurity regions 49 to 51 are formed in a self-aligning manner.
  • In this way, TFTs shown in FIG. 2C are manufactured. An n-[0082] channel TFT 71 of a driver circuit 73 includes: the third concentration impurity region 36 overlapping with the second shape conductive layer 27 for forming a gate electrode (the region 36 is called a GOLD region in this specification); the second concentration impurity region 33 formed outside the gate electrode (the region 33 is called an LDD region in this specification); and the first concentration impurity region functioning as a source region or a drain region. A reference symbol 72 denotes a p-channel TFT of the driver circuit 73. A pixel TFT 74 in the pixel portion has the third concentration impurity region 38 and the second concentration impurity region 35 formed outside the gate electrode (the regions 38 and 35 are both called LDD regions in this specification), and has the first concentration impurity region 32 functioning as a source region or a drain region.
  • [Embodiment Mode 2][0083]
  • This embodiment mode describes a method of forming an uneven electrode with projections formed by the same process that is used to form a TFT in a pixel portion. [0084]
  • A substrate is prepared by forming an insulating film on a surface of a glass substrate, a quartz substrate, a silicon substrate, a metal substrate, or a stainless steel substrate. A plastic substrate may also be used as long as it has a heat resistance against the process temperature of embodiments. A base insulating film is formed on the substrate and a semiconductor layer is formed on the base insulating film. [0085]
  • The projections can have high reproducibility when formed using a photo mask. Therefore it is appropriate to form the projections in accordance with the process of manufacturing a [0086] pixel TFT 1203. An example of forming the projections by layering the semiconductor layer, a gate insulating film, and a conductive film similar to the manufacture of the pixel TFT 1203 is illustrated in FIGS. 3A to 5B.
  • The method of forming the projections is not particularly limited and a single layer of one of the above films, or a laminate combining the above films may be used. For example, the projections may be a laminate of the semiconductor layer and the insulating film, or a single layer of the conductive film. In other words, a plurality of projections can be formed without increasing the number of steps for manufacturing a semiconductor device. [0087]
  • The thus formed projections, as well as the pixel TFT formed by the same process and a TFT in a driver circuit, are covered with an interlayer insulating film. The curvature of the uneven portion of the pixel electrode can be adjusted by selecting the material of the insulating film. The radius of curvature of the uneven portion of the pixel electrode is set to 0.1 to 0.4 μm (preferably 0.2 to 2 μm). When the insulating film is an organic resin film, an appropriate organic resin film has a viscosity of 10 to 1000 cp (preferably 40 to 200 cp) (for instance, a polyimide film or an acrylic resin film), so that the surface of the film shows enough irregularities in accordance with the underlying uneven region. [0088]
  • After forming the uneven interlayer insulating film, the pixel electrode is formed thereon. The surface of the pixel electrode is also irregular due to the uneven insulating film. The uneven portion is 0.3 to 3 μm tall. With the uneven portion formed on the surface of the pixel electrode, light can effectively be scattered when incident light is reflected as shown in FIG. 6. [0089]
  • The projections shown in this embodiment mode are a laminate of the semiconductor layer, the gate insulating film, the first conductive film, and the second conductive film layered in accordance with the process of manufacturing the pixel TFT. However, the projections are not particularly limited and any layer or film given in the above can form a single layer or a laminate to serve as the projections. Thus the projections having a necessary height can be formed without increasing the number of manufacture steps. One projection is spaced apart from an adjacent projection by 0.1 μm or more, preferably 1 μm. [0090]
  • The projections desirably vary in size in order to scatter the reflected light better, though no particular limitation is set. The shape and arrangement of the projections may irregular or regular. Furthermore, the projections do not need to be in any particular place as long as they are in a region below the pixel electrode which corresponds to the display region of the pixel portion. [0091]
  • An appropriate size of the protrusion when viewed from the above is 100 to 400 μm[0092] 2, preferably, 25 to 100 μm2.
  • In this way, the uneven pixel electrode can be formed without increasing the number of manufacture steps. [0093]
  • [Embodiment 1][0094]
  • Embodiments of the present invention will be described with reference to FIGS. 7A to [0095] 11. Here, a detailed description will be given on a method of forming, simultaneously, on the same substrate, a TFT for a pixel portion and TFTs (an n-channel TFT and a p-channel TFT) for a driver circuit that is provided in the periphery of the pixel portion.
  • A [0096] substrate 100 may be a glass substrate, a quartz substrate, a ceramic substrate, or the like. Alternatively, a silicon substrate, a metal substrate, or a stainless steel substrate may be used if the surface of the substrate is formed with an insulating film. A plastic substrate having a heat resistance against the process temperature of this embodiment may also be used.
  • As shown in FIG. 7A, a [0097] base insulating film 101 is formed on the substrate 100 from an insulating film such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film. The base insulating film 101 in this embodiment has a two-layer structure. However, it may be a single layer of the insulating films given in the above, or a laminate consisting of more than two layers of the above insulating films. The first layer 101 a of the base insulating film 101 is a silicon oxynitride film 101 a formed to a thickness of 50 to 100 nm using as reaction gas SiH4, NH3, and N2O. The second layer 101 b of the base insulating film 101 is a silicon oxynitride film 101 b formed to a thickness of 100 to 150 nm using as reaction gas SiH4, and N2O. The film 101 b is layered on the film 101 a.
  • An amorphous semiconductor film is formed next on the [0098] base insulating film 101. The thickness of the amorphous semiconductor film is 30 to 60 nm. Though not limited, the material of the amorphous semiconductor film is preferably silicon or a silicon germanium (SiXGe1-x; 0<x<1, typically x=0.001 to 0.05) alloy. In this embodiment, the amorphous semiconductor film is formed by plasma CVD using SiH4 gas.
  • The base insulating film and the amorphous semiconductor film can be formed by the same film formation method, and therefore the base insulating film and the amorphous semiconductor film may be formed successively. [0099]
  • Next, the amorphous semiconductor film is subjected to a known crystallization treatment (such as laser crystallization, thermal crystallization, or thermal crystallization using nickel or other catalysts) to form a crystalline semiconductor film. The obtained crystalline semiconductor film is patterned into a desired shape. In this embodiment, a solution containing nickel is retained to the top face of the amorphous silicon film. The film is then subjected to dehydrogenation (at 500° C. for an hour) followed by thermal crystallization (at 550° C. for four hours) and laser annealing treatment for improving crystallinity, whereby a crystalline silicon film is formed. The crystalline silicon film is patterned by photolithography to form semiconductor layers [0100] 102 to 106.
  • After forming the semiconductor layers [0101] 102 to 106, the layers may be doped with an impurity element imparting p-type conductivity in order to control the threshold (Vth) of an n-channel TFT. Known impurity elements that can give a semiconductor the p type conductivity are elements belonging to Group 13 in the periodic table, such as boron (B), aluminum (Al), and gallium (Ga). In this embodiment, boron (B) is used in the doping.
  • Besides, in the case where the crystalline semiconductor film is manufactured by the laser crystallization method, a pulse oscillation type or continuous emission type excimer laser, a YAG laser, or a YVO[0102] 4 laser may be used. In the case where those lasers are used, it is appropriate to use a method in which laser light radiated from a laser oscillator is condensed by an optical system into a linear beam, and is irradiated to the semiconductor film. The conditions of the crystallization may be properly selected by an operator.
  • A [0103] gate insulating film 107 is then formed for covering the island-like semiconductor layers 102 to 106. The gate insulating film 107 is formed of an insulating film containing silicon with a thickness of from 40 to 150 nm by a plasma CVD method or a sputtering method. Of course, a single layer or a lamination structure of an insulating film containing other silicon can be used for the gate insulating film.
  • When the silicon oxide film is used, it can be formed by a plasma CVD method in which TEOS (tetraethyl orthosilicate) and O[0104] 2 are mixed, with a reaction pressure of 40 Pa, a substrate temperature of from 300 to 400° C., and discharged at a high frequency (13.56 MHz) power density of 0.5 to 0.8 W/cm2. The silicon oxide film thus manufactured can obtain good characteristics as the gate insulating film by subsequent thermal annealing at 400 to 500° C.
  • Then, on the [0105] gate insulating film 107, a first conductive film (TaN) 108 and a second conductive film (W) 109 are formed into lamination to have a film thickness of 20 to 100 nm and 100 to 400 nm, respectively. The conductive films forming a gate electrode may be formed of an element selected from the group consisting of Ta, W, Ti. Mo, Al, and Cu, or an alloy material or a compound material containing the above element as its main constituent. Further, a semiconductor film typified by a polycrystalline silicon film doped with an impurity element such as phosphorus may be used. Besides, any combination may be employed such as a combination in which the first conductive film is formed of tantalum (Ta) and the second conductive film is formed of W, a combination in which the first conductive film is formed of titanium nitride (TaN) and the second conductive film is formed of Al, or a combination in which the first conductive film is formed of tantalum nitride (TaN) and the second conductive film is formed of Cu.
  • Next, masks [0106] 110 to 115 made from resist are formed using a photolithography method, and a first etching process is performed in order to form electrodes and wirings. In this embodiment, an ICP (inductively coupled plasma) etching method is used, a gas mixture of CF4, Cl2 and O2 is used as an etching gas, the gas flow rate is set to 25/25/10 sccm, and a plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode at 1 Pa, thereby performing etching. A 150 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), effectively applying a negative self-bias voltage. The W film is etched with the first etching conditions, and a first shape conductive film including the taper portion at the end portion is formed.
  • Thereafter, the first etching conditions are changed into the second etching conditions without removing the [0107] masks 110 to 115 made of resist, a gas mixture of CF4 and Cl2 is used as an etching gas, the gas flow rate is set to 30/30 sccm, and a plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode at 1 Pa, thereby performing etching for about 30 seconds. A 20 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), effectively applying a negative self-bias voltage. The W film and the TaN film are both etched on the same order with the second etching conditions in which CF4 and Cl2 are mixed. Note that, the etching time may be increased by approximately 10 to 20% in order to perform etching without any residue on the gate insulating film.
  • In the first etching process, a first shape conductive layer is formed to have a tapered shape at the end portion due to the effect of the bias voltage applied to the substrate side by adopting a suitable shape of the masks formed from resist. The angle of the tapered portions is set to 15 to 45°. Thus, first shape [0108] conductive layers 117 to 122 (first conductive layers 117 a to 122 a and second conductive layers 117 b to 122 b) are formed by the first etching process. Reference numeral 116 denotes a gate insulating film, and regions of the gate insulating film, which are not covered by the first shape conductive layers 117 to 122, are made thinner by approximately 20 to 50 nm by etching.
  • Then, a first doping process is performed to add an impurity element for imparting n-type conductivity to the semiconductor layer without removing the mask made of resist (FIG. 7B). Doping may be carried out by an ion doping method or an ion implantation method. The condition of the ion doping method is that a dosage is 1.5×10[0109] 15/cm2, and an acceleration voltage is 60 to 100 keV. As the impurity element for imparting n-type conductivity, an element belonging to group 15 of the periodic table, typically phosphorus (P) or arsenic (As) is used. In this case, the conductive layers 117 to 121 become masks to the impurity element imparting n-type conductivity, and the first concentration impurity regions 123 to 127 are formed in a self-aligning manner. The impurity element imparting n-type conductivity in the concentration range of 1×1020 to 1×l0 21atoms/cm3 is added to the first concentration impurity regions 123 to 127.
  • Thereafter, as shown in FIG. 7C, the second etching process is performed without removing the masks made of resist. Here, a gas mixture of CF[0110] 4, Cl2 and O2 is used as an etching gas, the gas flow rate is set to 20/20/20 (sccm), and a plasma is generated by applying a 500 W RF (13.56 MHz) power to a coil shape electrode at 1 Pa, thereby performing etching. A 20 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), effectively applying a self-bias voltage which is lower than that of the first etching process. According to the third etching condition, W film is etched. Thus, according to the third etching condition, W film is etched in a different direction to form the conductive films 129 to 134.
  • Etching reactions in etching the W film and the TaN film with a mixture gas of CF[0111] 4 and Cl2 can be inferred from the kind of radicals or ions generated and the vapor pressure of a reaction product. Comparing the vapor pressure among fluorides and chlorides of W and TaN, the vapor pressure of WF6, which is a fluoride of W, is extremely high while the rest of them, namely, WCl5, TaF5, and TaCl5, have about the same level of vapor pressure. Therefore the W film and the TaN film are etched similarly with a mixture gas of CF4 and C1 2. If the mixture gas is added with an appropriate amount of O2, CF4 and O2 reacts to change into CO and F and a large amount of F radicals or F ions are generated. As a result, the W film whose fluoride has high vapor pressure is etched at an increased etching rate. On the other hand, the etching rate of the TaN film does not increase much when F is increased. The surface of the TaN film is slightly oxidized by addition of O2 to the mixture gas because TaN is more easily oxidized than W. The oxide of TaN does not react with fluorine or chlorine, thereby further lowering the etching rate of the TaN film. Accordingly, the etching rate of the W film can be differentiated from the etching rate of the TaN film so that the W film is etched faster than the TaN film.
  • Next, a second doping treatment is conducted as shown in FIG. 8A without removing the resist masks. In the second doping treatment, the layers are doped with an impurity element imparting n-type conductivity in a dose smaller than in the first doping treatment and at a high acceleration voltage. The acceleration voltage is set to 70 to 120 keV, 90 keV, in this embodiment. The dose is set to 1.5×10[0112] 14 atoms/cm2. New impurity regions are thus formed in the semiconductor layers inside the first concentration impurity regions formed in FIG. 8B. In the doping, the semiconductor layers under the second shape first conductive layers 129 a to 133 a are also doped with the impurity element while using the second shape conductive layers 129 to 133 as masks.
  • Thus formed are third [0113] concentration impurity regions 140 to 144 and second concentration impurity regions 135 to 139. The third concentration impurity regions 140 to 144 overlap the second shape first conductive layers 129 a to 133 a, respectively. The second concentration impurity regions are placed between the first concentration impurity regions and the third concentration impurity regions (135 is between 145 and 140, 136 is between 146 and 141, 137 is between 147 and 142, 138 is between 148 a and 143, and 139 is between 149 and 144).
  • Then the resist masks are removed. Thereafter, masks [0114] 150 and 151 are newly formed from a resist to conduct a third etching treatment as shown in FIG. 8B. SF6 and Cl2 are used as the etching gas, the gas flow rate ratio of them is set to 50/10 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.3 Pa to generate plasma for 30 second etching. The substrate side (sample stage) receives an RF (13.56 MHz) power of 10 W to apply a substantially negative self-bias voltage. In this way, the TaN film is etched in a future p-channel TFT and in a future pixel portion TFT under the above third etching conditions. Third shape conductive layers 152 to 155 are formed as a result.
  • In this specification, a ‘future p-channel TFT’ refers to a TFT in the middle of fabrication which is to serve as a p-channel TFT after the fabrication is completed. Similarly, a ‘future n-channel TFT’ refers to an unfinished TFT that is to function as an n-channel TFT after its completion. [0115]
  • The resist masks are removed and the gate insulating film is then etched as shown in FIG. 8C. CHF[0116] 3 is used as the etching gas, the gas flow rate thereof is set to 35 SCCM, and an RF power of 800 W is applied to generate plasma for the etching. Here, the second shape conductive layers 129 and 131 and the third shape conductive layers 152 to 155 serve as masks to cut off portions of the gate insulating film for each TFT (157-162).
  • Next, as shown in FIG. 9A, the [0117] masks 164 to 166 are formed from resist and a third doping process is performed. In accordance with the third doping process, forth concentration impurity regions 167 to 172 are formed, in which the impurity element imparting conductivity opposite to the above conductivity is added to the semiconductor layer that becomes an active layer of the p-channel TFT. The third shape conductive layers 152 and 154 are used as masks to the impurity element, and the impurity element that imparts the p-type conductivity is added, to thereby form the forth concentration impurity regions in a self-aligning manner. In this embodiment, the fourth concentration impurity regions 167 to 172 are formed by an ion doping method using diborane (B2H6). In the third doping process, the semiconductor layer forming the n-channel TFT is covered with the masks 164 and 166 formed from resist. Although phosphorus is added to the forth concentration impurity regions 167 and 172 at different concentrations in accordance with the first and second doping processes, the doping process is performed such that the concentration of the impurity element imparting p-type conductivity is higher in any of the impurity regions. Thus, the impurity regions function as the source region and the drain region of the p-channel TFT so that no problem occurs.
  • In accordance with these processes, the impurity regions are formed on the respective semiconductor layers. In this embodiment, all the impurity regions are formed in a self-aligning manner, with the conductive layer as a mask. The third shape [0118] conductive layers 129, 130, 152, and 153 which overlap the semiconductor layers function as gate electrodes. Besides, the conductive layer 155 functions as source wiring and the conductive layer 154 functions as the capacitor wiring which is one of storage capacity.
  • Subsequently, the [0119] masks 164 and 166 consisting of resist are removed, and a first interlayer insulating film 173 covering the whole surface is formed. This first interlayer insulating film 173 is formed of an insulating film containing silicon with a thickness of 100 to 200 nm by a plasma CVD method or a sputtering method. In this embodiment, a silicon oxynitride film with a film thickness of 150 nm is formed by a plasma CVD method. Of course, the first interlayer insulating film 173 is not particularly limited to the silicon oxynitride film, and other insulating films containing silicon may be formed into a single layer or a lamination structure.
  • Then, as shown in FIG. 9B, a step of activating the impurity elements added in the respective semiconductor layers is performed. This step is carried out by thermal annealing using an annealing furnace. The thermal annealing may be performed in a nitrogen atmosphere having an oxygen concentration of 100 ppm or less, preferably 0.1 ppm or less and at 400 to 700° C., typically 500 to 550° C. Note that, in addition to the thermal annealing method, a laser annealing method, or a rapid thermal annealing method (RTA method) can be applied thereto. [0120]
  • Note that, in this embodiment, at the same time as the above activation process, nickel used as the catalyst for crystallization is gettered to the regions ([0121] 145 to 149, 167, 170) containing phosphorus at a high concentration. As a result, mainly nickel concentration of the semiconductor layer which becomes a channel formation region is lowered. The TFT having a channel formation region thus formed is decreased in off current value, and has high electric field mobility because of good crystallinity, thereby attaining satisfactory characteristics.
  • Next, a second [0122] interlayer insulating film 174 made of an organic insulating material is formed on the first interlayer insulating film 173. Then, patterning is performed for forming a contact hole reaching the source wiring 155 and contact holes reaching the respective impurity regions 145, 147, 148 a 167 and 170.
  • Then, in a [0123] driver circuit 406, wirings 175 to 180 electrically connected to the first concentration impurity region and the fourth concentration impurity region, respectively, are formed. Note that, these wirings are formed by patterning a lamination film of a Ti film with a film thickness of 50 to 250 nm and an alloy film (alloy film of Al and Ti) with a film thickness of 300 to 500 nm.
  • Besides, in the [0124] pixel portion 1407, a pixel electrode 183, a gate wiring 182, and a connecting electrode 181 are formed (FIG. 9C). The source wiring 155 is electrically connected with the pixel TFT 1404 by the connecting electrode 181. Further, the gate wiring 182 is electrically connected with a third shape conductive layer 153 (a gate electrode of the pixel TFT). Furthermore, the pixel electrode 183 is electrically connected with the drain region of the pixel TFT and with the semiconductor layer functioning as one of electrodes forming a storage capacity. Preferably, as the pixel electrode 183, the film composed of Al or Ag as its main constituent, or a lamination film of the films, which is superior in reflection.
  • In the manner as described above, the [0125] driver circuit 1406 including an n-channel TFT 1401, a p-channel TFT 1402, and an n-channel TFT 1403, and the pixel portion 1407 including the pixel TFT 1404 and a storage capacitor 1405 can be formed on the same substrate. In this specification, such a substrate is called an active matrix substrate for convenience.
  • The n-[0126] channel TFT 1401 of the driver circuit 1406 includes a channel formation region 184, the third concentration impurity region 140 (GOLD region) overlapping with the third shape conductive layer 129 forming the gate electrode, the second concentration impurity region 135 (LDD region) formed outside the gate electrode, and the first concentration impurity region 145 functioning as a source region or a drain region. The p-channel TFT 1402 includes a channel formation region 185, forth concentration impurity regions 168 and 169, which are formed outside the gate electrode, and a forth concentration impurity region 167 functioning as a source region or a drain region. The n-channel TFT 1403 includes a channel formation region 186, the third concentration impurity region 142 (GOLD region) overlapping the third shape conductive layer 131 forming the gate electrode, the second concentration impurity region 137 (LDD region) formed outside the gate electrode, and the first concentration impurity region 147 functioning as a source region or a drain region.
  • The [0127] pixel TFT 1404 of the pixel portion includes a channel formation region 187, the third concentration impurity region 143 (LDD region) formed outside the gate electrode, the second concentration impurity region 138 (LDD region), and the first concentration impurity region 148 a functioning as a source region or a drain region. Besides, impurity elements imparting p-type conductivity are added at the same concentration as the forth concentration impurity region to the respective semiconductor layers 170 to 172 functioning as one of electrodes of the storage capacitor 1405. The storage capacitor 1405 is formed by the capacitor wiring 154 and the semiconductor layers 170 to 172 with the insulating film (the same film as the gate insulting film) as a dielectric.
  • In this embodiment, an optimal structure is chosen for the respective TFTs constituting the circuits in accordance with circuit specifications required for the pixel portion and the driver circuit, so that the operation performance and the reliability of the semiconductor device are improved. Specifically, the LDD structure or the GOLD structure is chosen for an n-channel TFT according to the circuit specification. Thus a TFT structure giving priority to high-speed operation or hot carrier countermeasure and a TFT structure giving priority to low OFF current operation can be formed on the same substrate. [0128]
  • For instance, in the case of an active matrix liquid crystal display device, the n-[0129] channel TFTs 1401 and 1403 are suitable for driver circuits for which high-speed operation is more important, such as a shift register, a frequency dividing circuit, a signal dividing circuit, a level shifter, and a buffer. In other words, a TFT obtains a structure that places stress on hot carrier countermeasures by having a GOLD region.
  • The [0130] pixel TFT 1404 is an n-channel TFT having a structure that gives priority to low OFF current operation. This TFT is therefore applicable to a sampling circuit other than the pixel portion. The TFT has no GOLD region that can increase the OFF current value but has an LDD region and an offset region to obtain low OFF current operation. In addition, it has been confirmed that the first concentration impurity region 148 b is very effective in reducing the OFF current value.
  • FIG. 10 shows the top view of a pixel portion on an active matrix substrate fabricated in accordance with this embodiment. In FIG. 10, components corresponding to those in FIGS. 7A to [0131] 9C are denoted by the same reference symbols. The sectional view taken along the dot-dashed line A-A′ in FIG. 10 corresponds to the one taken along the dot-dashed line A-A′ in FIG. 9. The sectional view taken along the dot-dashed line B-B′ in FIG. 10 corresponds to the one taken along the dot-dashed line B-B′ in FIG. 9.
  • As illustrated in the drawings, the active matrix substrate having the pixel structure of this embodiment is characterized in that, the [0132] gate electrode 153 of the pixel TFT and the gate line 182 are formed in different layers so that the semiconductor layer is shielded from light by the gate line 182.
  • According to the pixel structure of this embodiment, the pixel electrodes are arranged so that edges of the pixel electrodes overlap the source wiring line in order to shield gaps between the pixel electrodes against light without using a black matrix. [0133]
  • The surfaces of the pixel electrodes according to this embodiment are desirably made uneven by a known method, e.g., the sand blast method or etching, in order to increase the white light level by preventing regular reflection and scattering the reflected light. [0134]
  • The pixel structure described above makes it possible to arrange pixel electrodes having a larger area to improve the aperture ratio. [0135]
  • The manufacture process shown in this embodiment requires only six photo masks to fabricate an active matrix substrate (namely, a semiconductor layer pattern mask, a first wiring line pattern mask (including the [0136] gate electrode 153 of the pixel TFT, the capacitor wiring line 154, and the source line 155), a pattern mask for forming conductive layers of the p-channel TFT and of the pixel portion TFT, a pattern mask for forming the source region and the drain region of the p-channel TFT, a pattern mask for forming contact holes, and a second wiring pattern mask (including the pixel electrode 183, the connector electrode 181, and the gate line 182)). Therefore this embodiment can contribute to cutting the process and the manufacture cost and improving the yield.
  • FIG. 11 shows a sectional view of an active matrix substrate suitable for a transmissive liquid crystal display device. The manufacture process of this substrate is the same as the substrate for the above reflective liquid crystal display device up through the step of forming a second interlayer insulating film. On the second interlayer insulating film, a transparent conductive film is formed and then patterned to form a transparent [0137] conductive layer 191. The transparent conductive film may be formed of a compound of indium oxide and tin oxide, or a compound of indium oxide and zinc oxide.
  • In the [0138] driver circuit 1406, wiring lines 175 to 180 electrically connected to the first concentration impurity regions or the fourth concentration impurity regions are formed. The wiring lines are formed by patterning a laminate of a Ti film with a thickness of 50 to 250 nm and an alloy film (of Al and Ti) with a thickness of 300 to 500 nm. On the other hand, a pixel electrode 191, a gate line 182, and connector electrodes 192 and 193 are formed in the pixel portion 1407. The connector electrodes 192 and 193 are formed so as to overlap the pixel electrode 191. In this way, the active matrix substrate suitable for the transmissive liquid crystal display can be manufactured when one more mask is used.
  • TFTs according to this embodiment have displayed excellent characteristics. Of those, the pixel TFT is picked to show its TFT characteristic (the V-I characteristic), which is graphed in FIG. 37. The gate leak is also shown in the graph and it is sufficiently low. The pixel TFT structure of the present invention is particularly capable of lowering OFF current, and also rates well in terms of mobility. OFF current is a drain current flowing when a TFT is in an OFF state. [0139]
  • While FIG. 37 is a V-I characteristic graph of [0140] Samples 1 through 8, FIG. 38 shows the TFT characteristic of Sample 3.
  • Having the structure of the present invention, [0141] Sample 3 shows as small threshold (Vth) as 0.263 V, which is desirable (Vth is the voltage at the rising point in the V-I characteristic graph). The smaller the difference becomes, the more the short channel effect is contained. Sample 3 has a mobility of 119.2 cm2/Vs, meaning it is also excellent in mobility (μFE) that is a parameter indicating easiness for carriers to move. The S value (subthreshold coefficient), which is the reciprocal of the maximum inclination in the rising part of the I-V curve, is 0.196 V/decade in Sample 3 . When VD=5V, OFF current (IOFF2) is 0.39 pA, whereas ON current (ION2) is 70 μA. ON current is a drain current flowing when a TFT is in an ON state. Shift-1 denotes the voltage at the rising of the I-V curve.
  • As described above, employing the present invention results in a semiconductor device having excellent characteristics. [0142]
  • [Embodiment 2][0143]
  • FIG. 39 shows a p-[0144] channel TFT 2100 and an n-channel TFT 2200 of an inverter circuit manufactured in accordance with the present invention. These TFTs are formed on a base insulating film 2002 that is formed on a substrate 2001.
  • The p-[0145] channel TFT 2100 has a semiconductor layer 2003, a gate insulating film 2021, and a gate electrode that is composed of a first conductive layer 2005 a and a second conductive layer 2005 b. The semiconductor layer 2003 includes a channel formation region 2012, a source region 2013 connected with a source electrode 2009, a drain region 2014 connected with a drain electrode 2018, and an LDD region 2015 sandwiched between the drain region and the channel formation region. Reference symbols 2007 and 2008 denote first and second interlayer insulating films, respectively.
  • In the gate electrode, the end of the first [0146] conductive film 2005 a and the end of the second conductive film 2005 b almost coincide with each other on the source region side whereas the end of the first conductive film 2005 a on the drain region side is extended outward. This structure is obtained by forming a resist mask in the third etching treatment shown in FIG. 8B so as to cover only one side of the gate electrode.
  • Thereafter, the [0147] semiconductor layer 2003 in the p-channel TFT is doped with a p type impurity element by ion doping or the like to form an impurity region in the semiconductor layer. The LDD region 2015 can be formed by using the first conductive film 2005 a as a mask. In ion doping, it is possible to form the LDD region as well as the source region and the drain region in a single doping treatment by controlling the acceleration voltage. Instead, the doping treatment may be conducted twice while optimizing the acceleration voltage, so that formation of the LDD region is separated from formation of the source region and the drain region.
  • On the other hand, the n-[0148] channel TFT 2200 has a semiconductor layer 2004, a gate insulating film 2022, and a gate electrode that is composed of a first conductive film 2006 a and a second conductive film 2006 b. The semiconductor layer 2004 includes a channel formation region 2016, a source region 2017 connected with a source electrode 2010, a drain region 2018, and LDD regions 2019 and 2020.
  • Similar to the p-channel TFT the end of the first [0149] conductive film 2006 a and the end of the second conductive film 2006 b in the gate electrode of the n-channel TFT 2200 almost coincide with each other on the source region side whereas the end of the first conductive film 2006 a on the drain region side is extended outward. The LDD region 2019 on the source region side is an LDD region that does not overlap with the gate electrode, whereas the LDD region 2020 on the drain region side overlaps the gate electrode.
  • The LDD regions overlapping the gate electrodes are formed on the drain side in the p-channel TFT and the n-channel TFT as described above. This eases the electric field intensity near a drain and prevents degradation of a TFT due to hot carriers. The preventive effect is needed also in a p-channel TFT especially when the channel length is in submicron level. [0150]
  • However, an LDD region overlapping a gate electrode increases a parasitic capacitance applied to the gate electrode and hence is not always be provided on the source side where there is no need to ease electric field. [0151]
  • According to the present invention, it is possible to form the LDD region only on the drain side as shown in FIG. 39. Furthermore, the invention can readily be applied to the case of employing a minute design rule, because the source region, the drain region, and the LDD region are all formed in a self-aligning manner. [0152]
  • The TFT structure according to this embodiment can most effectively be applied to a TFT in which the position of a drain region is determined in advance as in an inverter circuit. The TFT structure of this embodiment can be combined freely with the manufacture process of [0153] Embodiment 1 by merely changing the resist mask pattern.
  • [Embodiment 3][0154]
  • In the p-channel TFT and the n-channel TFT of the inverter circuit shown in [0155] Embodiment 2, degradation due to hot carriers is not noticeable when the driving voltage is 10 V or lower. Then the LDD region overlapping a gate electrode may not necessarily be formed. In this case, the p-channel TFT has the same structure as the p-channel TFT 402 shown in FIG. 11 while the n-channel TFT has the same structure as the n-channel TFT 404 shown in FIG. 11 and takes a single gate structure.
  • [Embodiment 4][0156]
  • If the channel length is set to 0.6 μm or less in the active matrix substrate described in [0157] Embodiment 1, it is desirable to form the LDD region overlapping a gate electrode also in the p-channel TFT. In this case, the LDD region is formed in the same way as the LDD region of the n-channel TFT 1401 is formed to obtain the same structure, but is doped with a p type impurity element. The LDD region is provided only on the drain side as shown in Embodiment 2, if the direction of a source and a drain is already determined as in shift register circuits and buffer circuits.
  • [Embodiment 5][0158]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0159] Embodiment 1 with reference to FIGS. 12A and 12B. Embodiment 5 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps. The impurity elements used in doping are also the same.
  • First, the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in [0160] Embodiment 1 to reach the state illustrated in FIG. 7B.
  • Thereafter, etching is made under the second etching conditions without removing the resist [0161] masks 110 to 115. According to the second etching conditions, CF4 and Cl2 are used as the etching gas, the gas flow rate ratio of them is set to 30/30 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1 Pa to generate plasma for 30 second etching. The substrate side (sample stage) also receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. The conductive film (A), i.e., the TaN film, and the conductive film (B), i.e., the W film are etched to the same extent under the second etching conditions using a mixture of CF4 and C1 2. As a result, a first shape gate electrode and wiring lines 217 to 223 are formed. The gate electrode is composed of first shape first conductive films 217 a to 223 a and first shape second conductive films 217 b to 223 b.
  • A second doping treatment is conducted without removing the resist [0162] masks 110 to 115. The semiconductor layers 102 to 106 are doped with an impurity element imparting n-type conductivity (hereinafter referred to as n type impurity element). The doping treatment is achieved by ion doping or ion implantation. The n type impurity element to be used is an element belonging to Group 15 in the periodic table, typically, phosphorus (P) or arsenic (As). In this treatment, the first shape gate electrode and capacitance wiring lines 217 to 221 serve as masks to form first concentration impurity regions 224 a to 224 e in a self-aligning manner (FIG. 12A).
  • Still keeping the resist [0163] masks 110 to 115 in place, a third etching treatment is conducted. CF4, C1 2, and O2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for the etching. The substrate side (sample stage) receives an RF (13.56 MHz) power of 20 W for 80 second etching treatment. As a result, a second shape gate electrode and wiring lines 225 to 231 are formed. The gate electrode is composed of second shape first conductive films 225 a to 231 a and second shape second conductive films 225 b to 231 b.
  • Then a third doping treatment is conducted without removing the resist [0164] masks 110 to 115. In the third doping treatment, the semiconductor layers under the second shape first conductive films (TaN films) are also doped with an n type impurity element while using the second shape conductive layer and capacitance wiring lines 225 to 229 as masks. Formed as a result of this treatment between the first concentration impurity regions and the channel formation regions are second concentration impurity regions 232 a to 232 e each containing the n type impurity element in a concentration of 1×1018 to 1×1019 atoms/cm3. The first concentration impurity regions 224 a to 224 e each contain the n type impurity element in a concentration of 1×1020 to 1×1021 atoms/cm3 (FIG. 12B).
  • Next, the resist [0165] masks 110 to 115 are removed and masks 233 to 234 for covering a future n-channel TFT and a future pixel TFT are formed from a resist to conduct a fourth doping treatment. The semiconductor layers are doped with a p type impurity element in a future first p-channel TFT and in a future second p-channel TFT while using the second shape conductive layers 226 and 227 and the capacitance wiring line 229 as masks. Fourth concentration impurity regions 235 a to 235 c and fifth concentration impurity regions 235 d to 235 f are thus formed in a self-aligning manner. In this embodiment, p type impurity regions are formed by ion doping using diborane (B2H6). The fourth concentration impurity regions (P+) 235 a to 235 c each contain the p type impurity element in a concentration of 2×1020 to 1×1021 atoms/cm3. The fifth concentration impurity regions 235 d to 235 f each contain the p type impurity element in a concentration of 2×1017 to 1×1019 atoms/cm3. Although the semiconductor layers of the p-channel TFTs have previously been doped with the n type impurity element, the layers do not have a problem to function as source regions and drain regions of the future p-channel TFTs if they are doped with the p type impurity element in the fourth doping treatment in a concentration higher than the concentration of the n type impurity element (FIG. 13A).
  • Resist [0166] masks 236 and 237 are then used to cover the n-channel TFT and the first p-channel TFT of the driver circuit to conduct a fourth etching treatment. Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 30 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage. Thus formed in the second p-channel TFT of the driver circuit and in the pixel TFT of the pixel portion third shape conductive layers (composed of third shape first conductive films 238 a and 239 a and third shape second conductive films 238 b and 239 b) 238 and 239, a capacitance wiring line 240, and wiring lines 241 and 242 (FIG. 13B). Through the above treatment, the exposed portions of the gate insulating film on which the third shape conductive layers are not formed have obtained a thickness of about 30 nm in the pixel portion and a thickness of about 40 nm in the driver circuit.
  • The impurity regions are formed in the respective semiconductor layers through the above steps. For the subsequent steps to complete the active matrix substrate, see the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0167] Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in [0168] Embodiment 1. Although this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1. Examples of the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a γ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • [Embodiment 6][0169]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0170] Embodiment 1 with reference to FIGS. 14A to 14C. Embodiment 6 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps. The impurity elements used in doping are also the same.
  • First, the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in [0171] Embodiment 1 to reach the state illustrated in FIG. 7B. Thereafter, a second etching treatment is conducted. CF4, Cl2 and O2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 60 second etching. The substrate (sample stage) side also receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. As a result of the second etching treatment, second shape conductive layers 301 to 304 and wiring lines 305 to 307 are formed.
  • Next, the semiconductor layers are doped with an n type impurity element through the second shape first conductive films in a self-aligning manner while using the second shape second conductive films as masks. Formed as a result of this treatment between the channel formation regions and first [0172] concentration impurity regions 308 a to 308 e are second concentration impurity regions 308 f to 308 j each containing the n type impurity element in a concentration of 1×1018 to 1×1019 atoms/cm3. At this point, the first concentration impurity regions 308 a to 308 e each contain the n type impurity element in a concentration of 1×1020 to 1×1021 atoms/cm3.
  • Then the resist [0173] masks 110 to 115 are removed. Thereafter, masks 309 and 310 for covering the n-channel TFT and the pixel TFT are newly formed from a resist to conduct a third doping treatment. Through the third doping treatment, the semiconductor layers in the p-channel TFTs are doped with a p type impurity element in a self-aligning manner while using the second shape conductive layers as masks. Fourth concentration impurity regions 311 a to 311 c and fifth concentration impurity regions 311 d to 311 f are thus formed (FIG. 14B).
  • The resist [0174] masks 309 and 310 are removed, and masks 312 and 313 are newly formed from a resist to cover the n-channel TFT and the second p-channel TFT Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 10 W to apply a substantially negative self-bias voltage. Thus formed in the first p-channel TFT and in the pixel TFT are third shape conductive layers (composed of third shape first conductive films 314 a and 315 a and third shape second conductive films 314 b and 315 b) 314 and 315, and wiring lines 316 to 318 (FIG. 14C).
  • Through the third etching treatment, offset [0175] regions 311 g and 311 h are formed in the semiconductor layers of the first p-channel TFT and of the pixel TFT, respectively. An offset region in this specification refers to a semiconductor layer having the same composition as a channel formation region (meaning, the region contains the same impurity element as the channel formation region), and the region does not overlap a gate electrode. The offset regions 311 g and 311 h function as simple resistors and are very effective in reducing the OFF current value.
  • For the subsequent steps to complete the active matrix substrate, see the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0176] Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in [0177] Embodiment 1. Although this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1. Examples of the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a γ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • [Embodiment 7][0178]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0179] Embodiment 1 with reference to FIGS. 15A to 15C. Embodiment 7 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • First, the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in [0180] Embodiment 1 to reach the state illustrated in FIG. 7B. Thereafter, a second etching treatment is conducted. In the second etching treatment, CF4, Cl2 and O2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 80 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. Thus second shape conductive layers and wiring lines are formed.
  • Next, the n-channel TFT and the pixel TFT are covered with resist [0181] masks 401 and 402, respectively, to conduct a second doping treatment. Through the second doping treatment, the semiconductor layers in the p-channel TFTs are doped with a p type impurity element. The semiconductor layers are doped with the p type impurity element through the second shape first conductive films in a self-aligning manner while using the second shape second conductive films as masks. As a result, fourth concentration impurity regions 403 a to 403 c and fifth concentration impurity regions 403 d to 403 f are formed (FIG. 15A).
  • The n-channel TFT and the second p-channel TFT are then covered with resist [0182] masks 404 and 405, respectively, to conduct a third etching treatment. Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. Thus third shape conductive layers 406 and 407 and wiring lines 408 to 410 are formed (FIG. 15B).
  • Next, the resist [0183] masks 404 and 405 are removed to conduct a third doping treatment. In the third doping treatment, the semiconductor layers are doped with an n type impurity element to form impurity regions 411 a and 411 b. The semiconductor layers in the p-channel TFTs do not have a problem to function as source regions and drain regions of the p-channel TFTs because the regions have already been doped with the p type impurity element in a concentration higher than the concentration of the n type impurity element (FIG. 15C).
  • After finishing the steps described above, the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0184] Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in [0185] Embodiment 1. Although this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1. Examples of the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a γ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • [Embodiment 8][0186]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0187] Embodiment 1 with reference to FIGS. 16A to 16C. Embodiment 8 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • First, the second etching treatment and the second doping treatment are conducted in accordance with the manufacture process shown in [0188] Embodiment 1 to reach the state illustrated in FIG. 7C.
  • Next, a [0189] mask 501 is formed from a resist to cover the n-channel TFT and a third etching treatment is conducted. In the third etching treatment, Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage. Thus third shape conductive layers and wiring lines 502 to 507 are formed (FIG. 16B).
  • After the resist mask is removed, the gate insulating film is etched. CHF[0190] 3 is used as the etching gas, the gas flow rate thereof is set to 35 SCCM, and an RF (13.56 MHz) power of 800 W is applied to generate plasma for the etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. Here, the second shape gate electrode serves as a mask for the n-channel TFT whereas the third shape conductive layers and the capacitance wiring lines serve as masks for the other TFTs, and portions of the gate insulating film are cut off for each TFT to form gate insulating films 508 to 514 (FIG. 16C).
  • Then masks [0191] 515 and 516 are newly formed from a resist to conduct a third doping treatment. Through the third doping treatment, the semiconductor layers in the p-channel TFTs are doped with a p type impurity element while using the third shape gate electrode and capacitance wiring lines as masks. Fourth concentration impurity regions 517 a to 517 c and fifth concentration impurity regions 517 d to 517 f are thus formed in a self-aligning manner (FIG. 17).
  • After finishing the steps described above, the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0192] Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in [0193] Embodiment 1. Although this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1. Examples of the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a γ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • [Embodiment 9][0194]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0195] Embodiment 1 with reference to FIGS. 18A to 18C. Embodiment 9 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • First, the second etching treatment and the second doping treatment are conducted in accordance with the manufacture process shown in [0196] Embodiment 1 to reach the state of FIG. 7C where the second shape conductive layers and wiring lines are formed.
  • Next, the n-channel TFT is covered with a resist [0197] mask 601 to conduct a third etching treatment. Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage. Thus third shape conductive layers and wiring lines 602 to 607 are formed (FIG. 18B).
  • The resist [0198] mask 601 is then removed and masks 608 and 609 are newly formed from a resist to cover the n-channel TFT and the pixel TFT, respectively. A third doping treatment is conducted and the semiconductor layers are doped with a p type impurity element to form fourth concentration p type impurity regions 610 a to 610 c and fifth concentration impurity regions 610 d to 610 f (FIG. 18C).
  • After finishing the steps described above, the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0199] Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in [0200] Embodiment 1. Although this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1. Examples of the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a γ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • [Embodiment 10][0201]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0202] Embodiment 1 with reference to FIGS. 19A to 19C. Embodiment 10 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • First, the first etching treatment and the first doping treatment are conducted in accordance with the manufacture process shown in [0203] Embodiment 1 to reach the state illustrated in FIG. 7B. A second etching treatment is conducted next. First etching conditions for the second etching treatment are as follows: CF4 and Cl2 are used as the etching gas, the gas flow rate ratio of them is set to 30/30 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 30 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage (FIG. 19B). The treatment is then followed by etching under second etching conditions: CF4, Cl2 and O2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for 60 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. Thus second shape conductive layers and wiring lines 701 to 707 are formed (FIG. 19C).
  • A second doping treatment is conducted next. The semiconductor layers are doped with an n type impurity element while using the second shape gate electrode and capacitance wiring lines as masks. As a result, second [0204] concentration impurity regions 708 a to 708 e each containing the n type impurity element in a concentration of 1×1018 to 1×1019 atoms/cm3 are formed in a self-aligning manner. At this point, the first concentration impurity regions each contain the n type impurity element in a concentration of 1×1020 to 1×1021 atoms/cm3 (FIG. 20A).
  • In this embodiment, the second etching treatment is divided into two stages to etch the conductive films. The etching treatment under the first conditions removes the edges of the first conductive films. This results in formation of L[0205] OV regions in which the gate electrode overlaps the second concentration impurity regions with the gate insulating film interposed therebetween and Loff regions 719 in which the gate electrode does not overlap the second concentration impurity regions.
  • Next, a [0206] mask 709 is formed from a resist and covers the n-channel TFT to conduct a third etching treatment. In the third etching treatment, Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage. Thus third shape conductive layers and wiring lines 710 to 715 are formed (FIG. 20B).
  • [0207] Masks 716 and 717 are newly formed from a resist to cover the n-channel TFT and the pixel TFT, respectively, in preparation for a third doping treatment. Through the third doping treatment, the semiconductor layers in the p-channel TFTs are doped with a p type impurity element while using the third shape conductive layers and the capacitance wiring lines as masks. Fourth concentration impurity regions 718 a to 718 c and fifth concentration impurity regions 718 d to 718 f are thus formed in a self-aligning manner (FIG. 20C).
  • After finishing the steps described above, the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0208] Embodiment 1.
  • This embodiment can readily be carried out by manufacturing a TFT in accordance with the manufacture process disclosed in [0209] Embodiment 1. Although this embodiment describes only the structure of the pixel TFT and the control circuit, other circuits can also be formed on the same substrate when following the manufacture process of Embodiment 1. Examples of the other circuits include a signal dividing circuit, a frequency dividing circuit, a D/A converter circuit, an operation amplifier circuit, a γ correction circuit, and a signal processing circuit (also called a logic circuit) such as a memory circuit and a microprocessor circuit.
  • [Embodiment 11][0210]
  • This embodiment gives a description of a case of manufacturing TFTs in a different step other than [0211] Embodiment 1 with reference to FIGS. 21A to 21C. Embodiment 11 is merely different from Embodiment 1 in some steps and the rest is the same. Therefore the same reference symbols are used in the identical steps.
  • First, the second etching treatment and the second doping treatment are conducted in accordance with the manufacture process shown in [0212] Embodiment 1 to reach the state of FIG. 7C where the second shape conductive layers and the wiring lines are formed.
  • Next, resist [0213] masks 801 and 802 are formed to cover the future n-channel TFT and the future second p-channel TFT, respectively, and a third etching treatment is conducted. In the third etching treatment, Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate (sample stage) side receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage. Thus third shape conductive layers and wiring lines 803 to 807 are formed (FIG. 21B).
  • After the resist [0214] masks 801 and 802 are removed, masks 808 and 809 are newly formed from a resist to cover the n-channel TFT and the pixel TFT, respectively. A third doping treatment is conducted. Through the third doping treatment, the seniconductor layers in the p-channel TFTs are doped with a p type impurity element while using the third shape conductive layers and the capacitance wiring lines as masks. Fourth concentration impurity regions 810a to 810c and fifth concentration impurity regions 810 d to 810 f are thus formed in a self-aligning manner (FIG. 21C).
  • After finishing the steps described above, the active matrix substrate is completed in accordance with the step of forming an inorganic interlayer insulating film and the following steps thereof disclosed in [0215] Embodiment 1.
  • [Embodiment 12][0216]
  • This embodiment shows results of measuring characteristics of TFTs manufactured in accordance with manufacture methods disclosed in this specification. [0217]
  • First, FIG. 40 shows a graph representing a relation between the drain current (Id) and the gate voltage (Vg) (hereinafter referred to as Id-Vg curve) of a pixel TFT (n-channel TFT) manufactured in accordance with the manufacture method described in [0218] Embodiment 5. The measurement has been made by setting the source voltage (Vs) to 0 V and the drain voltage (Vd) to 1 V or 14 V. The measured value of the channel length (L) is 6 μm and the measured value of the channel width (W) is 4 μm.
  • OFF current (Ioff) is 0.5 pA when Vd is 14 V. [0219]
  • Next, FIGS. 41A and 41B respectively show Id-Vg curves of a pixel TFT and a first p-channel TFT of a driver circuit that are obtained through the manufacture method described in [0220] Embodiment 8.
  • The measurement has been made by setting the source voltage (Vs) to 0 V and the drain voltage (Vd) to 1 V or 14 V. The measured value of the channel length (L) is 6 μm and the measured value of the channel width (W) is 4 μm in the pixel TFT. The measured value of the channel length (L) is 7 μm and the measured value of the channel width (W) is 8 μm in the first p-channel TFT. [0221]
  • When Vd is 14 V, OFF current (Ioff) of the pixel TFT is 0.3 pA whereas OFF current (Ioff) of the first p-channel TFT is 2 pA. Comparing them to a p-channel TFT that has no offset region, the pixel TFT and the first p-channel TFT can control sharp rise of Ioff when Vg is high. [0222]
  • An n-channel TFT, a p-channel TFT, and a pixel TFT manufactured in accordance with another embodiment of the invention have also displayed excellent characteristics. The n-channel TFT has an Ioff of 10 to 30 pA, a field effect mobility of 130 to 180 cm[0223] 2/Vs, and an S value of 0.19 to 0.26 V/dec. The p-channel TFT has an Ioff of 2 to 10 pA, a field effect mobility of 70 to 110 cm2/Vs, and an S value of 0.19 to 0.25 V/dec. The pixel TFT has an Ioff of 2 to 10 pA, a field effect mobility of 70 to 150 cm2/Vs, and an S value of 0.16 to 0.24 V/dec.
  • Now, results of measurement on reliability will be shown. [0224]
  • The reliability is estimated by checking the ten-year guarantee voltage. The ten-year guarantee voltage is obtained by inferring a stress voltage having a lifetime of ten years from a linear relation provided by plotting the reciprocal of a stress voltage into a semi-logarithmic graph. The lifetime here is defined as a time a TFT takes to change its maximum mobility value (μFE[0225] (max)) by 10%. TFTs (driver circuit) manufactured in accordance with the manufacture method of Embodiment Mode 1 have been measured. The ten-year guarantee voltage of the TFTs is 20 V or higher as shown in FIG. 42, displaying high reliability.
  • The thousand-hour life temperature by ON stress is checked next. The temperature at which the characteristic changes by 0.1 V in thousand hours (life temperature) is inferred by plotting the time the TFT characteristic (Shift #1) takes to change by 0.1 V when Vg is +20 V (−20 V in the p-channel TFT) and Vd is 0V against 1000/T (T: absolute temperature (K)). As shown in FIG. 43, the thousand-hour life temperature is 80° C. or higher in both the n-channel TFT and p-channel TFT. [0226]
  • The thousand-hour life temperature by OFF stress is checked next. The temperature at which the characteristic changes by 0.1 V in thousand hours (life temperature) is inferred by plotting the time the TFT characteristic (Shift #1) takes to change by 0.1 V when Vg is 0V and Vd is +20 V (−20 V in the p-channel TFT) against 1000/T (T: absolute temperature (K)). As shown in FIG. 44, the thousand-hour life temperature is 80° C. or higher in both the n-channel TFT and p-channel TFT. [0227]
  • The characteristic shift of the n-channel TFT and the characteristic shift of the p-channel TFT due to transient stress are checked next. The ON characteristic shift is observed after twenty hours (at room temperature) when Vd is +20 V (−20 V in the p-channel TFT) and Vg is 2 to 6 V (−6 to −2 V in the p-channel TFT). (The transient stress is a stress applied when the drain voltage is set to a certain value and the gate voltage is set to a certain value.) [0228]
  • FIGS. 45A and 45B confirm that the change in maximum ratio of the field effect mobility in twenty hours is limited to 10% or less in both the n-channel TFT and p-channel TFT. [0229]
  • These results prove that a manufacture method of the present invention can provide highly reliable TFTs having required performances and can give those excellent TFTs their respective optimal structures without increasing the manufacture steps. [0230]
  • [Embodiment 13][0231]
  • The description given in this embodiment with reference to FIG. 22 is of a process of manufacturing an active matrix liquid crystal display device from an active matrix substrate that is fabricated in accordance with the process of one of [0232] Embodiments 1 and 5 through 11.
  • An active matrix substrate as shown in FIG. 9C is first prepared using the process of one of [0233] Embodiments 1 through 8. An alignment film 1181 is formed on the active matrix substrate and subjected to rubbing treatment. In this embodiment, an organic resin film such as an acrylic resin film is patterned before forming the alignment film 1181 in order to form in a desired position a columnar spacer 1180 for maintaining a distance between two substrates. Instead of the columnar spacer, spherical spacers may be sprayed onto the entire surface of the substrate.
  • An opposing [0234] substrate 1182 is prepared next. Colored layers 1183 and 1184 and a leveling film 1185 are formed on the opposing substrate 1182. The red colored layer 1183 partially overlaps the blue colored layer 1184 to form a second light shielding portion. Though not shown in FIG. 22, the red colored layer partially overlaps a green colored layer to form a first light shielding portion.
  • Then an opposing [0235] electrode 1186 is formed in the pixel portion. An alignment film 1187 is formed on the entire surface of the opposing substrate 1182 and subjected to rubbing treatment.
  • The active matrix substrate on which the pixel portion and the driver circuit are formed is bonded to the opposing substrate with a sealing member. The sealing member has a filler mixed therein. The filler, together with the columnar spacer, keeps the distance between the two substrates uniform when the substrates are bonded to each other. Thereafter, a [0236] liquid crystal material 1188 is injected between the substrates and the device is completely sealed by an end-sealing material (not shown). The liquid crystal material 1188 may be a known liquid crystal material. Thus an active matrix liquid crystal display device shown in FIG. 22 is completed.
  • The number of manufacture steps can be reduced by forming a first light shielding portion or a second light shielding portion from colored layers to shield gaps between pixels from light as in this embodiment instead of forming a black mask. [0237]
  • [Embodiment 14][0238]
  • FIG. 23 shows a block diagram of a semiconductor device manufactured in accordance with the present invention. This embodiment describes a semiconductor device having a source [0239] side driver circuit 90, a pixel portion 91, and a gate side driver circuit 92. The term driver circuit herein collectively refers to a source side driver circuit and a gate side driver circuit.
  • The source [0240] side driver circuit 90 is provided with a shift register 90 a, a buffer 90 b, and a sampling circuit (transfer gate) 90 c. The gate side driver circuit 92 is provided with a shift register 92 a, a level shifter 92 b, and a buffer 92 c. If necessary, a level shifter circuit may be provided between the sampling circuit and the shift register.
  • In this embodiment, the [0241] pixel portion 91 is composed of a plurality of pixels, and each of the plural pixels has TFT elements.
  • Though not shown in the drawing, another gate side driver circuit may be provided in across the [0242] pixel portion 91 from the gate side driver circuit 92.
  • When the device is digitally driven, the sampling circuit is replaced by a latch (A) [0243] 93 b and a latch (B) 93 c as shown in FIG. 24. A source side driver circuit 93 is provided with a shift register 93 a, the latch (A) 93 b, the latch (B) 93 c , a D/A converter 93 d, and a buffer 93 e. A gate side driver circuit 95 is provided with a shift register 95 a, a level shifter 95 b, and a buffer 95 c. If necessary, a level shifter circuit may be provided between the latch (13) 93 c and the D/A converter 93 d. A reference symbol 94 denotes a pixel portion.
  • The above structure is obtained by employing the manufacture process of any of [0244] Embodiments 1 through 8. Although this embodiment describes only the structure of the pixel portion and the driver circuit, a memory circuit and a microprocessor circuit can also be formed when following the manufacture process of the present invention.
  • [Embodiment 15][0245]
  • This embodiment gives a description with reference to FIGS. 25A to [0246] 25D on a process of forming a semiconductor film to serve as an active layer of a TFT. The crystallization means in this embodiment is a technique described in Embodiment Mode 1 of Japanese Patent Application Laid-open No. Hei 7-130652.
  • First, a [0247] base insulating film 1402 with a thickness of 200 nm is formed on a substrate (glass substrate, in this embodiment) 1401 from a silicon oxynitride film. An amorphous semiconductor film (amorphous silicon film, in this embodiment) 1403 with a thickness of 200 nm is formed thereon. The base insulating film and the amorphous semiconductor film may be formed successively without exposing them to the air.
  • Next, an aqueous solution containing 10 ppm of catalytic element by weight (in this embodiment, the catalytic element is nickel and the aqueous solution is nickel acetate aqueous solution) is applied by spin coating to form a catalytic [0248] element containing layer 1404 over the entire surface of the amorphous semiconductor film 1403. Examples of the catalytic element that can be used here other than nickel (Ni) include iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum (Pt), copper (Cu), and gold (Au) (FIG. 25A).
  • Although spin coating is used in doping of nickel in this embodiment, a catalytic element may be deposited by evaporation or sputtering to form a thin film (nickel film, in the case of this embodiment) on the amorphous semiconductor film. [0249]
  • Prior to the crystallization step, heat treatment is conducted at 400 to 500° C. for about an hour to release hydrogen from the film. Then the film is subjected to heat treatment at 500 to 650° C. (preferably 550 to 570° C.) for four to twelve hours (preferably four to six hours). In this embodiment, the film is heated at 550° C. for four hours to form a crystalline semiconductor film (crystalline silicon film, in this embodiment) [0250] 1405 (FIG. 25B).
  • A laser light irradiation step may be inserted here to improve the crystallinity of the [0251] crystalline semiconductor film 1405.
  • The next step is gettering for removing nickel used in the crystallization step from the crystalline silicon film. First, a [0252] mask insulating film 1406 with a thickness of 150 nm is formed on the surface of the crystalline semiconductor film 1405 and is patterned to form an opening 1407. Then the exposed portion of the crystalline semiconductor film is doped with an element belonging to Group 15 (phosphorus, in this embodiment). Through this step, a gettering region 1408 containing phosphorus in a concentration of 1×1019 to 1×1020 atoms/cm3 is formed (FIG. 25C).
  • A heat treatment step is carried out next in a nitrogen atmosphere at 450 to 650° C. (preferably 500 to 550° C.) for four to twenty-four hours (preferably six to twelve hours). Through the heat treatment step, nickel in the crystalline semiconductor film moves in the direction indicated by the arrow and is trapped in the [0253] gettering region 1408 by the gettering action of phosphorus. Since nickel is removed from the crystalline semiconductor film, the concentration of nickel contained in the crystalline semiconductor film 1409 is reduced to 1×1017 atoms/cm3 or lower, preferably 1×1016 atoms/cm3 (FIG. 25D).
  • The [0254] crystalline semiconductor film 1409 formed as above has a very high crystallinity owing to the use of a catalytic element for promoting crystallization (nickel, in this embodiment).
  • An alternative method of gettering the catalytic element is to utilize phosphorus (P) as the n type impurity element for doping the source region or the drain region in the step of activating the impurity element used to dope the semiconductor film after the inorganic interlayer insulating film is formed in the manufacture process of [0255] Embodiment 1.
  • The structure of this embodiment can be combined freely with the structure shown in [0256] Embodiment Mode 1 and Embodiments 1 through 8.
  • [Embodiment 16][0257]
  • This embodiment gives a description with reference to FIGS. 26A to [0258] 26D on a process of forming a semiconductor film to serve as an active layer of a TFT. Specifically, a technique described in Japanese Patent Application Laid-open No. Hei 10-247735 (corresponding to U.S. Pat. No. 6,165,824) is used.
  • First, a [0259] base insulating film 1502 with a thickness of 200 nm is formed on a substrate (glass substrate, in this embodiment) 1501 from a silicon oxynitride film. An amorphous semiconductor film (amorphous silicon film, in this embodiment) 1503 with a thickness of 200 nm is formed thereon. The base insulating film and the amorphous semiconductor film may be formed successively without exposing them to the air.
  • A [0260] mask insulating film 1504 is then formed from a silicon oxide film to a thickness of 200 nm. An opening 1505 is formed in the film.
  • Next, an aqueous solution containing 100 ppm of catalytic element by weight (in this embodiment, the catalytic element is nickel and the aqueous solution is nickel acetate aqueous solution) is applied by spin coating to form a catalytic [0261] element containing layer 1506. At this point, the catalytic element containing layer 1506 selectively contacts the amorphous semiconductor film 1503 in the region where the opening 1505 has been formed. Examples of the catalytic element that can be used here other than nickel (Ni) include iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum (Pt), copper (Cu), and gold (Au) (FIG. 26A).
  • Although spin coating is used in doping of nickel in this embodiment, a catalytic element may be deposited by evaporation or sputtering to form a thin film (nickel film, in the case of this embodiment) on the amorphous semiconductor film. [0262]
  • Prior to a crystallization step, heat treatment is conducted at 400 to 500° C. for about an hour to release hydrogen from the film. Then the film is subjected to heat treatment at 500 to 650° C. (preferably 550 to 600° C.) for six to sixteen hours (preferably eight to fourteen hours). In this embodiment, the film is heated at 570° C. for fourteen hours. As a result, crystallization starts from the [0263] opening 1505 and progresses in a direction substantially parallel to the substrate (the direction indicated by the arrow) to form a crystalline semiconductor film (crystalline silicon film, in this embodiment) 1507 (FIG. 26B). Macroscopically, the crystal growth direction of the crystalline semiconductor film 1507 is uniform.
  • The next step is gettering for removing nickel used in the crystallization step from the crystalline silicon film. In this embodiment, the [0264] mask insulating film 1504 previously formed is used as a mask without changing anything about the insulating film and the crystalline semiconductor film is doped with an element belonging to Group 15 (phosphorus, in this embodiment). A gettering region 1508 is formed as a result in the exposed part of the crystalline semiconductor film at the opening 1505. The gettering region 1508 contains phosphorus in a concentration of 1×1019 to 1×1020 atoms/cm3 is formed (FIG. 26C).
  • A heat treatment step is carried out next in a nitrogen atmosphere at 450 to 650° C. (preferably 500 to 550° C.) for four to twenty-four hours (preferably six to twelve hours). Through the heat treatment step, nickel in the crystalline semiconductor film moves in the direction indicated by the arrow and is trapped in the [0265] gettering region 1508 by the gettering action of phosphorus. Since nickel is removed from the crystalline semiconductor film, the concentration of nickel contained in the crystalline semiconductor film 1509 is reduced to 1×1017 atoms/cm3 or lower, preferably 1×1016 atoms/cm3 (FIG. 26D).
  • The [0266] crystalline semiconductor film 1509 formed as above has a very high crystallinity by being crystallized while selectively doped with a catalytic element for promoting crystallization (nickel, in this embodiment). Specifically, the film has a crystal structure in which rod-like or columnar crystals are arranged in a specific orientation.
  • An alternative method of gettering the catalytic element is to utilize phosphorus (P) as the n type impurity element for doping the source region or the drain region in the step of activating the impurity element used to dope the semiconductor film after the inorganic interlayer insulating film is formed in the manufacture process of [0267] Embodiment 1.
  • The structure of this embodiment can be combined freely with the structure shown in [0268] Embodiment Mode 1 and Embodiments 1 through 8.
  • [Embodiment 17][0269]
  • Described below using FIG. 3A to FIG. 6 (each corresponding to FIG. 27A to FIG. 30) is a method of manufacturing a semiconductor device in which a TFT for a pixel portion and a TFT for a driver circuit provided in the periphery of the pixel portion are formed on the same substrate. The semiconductor device has a pixel electrode that is uneven because of an uneven region formed in the pixel portion by the same manufacture process as the TFTs. [0270]
  • A [0271] substrate 2100 in this embodiment is made of glass such as barium borosilicate glass or alumino borosilicate glass, typical example of which is Corning #7059 or #1737 glass (a product of Corning Incorporated). The substrate 2100 may be a quartz substrate, a silicon substrate, a metal substrate, or a stainless steel substrate if an insulating film is formed on the surface. A plastic substrate may also be used if it has a heat resistance against the process temperature of this embodiment.
  • On the surface of the [0272] substrate 2100, a base insulating film 2101 is formed from an insulating film such as a silicon oxide film, a silicon nitride film, and a silicon oxynitride film. In this embodiment, the first layer of the base insulating film 2101 is a silicon oxynitride film (composition ratio: Si=32%, O=27%, N=24%, H=17%) 2101 a formed to a thickness of 10 to 200 nm (preferably 50 to 100 nm) by plasma CVD using as reaction gas SiH4, NH3, and N2O. The second layer of the base insulating film is a silicon oxynitride film (composition ratio: Si=32%, O=59%, N=7%, H=2%) 2101 b formed to a thickness of 10 to 200 nm (preferably 100 to 150 nm) by plasma CVD using as reaction gas SiH4 and N2O. The second layer is layered on the first layer.
  • An amorphous semiconductor film is next formed on the base insulating film by a known method (such as sputtering, LPCVD, or plasma CVD). The amorphous semiconductor film is then crystallized by a known crystallization treatment (laser crystallization, thermal crystallization, or thermal crystallization using Ni or other catalytic element) to form a crystalline semiconductor film. The obtained crystalline semiconductor film is patterned into a desired shape to form island-[0273] like semiconductor layers 2102 to 2105 and an island-like semiconductor layer 2301 for forming projections in the pixel portion (See FIG. 3A). Hereinafter, the projections in this embodiment are formed in accordance with the process of manufacturing a pixel TFT.
  • No limitation is put on the material of the crystalline semiconductor film, but the film is preferably formed of silicon or a silicon germanium (Si[0274] xGe1-x; 0<x<1, typically x=0.001 to 0.05) alloy.
  • In this embodiment, an amorphous silicon film with a thickness of 55 nm is formed by plasma CVD and then irradiated with laser to form a crystalline silicon film. When the semiconductor film is crystallized by laser treatment, the film is desirably subjected to heat treatment at 400 to 500° C. for about an hour in order to reduce the hydrogen content in the film to 5 atom % or less prior to the crystallization step. [0275]
  • Another employable crystallization method consists of applying a solution containing Ni to the amorphous silicon film, subjecting the film to thermal crystallization treatment (at 550° C., for four hours), and performing laser annealing treatment on the obtained crystalline silicon film to improve crystallinity of the film. Examples of the laser usable in the laser annealing treatment include pulse oscillation type or continuous wave KrF excimer laser, XeCl excimer laser, YAG laser, and YVO[0276] 4 laser. When one of these lasers is used, laser beams emitted from a laser emitter are collected by an optical system into a linear beam to irradiate the semiconductor film. Conditions for crystallization can be set by an operator suitably.
  • Other crystallization methods than the thermal crystallization involving doping of a catalytic element may be employed; the semiconductor film may be crystallized by heat without using a catalytic element, or by RTA (rapid thermal annealing) in which the film is crystallized around 500 to 700° C. After the semiconductor film is crystallized by RTA, the film may be subjected to laser annealing treatment to improve its crystallinity. [0277]
  • The semiconductor layers may be doped with a minute amount of impurity element (boron or phosphorus: in this embodiment, boron is used) in order to control threshold of the TFTs. [0278]
  • Next, a [0279] gate insulating film 2106 is formed so as to cover the semiconductor layers 2102 to 2105 and the island-like semiconductor layer 2301 for forming the projections. The gate insulating film 2106 is an insulating film containing silicon which is formed by plasma CVD or sputtering to a thickness of 40 to 150 nm. In this embodiment, a silicon oxynitride film (composition ratio: Si=32%, O=59%, N=7%, H=2%) is formed to a thickness of 110 nm by plasma CVD. Needless to say, the gate insulating film is not limited to a silicon oxynitride film but may be a single layer or a laminate of other insulating films containing silicon.
  • If a silicon oxide film is used, the film is formed by plasma CVD through electric discharge while using a mixture of TEOS (tetraethyl orthosilicate) and O[0280] 2, and setting the reaction pressure to 40 Pa, the substrate temperature to 300 to 400° C., and the power density to 0.5 to 0.8 W/cm2 at a high frequency (13.56 MHz). The silicon oxide film formed in this way can provide excellent characteristics as the gate insulating film when subjected to thermal annealing at 400 to 500° C.
  • Formed next on the [0281] gate insulating film 2106 are a first conductive film 2107 with a thickness of 20 to 100 nm and a second conductive film 2108 with a thickness of 100 to 400 nm. In this embodiment, the film 2107 is a TaN film having a thickness of 30 nm and the film 2108 is a W film having a thickness of 370 nm. The TaN film is formed by sputtering in an atmosphere containing nitrogen using a Ta target. The W film is formed by sputtering using a W target. Alternatively, the W film may be formed by thermal CVD using tungsten hexafluoride (WF6).
  • In either case, the W film has to be less resistive in order to use the film for a gate electrode. The resistivity of the W film is desirably 20 μΩcm or lower. The W film can have low resistivity when the grain size is large. However, if the W film contains many impurity elements such as oxygen, crystallization is hindered and the resistivity is raised. Therefore, the W film in this embodiment is formed by sputtering using highly pure W target (purity: 99.9999%) and taking great care not to allow impurities from the air to mix in the film in the middle of formation. A resistivity of 9 to 20 μΩcm is thus attained. [0282]
  • Although the first [0283] conductive film 2107 is a TaN film whereas the second conductive film 2108 is a W film in this embodiment, they are not particularly limited. Each of the conductive films can be formed of an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or may be formed of an alloy material or compound material containing any of the above elements as its main ingredient. Alternatively, a semiconductor film, typically a polycrystalline silicon film, doped with an impurity element such as phosphorus may be used. The first conductive film and the second conductive film can take various combinations, e.g., a combination of Ta film for the first conductive film 2107 and W film for the second conductive film 2108, a combination of TaN film for the first conductive film 2107 and Al film for the second conductive film 2108, and a combination of TaN film for the first conductive film 2107 and Cu film for the second conductive film 2108 (FIG. 27A).
  • Next, [0284] masks 2109 to 2113 and a mask 2302 for forming the projections are formed from a resist by photolithography to conduct a first etching treatment for forming electrodes and wiring lines. This embodiment employs ICP (inductively coupled plasma) etching in which CF4, C1 2, and O2 are used as the etching gas, the gas flow rate ratio of them is set to 25/25/10 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1.0 Pa to generate plasma for the etching. The substrate side (sample stage) receives an RF (13.56 MHz) power of 150 W to apply a substantially negative self-bias voltage.
  • Thereafter, etching is made under the second etching conditions without removing the resist [0285] masks 2109 to 2113. According to the second etching conditions, CF4 and Cl2 are used as the etching gas, the gas flow rate ratio of them is set to 30/30 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1 Pa to generate plasma for 30 second etching. The substrate side (sample stage) also receives an RF (13.56 MHz) power of 20 W to apply a substantially negative self-bias voltage. The TaN film and the W film are etched to the same extent under the second etching conditions using a mixture of CF4 and Cl2. Up to this point, first shape conductive layers 2114 to 2118 and a conductive film 2303 for forming the projections are formed.
  • A first doping treatment is conducted next without removing the resist [0286] masks 2109 to 2113. In the first doping treatment, the semiconductor layers are doped with an impurity element imparting n-type conductivity (hereinafter referred to as n type impurity element) in a self-aligning manner while using the first shape conductive layers as masks. The doping treatment is achieved by ion doping or ion implantation. The n type impurity element to be used is an element belonging to Group 15 in the periodic table, typically, phosphorus (P) or arsenic (As). Through the doping, a first concentration impurity region 2120 having a concentration of 1×1020 to 1×1021 atoms/cm3 is formed (FIG. 3B, FIG. 27B).
  • Still keeping the resist [0287] masks 2109 to 2113 in place, a second etching treatment is conducted. CF4, Cl2, and O2 are used as the etching gas, the gas flow rate ratio of them is set to 20/20/20 SCCM, and an RF (13.56 MHz) power of 500 W is applied to a coiled electrode at a pressure of 1 Pa to generate plasma for the etching. The substrate side (sample stage) receives an RF (13.56 MHz) power of 20 W to apply a self-bias voltage lower than in the first etching treatment. The W film is etched under these second etching conditions. As a result, second shape conductive layers 2121 to 2125 and a conductive film 2304 for forming the projections are formed (FIG. 3C).
  • Then a second doping treatment is conducted. Using as a mask the second shape first conductive film formed in the first doping treatment, second [0288] concentration impurity regions 2126 b to 2129 b are formed on the inside of the n type impurity region 2126 a to 2129 a (on the channel formation region side). The second concentration impurity regions each contain an impurity element in a concentration of 1×1018 to 1×1019 atoms/cm.
  • Next, the resist [0289] masks 2109 to 2113 are removed and a mask 2130 is newly formed from a resist to conduct a third etching treatment. Cl2 is used as the etching gas, the gas flow rate thereof is set to 80 SCCM, and an RF (13.56 MHz) power of 350 W is applied to a coiled electrode at a pressure of 1.2 Pa to generate plasma for 40 second etching. The substrate side (sample stage) receives an RF (13.56 MHz) power of 50 W to apply a substantially negative self-bias voltage. Thus the second shape gate electrodes in the future p-channel TFT of the driver circuit and in the future pixel TFT are etched to form third shape gate electrodes 2131 and 2132 of the future p-channel TFT and the future pixel TFT, respectively, and to form a conductive film 2305 for forming the projections (FIG. 4B, FIG. 28B). In this specification, a ‘future pixel TFT’ refers to a pixel TFT in the middle of fabrication. Similarly, a ‘future n-channel TFT’ (‘future p-channel TFT’) refers to an unfinished TFT that is to function as an n-channel TFT (p-channel TFT) after its completion.
  • A resist [0290] mask 2133 is newly formed to cover the future pixel TFT and the uneven region. The future n-channel TFT of the driver circuit is covered with the mask 2130. Then a third doping treatment is conducted to dope the semiconductor layers in the p-channel TFT and in the storage capacitor with an impurity element imparting p-type conductivity (hereinafter referred to as p type impurity element). In this embodiment, the semiconductor layers are doped with a p type impurity element in a self-aligning manner while using the third shape conductive layers as masks to form fourth concentration impurity regions. This embodiment employs ion doping using diborane (B2H6) to form fourth concentration impurity regions 2134 to 2137.
  • The fourth concentration impurity regions are doped with an n type impurity element (phosphorus (P), in this embodiment) in different concentrations. However, all of them do not have a problem to function as source regions and drain regions of the p-channel TFTs because doping of impurity elements is performed making sure that those impurity regions contain the p type impurity element in a concentration higher than the concentration of the n type impurity element. [0291]
  • Through the above steps, the respective semiconductor layers are doped with the impurity elements for imparting the respective conductivity types and all of the impurity regions are formed in a self-aligning manner while using the gate electrodes as masks. [0292]
  • The plural projections formed in the pixel portions are obtained through steps identical with the steps of forming the pixel TFT. [0293]
  • The resist [0294] masks 2130, 2133, and 2134 are removed and a first interlayer insulating film 2138 is formed to cover the entire surface. In order to make the insulating film susceptive to an uneven region 1207 formed in the pixel portion, the first interlayer insulating film 2138 is formed from an insulating film containing silicon by plasma CVD or sputtering to a thickness of 200 to 400 nm. In this embodiment, a silicon oxynitride film with a thickness of 400 nm is formed by plasma CVD. The material of the insulating film is not limited to a silicon oxynitride film and a single layer or a laminate of other insulating films containing silicon may be used.
  • The next step is heat treatment for activating the impurity elements used to dope the semiconductor layers. This heat treatment step for activation is achieved by heat treatment that uses a furnace (furnace annealing). Conditions of the heat treatment includes preparing nitrogen atmosphere whose oxygen concentration is 1 ppm or less, preferably, 0.1 ppm or less, and setting the temperature to 300 to 500° C., typically 400 to 450° C. In this embodiment, activation is made by heat treatment at 450° C. for four hours. Other than furnace annealing, laser annealing, RTA, or thermal annealing may be adopted. [0295]
  • If a catalytic element is used in crystallization, the concentration of Ni used as a catalyst has to be lowered in the channel formation region. Then gettering and the heat treatment activation are simultaneously conducted, so that nickel is moved to an n type impurity region that contains a high concentration of phosphorus (P). In this case, the temperature of the heat treatment is set to 300 to 700° C., typically 500 to 550° C. Thus the nickel concentration can be lowered in the semiconductor layer most part of which is to serve as the channel formation region. If a TFT has a channel formation region formed as above, the OFF current value thereof is low and crystallinity is high to provide high field effect mobility, whereby the TFT can have excellent characteristics. [0296]
  • The heat treatment for activation in this embodiment is conducted after the first [0297] interlayer insulating film 2138 is formed. However, the first interlayer insulating film 2138 may be formed after the heat treatment. If the material used for the conductive films is weak against heat, it is preferred to form the interlayer insulating film for protecting the conductive films before the heat treatment step as in this embodiment.
  • The semiconductor layers are subjected to another heat treatment in an atmosphere containing 3 to 100% of hydrogen at 300 to 550° C. for one to twelve hours for hydrogenation. In this embodiment, heat treatment is conducted in an atmosphere containing about 3% of hydrogen at 410° C. for an hour. This step is to terminate dangling bonds in the semiconductor layers by hydrogen contained in the interlayer insulating film. Other hydrogenation measures include plasma hydrogenation (utilizing hydrogen excited by plasma). [0298]
  • In the case where the activation process is carried out by laser annealing, it is desirable to add laser irradiation by excimer laser, YAG laser, or the like after the hydrogenation described above. [0299]
  • An alternative is to form a silicon oxynitride film with a thickness of 50 to 100 nm as the first [0300] interlayer insulating film 2138, conduct heat treatment at 300 to 700° C. (typically 550° C.) for about four hours for activation of the impurity elements used to dope the semiconductor film, form a silicon nitride film to a thickness of 100 to 300 nm, and conduct another heat treatment at 300 to 550° C. for one to twelve hours in a nitrogen atmosphere containing hydrogen.
  • Next, a second [0301] interlayer insulating film 2139 is formed on the first interlayer insulating film 2138. In this embodiment, an acrylic resin film is formed to a thickness of 0.8 to 1.2 μm. Influenced by the uneven region formed in the pixel portion, the second interlayer insulating film 2139 has uneven surface. The interlayer insulating film may be formed without removing the resist mask used to form the protrusions in order to make the influence of the protrusions clearer.
  • Then contact holes reaching the source wiring lines and the semiconductor layers (impurity regions) of the TFTs are formed through the first [0302] interlayer insulating film 2138 and the second interlayer insulating film 2139.
  • [0303] Wiring lines 2140 to 2145 for electrically connecting the TFTs are formed next. The wiring lines 2140 to 2145 are formed by patterning a laminate of a Ti film with a thickness of 50 to 250 nm and an alloy film (an alloy film of Al and Ti) with a thickness of 300 to 500 nm.
  • A [0304] pixel electrode 2144 is formed in the pixel portion. The pixel electrode 2144 is desirably formed of a material having excellent reflectivity, such as a film mainly containing Al or Ag, and a laminate of a Al containing film and a Ag containing film. Influenced by the uneven region 1207 formed in a pixel portion 1206, the pixel electrode is uneven.
  • In this embodiment, an end of the [0305] pixel electrode 2144 overlaps a source line with the first interlayer insulating film 2138 and the second interlayer insulating film 2139 interposed therebetween. Therefore gaps between pixel electrodes can be shielded from light without using a black mask.
  • In this way, a [0306] driver circuit 1205 that has an n-channel TFT 1201 (channel formation region 2146) and a p-channel TFT 1202 (channel formation region 2147) is formed on the same substrate on which the pixel portion 1206 having a pixel TFT 1203 (channel formation region 2148), a storage capacitor 1204, and the uneven region 1207 is formed (FIG. 29B). A substrate as such is called an active matrix substrate in this specification.
  • FIG. 30 shows the top view of the active matrix substrate manufactured in accordance with this embodiment. In the case shown in this embodiment, a [0307] source line 2125 and a gate electrode are formed from the same conductive film in the same layer (the gate insulating film 2119). The pixel portion in this embodiment is provided with the uneven region 1207.
  • The manufacture process shown in this embodiment requires only six photo masks to fabricate an active matrix substrate (namely, a semiconductor layer pattern mask, a mask for forming a gate electrode, a mask for etching an unnecessary L[0308] OV region, a mask for forming for forming a source region and a drain region of a p-channel, a mask for forming contact holes, and a mask for forming a wiring line and a pixel electrode). Therefore a reflective active matrix substrate in which an uneven region having a plurality of protrusions is formed in a pixel portion to form an uneven pixel electrode can be manufactured without complicating the manufacture process. This embodiment is thus capable of contributing to cutting manufacture cost and improving the yield.
  • [Embodiment 18][0309]
  • A reflective liquid crystal display device will be described in which an electro-optical device manufactured employing the present invention is combined with a light source, a reflector, and a light guide plate. [0310]
  • An LED or a cold-cathode tube is used for the light source. The light source is arranged along a side face of the light guide plate. The reflector is placed behind the light source. In this specification, the top face of the light guide plate refers to the face facing a user and the bottom face of the light guide plate refers to the face opposite to the top face. [0311]
  • As shown in FIG. 46, light emitted from the light source efficiently enters the interior from the side face of the light guide plate owing to the reflector. The incident light is reflected at a part of the surface which is processed to form a prism and enters and travels through the semiconductor device. The light is then reflected at a reflective film provided on the bottom face of the semiconductor device, and goes back through the electro-optical device and the light guide plate to reach eyes of the user. [0312]
  • The material of the light guide plate may be quarts, inorganic glass (refractive index: 1.42 to 1.7, transmissivity: 80 to 91%) such as borosilicate glass, or a plastic material (resin material). The usable plastic material is a mixture of resins such as a methacrylic resin, typically polymethylmethacrylate known as acryl (refractive index: 1.49, transmissivity: 92 to 93%), polycarbonate (refractive index: 1.59, transmissivity: 88 to 90%), polyarylate (refractive index: 1.61, transmissivity: 85%), poly-4-methylpentene-1 (refractive index: 1.46, transmissivity: 90%), an AS resin [acrylonitrile-styrene polymer] (refractive index: 1.57, transmissivity: 90%), and an MS resin [methylmethacrylate-styrene copolymer] (refractive index: 1.56, transmissivity: 90%). [0313]
  • A semiconductor device manufactured in accordance with any one of [0314] Embodiments 1 through 11 can be applied to this embodiment.
  • [Embodiment 19][0315]
  • In the top view of FIG. 47A, an opposing [0316] substrate 2151 provided with a color filter and other components is bonded to an active matrix substrate through a sealing member. The active matrix substrate is provided with a pixel portion, a driver circuit, an external input terminal 2210 for bonding an FPC (flexible printed circuit), and a connection wiring line 2211 for connecting the external input terminal to input portions of circuits.
  • The FPC is composed of a [0317] base film 2213 and a wiring line 2214, and is bonded to the external input terminal by anisotropic conductive resin 2215. The mechanical strength of the bonding is enhanced by a reinforcing plate.
  • FIG. 47B shows a sectional view of the [0318] external input terminal 2210 taken along the line e-e′ in FIG. 47A. Denoted by 2217 is a wiring line formed of a conductive film to form a pixel electrode 2144. The outer diameter of a conductive particle 2216 is smaller than the pitch of the wiring line 2217. Therefore, when dispersed throughout the adhesive 2215 in an appropriate amount, the conductive particle can establish an electric connection with the corresponding wiring line on the FPC side without causing short-circuit with adjacent wiring lines.
  • The liquid crystal display panel manufactured as above can be used for a display unit of various electric appliances. [0319]
  • [Embodiment 20][0320]
  • This embodiment describes a case in which pixel TFTs for a pixel portion of a semiconductor device and TFTs for driver circuit of the semiconductor device all have the same conductivity type (all of them are p-channel TFTs, or all of them are n-channel TFTs). The description is given with reference to FIGS. 31A and 31B. [0321]
  • A general driver circuit is designed based on a CMOS circuit in which an n-channel TFT and a p-channel TFT are combined complementarily. On the other hand, the driver circuit of this embodiment is composed solely of TFTs having the same conductivity type (p-channel TFTs). Accordingly, the mask used in doping an impurity element for controlling the conductivity type is unnecessary, and one less masks can be accomplished in the manufacturing process of the TFTs. As a result, cutting the manufacture process and manufacture cost is made possible. [0322]
  • In a PMOS circuit, there are an EEMOS circuit composed of enhancement type TFTs and an EDMOS circuit composed of a combination of an enhancement type TFT and a depletion type TFT. [0323]
  • An example of the EEMOS circuit is shown in FIG. 31A whereas an example of the EDMOS circuit is shown in FIG. 31B. In FIG. 31A, denoted by [0324] 1801 and 1802 are both enhancement type p-channel TFTs (hereinafter referred to as E type PTFT). In FIG. 31B, 1803 denotes an E type PTFT while 1804 denotes a depletion type p-channel TFT (hereinafter referred to as D type PTFT).
  • In FIGS. 31A and 31B, VDH denotes a power supply line to which a positive voltage is applied (positive power supply line) and V[0325] DL denotes a power supply line to which a negative voltage is applied (negative power supply line). The negative power supply line may be a power supply line of a ground electric potential (ground power supply line).
  • As described above, the steps of forming an n-channel TFT are eliminated when all the TFTs are p-channel TFTs, thereby simplifying the manufacture process of an active matrix liquid crystal display device. Accompanying the simplification, the yield in the manufacture process is improved and manufacture cost of the active matrix liquid crystal display device can be reduced. [0326]
  • The characteristic required for a TFT varies depending on which circuit the TFT constitutes. By combining [0327] Embodiments 1 through 8, TFTs having different structures can be formed for different circuits without increasing the number of manufacture steps.
  • [Embodiment 21][0328]
  • A semiconductor device manufactured in accordance with [0329] Embodiments 1 through 8 employs the GOLD structure that is known to be effective in preventing degradation of the ON current value due to hot carriers in order to secure reliability of a TFT of a driver circuit.
  • The present inventors have conducted tests on reliability in which the optimum value is obtained for the length of a region where a gate electrode and a low concentration impurity region overlap in the channel length direction in the GOLD structure (the length is hereinafter called the length of the L[0330] OVregion) by setting three kinds of LOV length conditions.
  • The characteristic shift of an n-channel TFT due to transient stress is checked. The ON characteristic shift is observed after twenty hours (at room temperature) when Vd is +20 V and Vg is 2 to 6 V. The transient stress is a stress applied when the drain voltage is set to a certain value and the gate voltage is set to a certain value. The present inventors use the transient stress to estimate the reliability of a TFT. [0331]
  • FIG. 32 shows results of measuring the transient stress of samples having different L[0332] OV lengths. The results in FIG. 32 confirm that the change in maximum value of the field effect mobility in twenty hours is limited to 10% or less when the LOV length is 1 μm or longer.
  • Subsequently, the time the current degradation rate takes to reach 10% is plotted against the reciprocal of the drain voltage. The ten-year guarantee voltage is obtained by inferring a stress voltage having a lifetime of ten years from a linear relation provided by plotting the reciprocal of a stress voltage into a semi-logarithmic graph. The lifetime here is defined as a time a TFT takes to change its maximum mobility value (μFE[0333] (max)) by 10%. The present inventors use the ten-year guarantee voltage to estimate the reliability of a TFT.
  • FIG. 33 shows results of obtaining the ten-year guarantee voltage for varying L[0334] OV lengths. The results in FIG. 33 show that a highly reliable semiconductor device can be obtained when the length of the LOV region is 1 μm or longer, preferably, 1.5 μm or longer.
  • [Embodiment 22][0335]
  • The CMOS circuit and the pixel portion formed by implementing the present invention can be used in an active matrix liquid crystal display device. Namely, the present invention can be implemented for all electronic equipment that incorporates the semiconductor device (liquid crystal display device) in its display portion. [0336]
  • The following can be given as such electronic equipment: a video camera, a digital camera, a projector (rear type or front type), a head mounted display (goggle type display), a personal computer, and a portable information terminal (such as a mobile computer, a portable telephone, or an electronic book). Some examples of these are shown in FIGS. 34A to [0337] 36C.
  • FIG. 34A shows a personal computer, which contains components such as a [0338] main body 5001, an image input portion 5002, a display portion 5003, and a keyboard 5004. The present invention can be applied to the image input portion 5002, the display portion 5003, and other signal control circuits.
  • FIG. 34B shows a video camera, which contains components such as a [0339] main body 5101, a display portion 5102, an audio input portion 5103, operation switches 5104, a battery 5105, and an image receiving portion 5106. The present invention can be applied to the display portion 5102, and other signal control circuits.
  • FIG. 34C shows a mobile computer, which contains components such as a [0340] main body 5201, a camera portion 5202, an image receiving portion 5203, operation switches 5204, and a display portion 5205. The present invention can be applied to the display portion 5205 and other signal control circuits.
  • FIG. 34D shows a goggle type display, which contains components such as a [0341] main body 5301, a display portion 5302, and arm portions 5303. The present invention can be applied to the display portion 5302 and other signal control circuits.
  • FIG. 34E shows a player which uses a recording medium with a program recorded therein (hereinafter referred to as a recording medium), which contains components such as a [0342] main body 5401, a display portion 5402, a speaker portion 5403, a recording medium 5404, and operation switches 5405. Note that a DVD (digital versatile disk) or CD (compact disk) is used as the recording medium for this player, and that appreciation of music or a movie or performing games or the Internet can be done. The present invention can be applied to the display portion 5402 and other signal control circuits.
  • FIG. 34F shows a digital camera, which contains components such as a [0343] main body 5501, a display portion 5502, an eye piece portion 5503, operation switches 5504, and an image receiving portion (not shown in the figure). The present invention can be applied to the display portion 5502 and other signal control circuits.
  • FIG. 35A shows a front type projector, which contains components such as a projecting [0344] apparatus 5601 and a screen 5602. The present invention can be applied to a liquid crystal display device 5808 which structures a portion of the projecting apparatus 5601, and to other signal control circuits.
  • FIG. 35B shows a rear type projector, which contains components such as a [0345] main body 5701, a projecting apparatus 5702, a mirror 5703, and a screen 5704. The present invention can be applied to the liquid crystal display device 5808 which structures a portion of the projecting apparatus 5702, and to other signal control circuits.
  • Note that an example of the structure of the projecting [0346] apparatuses 5601 and 5702 of FIG. 35A and FIG. 35B is shown in FIG. 35C. The projecting apparatuses 5601 and 5702 are each composed of a light source optical system 5801, mirrors 5802 and 5804 to 5806, a dichroic mirror 5803, a prism 5807, the liquid crystal display device 5808, a phase difference plate 5809, and a projecting optical system 5810. The projecting optical system 5810 is composed of an optical system including a projection lens. A three-plate type example is shown in Embodiment 10, but there are no particular limitations, and a single-plate type may also be used, for example. Further, optical systems such as an optical lens, a film having a light polarizing function, a film for regulating the phase difference, and an IR film may be suitably placed in the optical path shown by the arrow in FIG. 35C by the operator.
  • Furthermore, FIG. 35D is a diagram showing one example of the light source [0347] optical system 5801 in FIG. 35C. In Embodiment 22, the light source optical system 5801 is composed of a reflector 5811, a light source 5812, lens arrays 5813 and 5814, a polarizing conversion element 5815, and a condenser lens 5816. Note that the light source optical system shown in FIG. 35D is one example, and the light source optical system is not limited to the structure shown in the figure. For example, optical systems such as an optical lens, a film having a light polarizing function, a film for regulating the phase difference, and an IR film may be suitably added to the light source optical system by the operator.
  • Note that a case using a transmitting type electro-optical device in the projectors shown in FIG. 35A is shown here, and examples of applying a reflecting type electro-optical device and EL display device are not shown in the figures. [0348]
  • FIG. 36A shows a portable telephone, and [0349] reference numerals 3001 and 3002 denote a display panel and an operation panel, respectively. The display panel 3001 and the operation panel 3002 are connected through a connecting portion 3003. In the connecting portion 3003, an angle θ formed by the surface on which a display portion 3004 of the display panel 3001 is provided and the surface on which operation keys 3006 of the operation panel 3002 are provided can be arbitrarily changed. Further, the portable telephone includes an audio output portion 3005, the operation keys 3006, a power source switch 3007, and an audio input portion 3008. The present invention can be applied to the display portion 3004.
  • FIG. 36B shows a portable book (electronic book), which contains components such as a [0350] main body 3101, display portions 3102 and 3103, a recording medium 3104, operation switches 3105, and an antenna 3106. The present invention can be applied to the display portions 3102 and 3103, and to other signal control circuits.
  • FIG. 36C shows a display, which contains components such as a [0351] main body 3201, a support stand 3202, and a display portion 3203. The present invention can be applied to the display portion 3103. The display of the present invention is advantageous for cases of large size screens in particular, and is advantageous for displays having a diagonal equal to or greater than 10 inches (in particular, equal to or greater than 30 inches).
  • The applicable range of the present invention is thus extremely wide, and the present invention can be applied to electronic equipment of all fields. Furthermore, the electronic equipment in this embodiment can be realized by using a semiconductor device formed in accordance with any combination of [0352] Embodiments 1 to 14.
  • By employing the present invention, TFTs whose required characteristics are different from one another can be formed on the same substrate without increasing the number of manufacture steps. Since the manufacture steps do not increase, manufacture cost is lowered and the yield is not reduced. The present invention also can provide a highly reliable semiconductor device. [0353]
  • Moreover, the semiconductor device can have excellent visibility by giving its pixel electrode an uneven surface. [0354]

Claims (27)

What is claimed is:
1. A semiconductor device having a TFT that is formed in a pixel portion and an n-channel TFT and a p-channel TFT that constitute a driver circuit provided in the periphery of the pixel portion, all of the TFTs being formed on the same substrate,
wherein the n-channel TFT has a second concentration impurity region that partially overlaps a gate electrode, and
wherein the p-channel TFT and the TFT formed in the pixel portion respectively have second concentration impurity regions that do not overlap gate electrodes.
2. A semiconductor device having a TFT that is formed in a pixel portion and an n-channel TFT and a p-channel TFT that constitute a driver circuit provided in the periphery of the pixel portion, all of the TFTs being formed on the same substrate,
wherein the n-channel TFT has a gate electrode comprising a first conductive film and a second conductive film, the first conductive film contacting the top face of a gate insulating film, the second conductive film contacting the top face of the first conductive film, the first conductive film being longer than the second conductive film in the channel length direction, the first conductive film partially overlapping a second concentration impurity region, and
wherein the p-channel TFT and the TFT formed in the pixel portion respectively have gate electrodes that do not overlap second concentration impurity regions, the gate electrodes comprising the first conductive film that contacts the top face of the gate insulating film and the second conductive film that contacts the top face of the first conductive film, the first conductive film and the second conductive film having the same length in the channel length direction.
3. A semiconductor device having a driver circuit comprising an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, wherein:
the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region;
the gate electrode comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film;
the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween;
the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region;
the channel formation region and the gate electrode of the first p-channel TFT have substantially the same length in the channel length direction;
the second p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region;
the gate electrode of the second p-channel TFT comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; and
the fifth concentration impurity region of the second p-channel TFT overlaps the first conductive film with the gate insulating film interposed therebetween.
4. A semiconductor device having a driver circuit comprising an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, wherein:
the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region;
the gate electrode comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film;
the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween;
the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, a fifth concentration impurity region and an offset region;
the second p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region;
the gate electrode of the second p-channel TFT comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film; and
the fifth concentration impurity region of the second p-channel TFT overlaps the first conductive film with the gate insulating film interposed therebetween.
5. A semiconductor device having a driver circuit and a pixel portion, the driver circuit comprising an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, the pixel portion including a TFT and a storage capacitor, wherein:
the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region;
the gate electrode comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film;
the second concentration impurity region overlaps the first conductive film with the gate insulating film interposed therebetween;
the first p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, a fifth concentration impurity region, and an offset region;
the second p-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a fifth concentration impurity region;
the gate electrode of the second p-channel TFT comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film;
the fifth concentration impurity region of the second p-channel TFT overlaps the first conductive film with the gate insulating film interposed therebetween; and
the TFT formed in the pixel portion has a semiconductor layer that includes a channel formation region, a source region, a drain region, a second impurity region, and an offset region.
6. A semiconductor device having a driver circuit comprising an n-channel TFT, a first p-channel TFT, and a second p-channel TFT, wherein:
the n-channel TFT has a semiconductor layer, a gate insulating film formed on the semiconductor layer, and a gate electrode formed on the gate insulating film, the semiconductor layer including a channel formation region, a source region, a drain region, and a second concentration impurity region;
the gate electrode comprises a first conductive film and a second conductive film, the first conductive film contacting the top face of the gate insulating film, the second conductive film contacting the top face of the first conductive film;
the second concentration impurity region has an LOV region and an Loff region, wherein the LOV region overlaps the first conductive film with the gate insulating film interposed therebetween, and wherein the Loff region does not overlap the first conductive film; and
the first p-channel TFT and the second p-channel TFT respectively have semiconductor layers, each of the semiconductor layers including a channel formation region, a source region, a drain region, and a fifth concentration impurity region.
7. A semiconductor device according to any one of claims 1 to 6, wherein the gate electrodes of the n-channel TFT, the p-channel TFTs, and the TFT formed in the pixel portion comprises an element selected from the group consisting of Ta, W, Ti, Mo, Al, and Cu, or formed of an alloy material or a compound material containing any element in the group above as its main ingredient.
8. A semiconductor device according to any one of claims 1 to 6, wherein:
a plurality of protrusions are formed in the pixel portion;
the TFT formed in the pixel portion is electrically connected to an uneven pixel electrode; and
the uneven portion of the pixel electrode has a radius of curvature of 0.1 to 0.4 μm, and the uneven portion of the pixel electrode is 0.3 to 3 μm tall.
9. A semiconductor device according to any one of claims 1 to 6, wherein:
a plurality of protrusions are formed in the pixel portion;
an interlayer insulating film covering the TFT formed in the pixel portion and the protrusions is formed of an organic resin with a viscosity of 10 to 1000 cp;
the TFT formed in the pixel portion is electrically connected to an uneven pixel electrode; and
the uneven portion of the pixel electrode has a radius of curvature of 0.1 to 0.4 μm, and the uneven portion of the pixel electrode is 0.3 to 3 μm tall.
10. A semiconductor device according to any one of claims 1 to 6, wherein said semiconductor device is a liquid crystal display device.
11. A semiconductor device according to any one of claims 1 to 6, wherein said semiconductor device is one selected from the group consisting of a personal computer, a video camera, a mobile computer, a goggle type display, a digital camera, a projector, a mobile telephone, and a portable electronic book.
12. A method of manufacturing a semiconductor device comprising the steps of:
forming a semiconductor layer on an insulating surface;
forming an insulating film on the semiconductor layer;
forming, on the insulating film, a first shape conductive layer that is a laminate of a first shape first conductive film and a first shape second conductive film;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element using the first shape conductive layer as a mask;
etching the first shape conductive layer electrode to form a second shape conductive layer that is a laminate of a second shape first conductive film and a second shape second conductive film;
forming a second concentration impurity region or a third concentration impurity region by doping the semiconductor layer with an n type impurity element transmitted through the second shape first conductive film using the second shape second conductive film as a mask;
forming a third shape conductive layer by etching the second shape first conductive film using the second shape second conductive film as a mask, the third shape conductive layer consisting of a laminate of a third shape first conductive film and a third shape second conductive film; and
etching a gate insulating film using the third shape conductive layer electrode as a mask.
13. A method of manufacturing a semiconductor device comprising the steps of:
forming a semiconductor layer on an insulating surface;
forming an insulating film on the semiconductor layer;
forming, on the insulating film, a first shape conductive layer that is a laminate of a first shape conductive film and a second shape conductive film;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element using the first shape conductive layer as a mask;
etching the first shape conductive layer to form a second shape conductive layer that is a laminate of a second shape first conductive film and a second shape second conductive film;
forming a second concentration impurity region or a third concentration impurity region by doping the semiconductor layer with an n type impurity element transmitted through the second shape first conductive film using the second shape second conductive film as a mask;
forming a resist mask in a region for forming an n-channel TFT of a driver circuit portion;
forming, in a region where the resist mask is not formed, a third shape conductive layer by etching the second shape first conductive film using the second shape second conductive film as a mask, the third shape conductive layer consisting of a laminate of a third shape first conductive film and a third shape second conductive film;
peeling the resist mask formed; and
etching a gate insulating film using the third shape conductive layer as a mask.
14. A method according to claim 12 or 13, wherein the second shape conductive layer is shorter than the first shape conductive layer in the channel length direction.
15. A method according to claim 12 or 13, wherein the third shape conductive layer is shorter than the first shape conductive layer in the channel length direction, and is shorter than the second shape conductive layer in the channel length direction.
16. A method according to claim 12 or 13, wherein said semiconductor device is a liquid crystal display device.
17. A method according to claim 12 or 13, wherein said semiconductor device is one selected from the group consisting of a personal computer, a video camera, a mobile computer, a goggle type display, a digital camera, a projector, a mobile telephone, and a portable electronic book.
18. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape conductive layer;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
doping the semiconductor layer with an n type impurity element to form a second concentration impurity region;
forming a fourth concentration impurity region and a fifth concentration impurity region by covering an n-channel TFT and a TFT formed in a pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element; and
forming a third shape conductive layer by covering the n-channel TFT and a second p-channel TFT with a resist mask and then etching the second shape conductive layer.
19. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape conductive layer;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
doping the semiconductor layer with an n type impurity element to form a second concentration impurity region;
forming a fourth concentration impurity region and a fifth concentration impurity region by covering an n-channel TFT and a TFT formed in a pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element; and
forming offset regions respectively in the semiconductor layer of the TFT formed in the pixel portion and in the semiconductor layer of a first p-channel TFT by covering the n-channel TFT and a second p-channel TFT with a resist mask and then etching the second shape conductive layer to form a third shape conductive layer.
20. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape conductive layer;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
forming a fourth concentration impurity region and a fifth concentration impurity region by covering an n-channel TFT and a TFT formed in a pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element;
forming a third shape conductive layer by covering the n-channel TFT and a second p-channel TFT with a resist mask and then etching the second shape conductive layer in a first p-channel TFT and in the TFT formed in the pixel portion; and
forming a second concentration impurity region by doping the semiconductor layer with an n type impurity element.
21. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape conductive layer;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
doping the semiconductor layer with an n type impurity element to form a second concentration impurity region;
forming a third shape conductive layer by covering the n-channel TFT with a resist mask and then etching the second shape conductive layer in a first p-channel TFT, in a second p-channel TFT, and in a TFT formed in a pixel portion;
etching the gate insulating film; and
forming a fourth concentration impurity region and a fifth concentration impurity region by covering the n-channel TFT and the TFT formed in the pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element.
22. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape conductive layer;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
doping the semiconductor layer with an n type impurity element to form a second concentration impurity region;
etching the second shape conductive layer after covering an n-channel TFT with a resist mask; and
forming a fourth concentration impurity region and a fifth concentration impurity region by covering the n-channel TFT and a TFT formed in a pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element.
23. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape conductive layer;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
doping the semiconductor layer with an n type impurity element to form a second concentration impurity region having a region that overlaps a gate electrode with the gate insulating film interposed therebetween and a region that does not overlap the gate electrode;
forming a third shape conductive layer by covering the n-channel TFT with a resist mask and then etching the second shape conductive layer in a first p-channel TFT, in a second p-channel TFT, and in a TFT formed in a pixel portion; and
forming a fourth concentration impurity region and a fifth concentration impurity region by covering the n-channel TFT and the TFT formed in the pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element.
24. A method of manufacturing a semiconductor device comprising the steps of:
forming an amorphous semiconductor film on an insulating surface;
irradiating the amorphous semiconductor film with laser light to form a crystalline semiconductor film, thereby completing a semiconductor layer;
forming a gate insulating film covering the semiconductor layer;
forming a first conductive film and a second conductive film on the gate insulating film;
etching the first conductive film and the second conductive film to form a first shape gate electrode and a wiring line;
forming a first concentration impurity region by doping the semiconductor layer with an n type impurity element;
etching the first shape conductive layer to form a second shape conductive layer;
doping the semiconductor layer with an n type impurity element to form a second concentration impurity region;
forming a third shape conductive layer by covering an n-channel TFT and a second p-channel TFT with a resist mask and then etching the second shape conductive layer;
forming a fourth concentration impurity region and a fifth concentration impurity region by covering the n-channel TFT and a TFT formed in a pixel portion with a resist mask and then doping the semiconductor layer with a p type impurity element; and
forming an inorganic interlayer insulating film over an entire surface.
25. A method according to any one of claims 18 to 24, wherein the step of crystallizing the amorphous semiconductor film includes: introducing the amorphous semiconductor film with an element selected from the group consisting of nickel (Ni), iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum (Pt), copper (Cu), and gold (Au) as a catalytic element for promoting crystallization; heating the amorphous semiconductor film; and irradiating the crystallized semiconductor film with laser light.
26. A method according to any one of claims 18 to 24, wherein said semiconductor device is a liquid crystal display device.
27. A method according to any one of claims 18 to 24, wherein said semiconductor device is one selected from the group consisting of a personal computer, a video camera, a mobile computer, a goggle type display, a digital camera, a projector, a mobile telephone, and a portable electronic book.
US09/916,329 2000-07-31 2001-07-30 Semiconductor device and method of manufacturing the same Expired - Lifetime US6613620B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US10/456,608 US6707068B2 (en) 2000-07-31 2003-06-09 Semiconductor device and method of manufacturing the same
US10/793,031 US6828586B2 (en) 2000-07-31 2004-03-05 Semiconductor device and method of manufacturing the same
US10/981,608 US7173283B2 (en) 2000-07-31 2004-11-05 Semiconductor device and method of manufacturing the same
US11/670,460 US7511303B2 (en) 2000-07-31 2007-02-02 Semiconductor device and method of manufacturing same
US12/406,140 US7800115B2 (en) 2000-07-31 2009-03-18 Semiconductor device and method of manufacturing same
US12/878,221 US8134157B2 (en) 2000-07-31 2010-09-09 Semiconductor device and method of manufacturing same
US13/396,751 US8278160B2 (en) 2000-07-31 2012-02-15 Semiconductor device and method of manufacturing the same
US13/613,215 US8659025B2 (en) 2000-07-31 2012-09-13 Semiconductor device and method of manufacturing same

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2000230401 2000-07-31
JP2000-230401 2000-07-31
JP2000301389 2000-09-29
JP2000-301389 2000-09-29
JP2000301390 2000-09-29
JP2000-301390 2000-09-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/456,608 Division US6707068B2 (en) 2000-07-31 2003-06-09 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
US20020028544A1 true US20020028544A1 (en) 2002-03-07
US6613620B2 US6613620B2 (en) 2003-09-02

Family

ID=27344211

Family Applications (9)

Application Number Title Priority Date Filing Date
US09/916,329 Expired - Lifetime US6613620B2 (en) 2000-07-31 2001-07-30 Semiconductor device and method of manufacturing the same
US10/456,608 Expired - Lifetime US6707068B2 (en) 2000-07-31 2003-06-09 Semiconductor device and method of manufacturing the same
US10/793,031 Expired - Lifetime US6828586B2 (en) 2000-07-31 2004-03-05 Semiconductor device and method of manufacturing the same
US10/981,608 Expired - Lifetime US7173283B2 (en) 2000-07-31 2004-11-05 Semiconductor device and method of manufacturing the same
US11/670,460 Expired - Lifetime US7511303B2 (en) 2000-07-31 2007-02-02 Semiconductor device and method of manufacturing same
US12/406,140 Expired - Fee Related US7800115B2 (en) 2000-07-31 2009-03-18 Semiconductor device and method of manufacturing same
US12/878,221 Expired - Lifetime US8134157B2 (en) 2000-07-31 2010-09-09 Semiconductor device and method of manufacturing same
US13/396,751 Expired - Fee Related US8278160B2 (en) 2000-07-31 2012-02-15 Semiconductor device and method of manufacturing the same
US13/613,215 Expired - Fee Related US8659025B2 (en) 2000-07-31 2012-09-13 Semiconductor device and method of manufacturing same

Family Applications After (8)

Application Number Title Priority Date Filing Date
US10/456,608 Expired - Lifetime US6707068B2 (en) 2000-07-31 2003-06-09 Semiconductor device and method of manufacturing the same
US10/793,031 Expired - Lifetime US6828586B2 (en) 2000-07-31 2004-03-05 Semiconductor device and method of manufacturing the same
US10/981,608 Expired - Lifetime US7173283B2 (en) 2000-07-31 2004-11-05 Semiconductor device and method of manufacturing the same
US11/670,460 Expired - Lifetime US7511303B2 (en) 2000-07-31 2007-02-02 Semiconductor device and method of manufacturing same
US12/406,140 Expired - Fee Related US7800115B2 (en) 2000-07-31 2009-03-18 Semiconductor device and method of manufacturing same
US12/878,221 Expired - Lifetime US8134157B2 (en) 2000-07-31 2010-09-09 Semiconductor device and method of manufacturing same
US13/396,751 Expired - Fee Related US8278160B2 (en) 2000-07-31 2012-02-15 Semiconductor device and method of manufacturing the same
US13/613,215 Expired - Fee Related US8659025B2 (en) 2000-07-31 2012-09-13 Semiconductor device and method of manufacturing same

Country Status (2)

Country Link
US (9) US6613620B2 (en)
JP (4) JP5463341B2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010041392A1 (en) * 2000-05-12 2001-11-15 Hideomi Suzawa Semiconductor device and manufacturing method thereof
US20020021377A1 (en) * 2000-08-14 2002-02-21 Shingo Eguchi Semiconductor device
US20020195603A1 (en) * 2001-05-18 2002-12-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20030173566A1 (en) * 2002-02-21 2003-09-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6690034B2 (en) 2000-07-31 2004-02-10 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US20040063270A1 (en) * 2002-09-27 2004-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20040063256A1 (en) * 2002-09-27 2004-04-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US6727124B2 (en) * 2000-11-06 2004-04-27 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a TFT using a catalytic element to promote crystallization of a semiconductor film and gettering the catalytic element
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US20040201017A1 (en) * 2003-04-10 2004-10-14 Kun-Hong Chen Asymmetry thin-film transistor
US20050133862A1 (en) * 2003-12-22 2005-06-23 Saishi Fujikawa Semiconductor device and manufacturing method thereof
CN100373633C (en) * 2003-08-20 2008-03-05 友达光电股份有限公司 Asymmetric thin-film transistor structure
US20140061656A1 (en) * 2012-08-31 2014-03-06 Apple Inc. Two Doping Regions in Lightly Doped Drain for Thin Film Transistors and Associated Doping Processes
US20140117340A1 (en) * 2012-10-26 2014-05-01 Sumsung Display Co., Ltd. Organic light emitting diode display and method of manufacturing the same
CN107919323A (en) * 2016-10-10 2018-04-17 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10468533B2 (en) 2015-04-28 2019-11-05 Sharp Kabushiki Kaisha Semiconductor device and method for manufacturing same
US11031403B2 (en) 2017-04-28 2021-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
CN113437076A (en) * 2020-03-23 2021-09-24 铠侠股份有限公司 Semiconductor memory device with a plurality of memory cells
US11289475B2 (en) 2019-01-25 2022-03-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of semiconductor device

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346730B1 (en) 1999-04-06 2002-02-12 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device having a pixel TFT formed in a display region and a drive circuit formed in the periphery of the display region on the same substrate
JP4666723B2 (en) 1999-07-06 2011-04-06 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6825488B2 (en) * 2000-01-26 2004-11-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6613620B2 (en) 2000-07-31 2003-09-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7223643B2 (en) * 2000-08-11 2007-05-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP2002151698A (en) 2000-11-14 2002-05-24 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method thereof
US6825496B2 (en) 2001-01-17 2004-11-30 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
JP4256087B2 (en) * 2001-09-27 2009-04-22 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6956234B2 (en) * 2001-11-30 2005-10-18 Semiconductor Energy Laboratory Co., Ltd. Passive matrix display device
US6872658B2 (en) * 2001-11-30 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device by exposing resist mask
US6911675B2 (en) * 2001-11-30 2005-06-28 Semiconductor Energy Laboratory Co., Ltd. Active matrix display device and manufacturing method thereof
JP4216092B2 (en) * 2002-03-08 2009-01-28 株式会社半導体エネルギー研究所 Liquid crystal display
JP4271413B2 (en) * 2002-06-28 2009-06-03 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6670682B1 (en) * 2002-08-29 2003-12-30 Micron Technology, Inc. Multilayered doped conductor
JP2004281631A (en) * 2003-03-14 2004-10-07 Renesas Technology Corp Design method of semiconductor device
EP1607931B1 (en) 2003-03-26 2014-01-08 Semiconductor Energy Laboratory Co., Ltd. Device substrate and light-emitting device
JP4562997B2 (en) 2003-03-26 2010-10-13 株式会社半導体エネルギー研究所 Element substrate and light emitting device
TWI300605B (en) * 2003-04-04 2008-09-01 Au Optronics Corp Method of reducing surface leakages of a thin film transistor substrate
JP2005251266A (en) * 2004-03-03 2005-09-15 Shin Etsu Chem Co Ltd Substrate for magnetic recording medium and its manufacturing method
JP2005311037A (en) * 2004-04-21 2005-11-04 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
TWI247180B (en) 2004-08-06 2006-01-11 Au Optronics Corp Thin film transistor structure for flat panel display and method for fabricating the same
CN100459157C (en) * 2004-08-26 2009-02-04 友达光电股份有限公司 Thin film transistor structure for plane display device and its producing method
US7033902B2 (en) * 2004-09-23 2006-04-25 Toppoly Optoelectronics Corp. Method for making thin film transistors with lightly doped regions
KR20060070345A (en) 2004-12-20 2006-06-23 삼성전자주식회사 Thin film transistor array panel
KR20060081190A (en) * 2005-01-07 2006-07-12 삼성에스디아이 주식회사 An electroluminescent device and a method for preparing the same
US8134291B2 (en) * 2005-01-07 2012-03-13 Samsung Mobile Display Co., Ltd. Electroluminescent device and method for preparing the same
US7579220B2 (en) * 2005-05-20 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method
US7588970B2 (en) * 2005-06-10 2009-09-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7524593B2 (en) * 2005-08-12 2009-04-28 Semiconductor Energy Laboratory Co., Ltd. Exposure mask
US7914971B2 (en) * 2005-08-12 2011-03-29 Semiconductor Energy Laboratory Co., Ltd. Light exposure mask and method for manufacturing semiconductor device using the same
US7921385B2 (en) * 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
JP4513777B2 (en) * 2005-11-14 2010-07-28 セイコーエプソン株式会社 LIGHT EMITTING DEVICE AND ELECTRONIC DEVICE
US20070115415A1 (en) * 2005-11-21 2007-05-24 Arthur Piehl Light absorbers and methods
JP2007258453A (en) * 2006-03-23 2007-10-04 Toshiba Matsushita Display Technology Co Ltd Thin-film transistor and method of fabricating the same
JP2007287732A (en) * 2006-04-12 2007-11-01 Mitsubishi Electric Corp Thin-film transistor, manufacturing method thereof, and display
US7906357B2 (en) * 2006-05-15 2011-03-15 Koninklijke Philips Electronics N.V. P-type layer for a III-nitride light emitting device
US7781768B2 (en) 2006-06-29 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Display device, method for manufacturing the same, and electronic device having the same
US7592628B2 (en) * 2006-07-21 2009-09-22 Tpo Displays Corp. Display with thin film transistor devices having different electrical characteristics in pixel and driving regions
US9209281B2 (en) * 2007-04-23 2015-12-08 Infineon Technologies Ag Method of manufacturing a device by locally heating one or more metallization layers and by means of selective etching
GB0717055D0 (en) * 2007-09-01 2007-10-17 Eastman Kodak Co An electronic device
US7736422B2 (en) * 2008-01-29 2010-06-15 Honda Motor Co., Ltd. Cyclonic separation grassbag apparatuses and methods for mowing machines
KR101634411B1 (en) * 2008-10-31 2016-06-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Driver circuit, display device and electronic device
US8979349B2 (en) * 2009-05-29 2015-03-17 Qualcomm Mems Technologies, Inc. Illumination devices and methods of fabrication thereof
US20130240037A1 (en) * 2010-11-24 2013-09-19 Sharp Kabushiki Kaisha Solar cell module and solar generator
US20120319277A1 (en) * 2011-06-19 2012-12-20 Shenzhen China Star Optoelectronics Technology, Co., Ltd. Thin film transistor panel and manufacturing method thereof
CN102646683B (en) * 2012-02-02 2014-09-24 京东方科技集团股份有限公司 Array substrate and manufacturing method thereof
JP2013195994A (en) * 2012-03-23 2013-09-30 Japan Display West Co Ltd Manufacturing device, manufacturing method, optical element, display device, and electronic apparatus
US9065077B2 (en) 2012-06-15 2015-06-23 Apple, Inc. Back channel etch metal-oxide thin film transistor and process
US9685557B2 (en) 2012-08-31 2017-06-20 Apple Inc. Different lightly doped drain length control for self-align light drain doping process
US8999771B2 (en) 2012-09-28 2015-04-07 Apple Inc. Protection layer for halftone process of third metal
US9201276B2 (en) 2012-10-17 2015-12-01 Apple Inc. Process architecture for color filter array in active matrix liquid crystal display
US9001297B2 (en) 2013-01-29 2015-04-07 Apple Inc. Third metal layer for thin film transistor with reduced defects in liquid crystal display
US9088003B2 (en) 2013-03-06 2015-07-21 Apple Inc. Reducing sheet resistance for common electrode in top emission organic light emitting diode display
KR102250061B1 (en) 2013-04-15 2021-05-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Light-emitting device
TWI612689B (en) * 2013-04-15 2018-01-21 半導體能源研究所股份有限公司 Light-emitting device
JP6506545B2 (en) 2013-12-27 2019-04-24 株式会社半導体エネルギー研究所 Semiconductor device
CN104064472B (en) * 2014-06-13 2017-01-25 京东方科技集团股份有限公司 Thin film transistor, manufacturing method thereof and display device
CN105590862A (en) * 2014-11-13 2016-05-18 昆山国显光电有限公司 Thin film transistor (TFT), and preparation method and application thereof
US9893060B2 (en) * 2015-12-17 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102448033B1 (en) * 2015-12-21 2022-09-28 삼성디스플레이 주식회사 Method for forming thin film transistor, thin film transistor substrate, and flat panel display apparatus

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5683781A (en) 1979-12-10 1981-07-08 Matsushita Electric Ind Co Ltd Image display unit and production thereof
US6979840B1 (en) * 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
JPH06148685A (en) 1992-11-13 1994-05-27 Toshiba Corp Liquid crystal display device
JP3587537B2 (en) 1992-12-09 2004-11-10 株式会社半導体エネルギー研究所 Semiconductor device
US6413805B1 (en) * 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
TW241377B (en) * 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
JP3474604B2 (en) * 1993-05-25 2003-12-08 三菱電機株式会社 Thin film transistor and method of manufacturing the same
JP3431033B2 (en) 1993-10-29 2003-07-28 株式会社半導体エネルギー研究所 Semiconductor fabrication method
US5923962A (en) 1993-10-29 1999-07-13 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
TW264575B (en) 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
JP3141656B2 (en) 1993-11-01 2001-03-05 富士ゼロックス株式会社 Method for manufacturing thin film semiconductor device
JP3398453B2 (en) 1994-02-24 2003-04-21 株式会社東芝 Method for manufacturing thin film transistor
JPH08274336A (en) 1995-03-30 1996-10-18 Toshiba Corp Polycrystal semiconductor thin-film transistor and its manufacture
JPH0955508A (en) * 1995-08-10 1997-02-25 Sanyo Electric Co Ltd Thin film transistor and its manufacture
JP2990046B2 (en) * 1995-08-16 1999-12-13 日本電気株式会社 Reflective liquid crystal display device and method of manufacturing the same
JP3647542B2 (en) * 1996-02-20 2005-05-11 株式会社半導体エネルギー研究所 Liquid crystal display
JPH10125928A (en) 1996-10-23 1998-05-15 Semiconductor Energy Lab Co Ltd Semiconductor integrated circuit and its manufacture
JPH10221704A (en) * 1997-02-07 1998-08-21 Sharp Corp Reflection type liquid crystal display device and its manufacture
JP3032801B2 (en) 1997-03-03 2000-04-17 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TW379360B (en) 1997-03-03 2000-01-11 Semiconductor Energy Lab Method of manufacturing a semiconductor device
JPH11133399A (en) * 1997-10-27 1999-05-21 Hitachi Ltd Reflection type liquid crystal display device and its production
US6369410B1 (en) * 1997-12-15 2002-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
US5953088A (en) * 1997-12-25 1999-09-14 Kabushiki Kaisha Toshiba Liquid crystal display with shield electrodes arranged to alternately overlap adjacent pixel electrodes
JP3282582B2 (en) 1998-04-21 2002-05-13 日本電気株式会社 Top gate type thin film transistor and method of manufacturing the same
JPH11337961A (en) * 1998-05-26 1999-12-10 Sharp Corp Reflective liquid crystal display device and its manufacture
JP2000012712A (en) 1998-06-25 2000-01-14 Hitachi Ltd Semiconductor device and its manufacture
JP3478528B2 (en) * 1998-07-23 2003-12-15 シャープ株式会社 Liquid crystal display device and method of manufacturing the same
JP3883706B2 (en) 1998-07-31 2007-02-21 シャープ株式会社 Etching method and method of manufacturing thin film transistor matrix substrate
JP2000077665A (en) * 1998-08-27 2000-03-14 Toshiba Corp Thin-film transistor device and its manufacture
JP2000091591A (en) * 1998-09-17 2000-03-31 Matsushita Electric Ind Co Ltd Thin film transistor c-mos inverter circuit using thin film transistor and manufacture of those
US6274887B1 (en) * 1998-11-02 2001-08-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method therefor
US20120074418A1 (en) 1998-11-25 2012-03-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US6365917B1 (en) 1998-11-25 2002-04-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US6501098B2 (en) * 1998-11-25 2002-12-31 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device
US7235810B1 (en) * 1998-12-03 2007-06-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP3587292B2 (en) 1998-12-24 2004-11-10 シャープ株式会社 Method for manufacturing semiconductor device
JP4008133B2 (en) * 1998-12-25 2007-11-14 株式会社半導体エネルギー研究所 Semiconductor device
JP3728958B2 (en) 1998-12-28 2005-12-21 セイコーエプソン株式会社 Electro-optical device and manufacturing method thereof
JP2000193938A (en) * 1998-12-28 2000-07-14 Fujitsu Ltd Driving method for liquid crystal display device
US6346730B1 (en) * 1999-04-06 2002-02-12 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device having a pixel TFT formed in a display region and a drive circuit formed in the periphery of the display region on the same substrate
JP2001175198A (en) * 1999-12-14 2001-06-29 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method therefor
US6969875B2 (en) * 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
TW501282B (en) 2000-06-07 2002-09-01 Semiconductor Energy Lab Method of manufacturing semiconductor device
JP4127466B2 (en) 2000-07-31 2008-07-30 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6613620B2 (en) 2000-07-31 2003-09-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
JP5046452B2 (en) * 2000-10-26 2012-10-10 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TW525216B (en) * 2000-12-11 2003-03-21 Semiconductor Energy Lab Semiconductor device, and manufacturing method thereof
US6872658B2 (en) * 2001-11-30 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device by exposing resist mask
TWI263339B (en) * 2002-05-15 2006-10-01 Semiconductor Energy Lab Light emitting device and method for manufacturing the same
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
TWI252539B (en) * 2004-03-12 2006-04-01 Toshiba Corp Semiconductor device and manufacturing method therefor
JP2006060046A (en) * 2004-08-20 2006-03-02 Toshiba Corp Semiconductor device
JP4372024B2 (en) * 2005-02-14 2009-11-25 株式会社東芝 CMOS semiconductor device
KR100653721B1 (en) * 2005-06-30 2006-12-05 삼성전자주식회사 Semiconductor devices having nitrogen incorporated active and method of fabricating the same
JP2007019396A (en) * 2005-07-11 2007-01-25 Renesas Technology Corp Semiconductor having mos structure and method for manufacturing the same
WO2007011061A1 (en) * 2005-07-22 2007-01-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7432567B2 (en) * 2005-12-28 2008-10-07 International Business Machines Corporation Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7378713B2 (en) * 2006-10-25 2008-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with dual-metal gate structures and fabrication methods thereof
US7723798B2 (en) * 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US7625791B2 (en) * 2007-10-29 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. High-k dielectric metal gate device structure and method for forming the same

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8470647B2 (en) 2000-05-12 2013-06-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20010041392A1 (en) * 2000-05-12 2001-11-15 Hideomi Suzawa Semiconductor device and manufacturing method thereof
US20070111424A1 (en) * 2000-05-12 2007-05-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20040140472A1 (en) * 2000-07-31 2004-07-22 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US6690034B2 (en) 2000-07-31 2004-02-10 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US7145177B2 (en) 2000-07-31 2006-12-05 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US7456911B2 (en) * 2000-08-14 2008-11-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20020021377A1 (en) * 2000-08-14 2002-02-21 Shingo Eguchi Semiconductor device
US6727124B2 (en) * 2000-11-06 2004-04-27 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a TFT using a catalytic element to promote crystallization of a semiconductor film and gettering the catalytic element
US7253038B2 (en) 2001-05-18 2007-08-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20020195603A1 (en) * 2001-05-18 2002-12-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7078277B2 (en) 2001-05-18 2006-07-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US6828584B2 (en) 2001-05-18 2004-12-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20050101066A1 (en) * 2001-05-18 2005-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20070111408A1 (en) * 2001-10-31 2007-05-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US8420461B2 (en) 2001-10-31 2013-04-16 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US20100279476A1 (en) * 2001-10-31 2010-11-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing Method for Field-Effect Transistor
US7718478B2 (en) 2001-10-31 2010-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US20040209412A1 (en) * 2001-10-31 2004-10-21 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US7157317B2 (en) 2001-10-31 2007-01-02 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US20030173566A1 (en) * 2002-02-21 2003-09-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7821008B2 (en) 2002-02-21 2010-10-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7332385B2 (en) 2002-02-21 2008-02-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes gettering regions
US20040063270A1 (en) * 2002-09-27 2004-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7422933B2 (en) 2002-09-27 2008-09-09 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7015080B2 (en) 2002-09-27 2006-03-21 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20060118888A1 (en) * 2002-09-27 2006-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20040063256A1 (en) * 2002-09-27 2004-04-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US7648863B2 (en) 2002-09-27 2010-01-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7569436B2 (en) 2002-09-27 2009-08-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20060128080A1 (en) * 2002-09-27 2006-06-15 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20080299737A1 (en) * 2002-09-27 2008-12-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7009262B2 (en) 2002-09-27 2006-03-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7449717B2 (en) 2003-04-10 2008-11-11 Au Optronics Corp. Asymmetry thin-film transistor
US20070023835A1 (en) * 2003-04-10 2007-02-01 Kun-Hong Chen Asymmetry thin-film transistor
US20040201017A1 (en) * 2003-04-10 2004-10-14 Kun-Hong Chen Asymmetry thin-film transistor
US7132685B2 (en) * 2003-04-10 2006-11-07 Au Optronics Corp. Asymmetry thin-film transistor
CN100373633C (en) * 2003-08-20 2008-03-05 友达光电股份有限公司 Asymmetric thin-film transistor structure
US7714367B2 (en) 2003-12-22 2010-05-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20050133862A1 (en) * 2003-12-22 2005-06-23 Saishi Fujikawa Semiconductor device and manufacturing method thereof
US20070170513A1 (en) * 2003-12-22 2007-07-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor Device and Manufacturing Method Thereof
US7202149B2 (en) * 2003-12-22 2007-04-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20140061656A1 (en) * 2012-08-31 2014-03-06 Apple Inc. Two Doping Regions in Lightly Doped Drain for Thin Film Transistors and Associated Doping Processes
US8987027B2 (en) * 2012-08-31 2015-03-24 Apple Inc. Two doping regions in lightly doped drain for thin film transistors and associated doping processes
US20140117340A1 (en) * 2012-10-26 2014-05-01 Sumsung Display Co., Ltd. Organic light emitting diode display and method of manufacturing the same
US9287528B2 (en) * 2012-10-26 2016-03-15 Samsung Display Co., Ltd. Organic light emitting diode display having high resolution and method of manufacturing the same
US10468533B2 (en) 2015-04-28 2019-11-05 Sharp Kabushiki Kaisha Semiconductor device and method for manufacturing same
CN107919323A (en) * 2016-10-10 2018-04-17 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11031403B2 (en) 2017-04-28 2021-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
US11289475B2 (en) 2019-01-25 2022-03-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
CN113437076A (en) * 2020-03-23 2021-09-24 铠侠股份有限公司 Semiconductor memory device with a plurality of memory cells

Also Published As

Publication number Publication date
US20040169183A1 (en) 2004-09-02
US6613620B2 (en) 2003-09-02
JP5732561B2 (en) 2015-06-10
US8134157B2 (en) 2012-03-13
US7800115B2 (en) 2010-09-21
US6828586B2 (en) 2004-12-07
US7511303B2 (en) 2009-03-31
US20070246710A1 (en) 2007-10-25
JP2012078847A (en) 2012-04-19
US20130001561A1 (en) 2013-01-03
US20050082539A1 (en) 2005-04-21
US20090179205A1 (en) 2009-07-16
JP2013250568A (en) 2013-12-12
JP2014140052A (en) 2014-07-31
US8278160B2 (en) 2012-10-02
JP2012142618A (en) 2012-07-26
US20110001140A1 (en) 2011-01-06
US20030211664A1 (en) 2003-11-13
US7173283B2 (en) 2007-02-06
US8659025B2 (en) 2014-02-25
JP5463341B2 (en) 2014-04-09
US20120142178A1 (en) 2012-06-07
US6707068B2 (en) 2004-03-16

Similar Documents

Publication Publication Date Title
US8659025B2 (en) Semiconductor device and method of manufacturing same
US7183144B2 (en) Semiconductor device and method of manufacturing the same
US9343570B2 (en) Semiconductor device and fabrication method thereof
US7557412B2 (en) Semiconductor device and method of manufacturing the same
US8624248B2 (en) Semiconductor device and manufacturing method thereof
US6727124B2 (en) Method of manufacturing a TFT using a catalytic element to promote crystallization of a semiconductor film and gettering the catalytic element
JP4127466B2 (en) Method for manufacturing semiconductor device
JP5046439B2 (en) Method for manufacturing semiconductor device
JP4641586B2 (en) Method for manufacturing semiconductor device
JP4583654B2 (en) Method for manufacturing semiconductor device
JP4700159B2 (en) Method for manufacturing semiconductor device
JP4954482B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMICONDUCTOR ENERGY LABORATORY CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FUJIMOTO, ETSUKO;MURAKAMI, SATOSHI;YAMAZAKI, SHUNPEI;AND OTHERS;REEL/FRAME:012039/0172;SIGNING DATES FROM 20010716 TO 20010721

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12