US20020028554A1 - Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist - Google Patents

Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist Download PDF

Info

Publication number
US20020028554A1
US20020028554A1 US09/974,403 US97440301A US2002028554A1 US 20020028554 A1 US20020028554 A1 US 20020028554A1 US 97440301 A US97440301 A US 97440301A US 2002028554 A1 US2002028554 A1 US 2002028554A1
Authority
US
United States
Prior art keywords
gate
gate dielectric
forming
conductor
openings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/974,403
Inventor
Gary Bronner
Jeffrey Gambino
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US09/974,403 priority Critical patent/US20020028554A1/en
Publication of US20020028554A1 publication Critical patent/US20020028554A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/981Utilizing varying dielectric thickness

Abstract

A method and structure for forming an integrated circuit chip having multiple-thickness gate dielectrics includes forming a gate dielectric layer over a substrate, forming a sacrificial layer over the gate dielectric layer, forming first openings through the sacrificial layer to expose the gate dielectric layer in the first openings, growing a first gate dielectric having a thickness greater than that of the gate dielectric layer in the first openings, depositing a first gate conductor above the first gate dielectric in the first openings, forming a second opening through the sacrificial layer to expose the gate dielectric layer in the second opening, and depositing a second gate conductor in the second opening.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention generally relates to the formation of semiconductor devices and more particularly to a method and structure which includes gate oxides having multiple thicknesses. [0002]
  • 2. Description of the Related Art [0003]
  • Conventional systems such as those shown in U.S. Pat. Nos. 5,444,279 and 5,489,577 (incorporated herein by reference) manufacture gate oxides using methods that incorporate an impurity implant patterned with a photoresist mask to form multiple thicknesses of gate oxides. They also use enhanced oxidation by Boron doping. This is not useful for advanced CMOS devices because the high doping in the channel can adversely affect the threshold voltage of the device. [0004]
  • More specifically, such conventional systems use photoresists to selectively implant an impurity and then either remove or form a gate oxide over the regions having different impurity levels. The impurities cause the oxide to grow (or be removed) at a different rate and permit a gate oxide with different thicknesses to be manufactured. [0005]
  • The different gate oxide thicknesses allow different gates or different portions of the gate to be closer to the underlying silicon substrate, which results in decreased coupling between the gate and the transistor channel region. Such processes also make the devices easier to program because the higher impurity concentrations increase the number of hot electrons available in some situations. The thicker gate oxides provide better insulation for a floating gate and reduce the number of electrons which may leak from the floating gate. This increases the charge storage ability of a floating gate and improves the reliability of the device. [0006]
  • However, these processes typically expose the gate oxide to impurities from the photoresist. More specifically, sodium, potassium, iron, nickel, etc. are transferred from the photoresist to the underlying gate oxide. These impurities affect the threshold voltage of the gate oxide, leading to variations in the transistor current-voltage characteristics. Further, high concentrations of these impurities can lead to high leakage currents through the gate oxide, degrading yield and reliability. Therefore, it is difficult to make manufacturing changes to compensate for the impurities and is also difficult to calculate the effect the impurities will have upon the threshold voltage of the gate oxide. Thus, there is a need to eliminate such photoresist impurities from the gate oxide during the formation of multi-thickness gate oxides. [0007]
  • The invention described below forms multiple gate film thickness without exposing the gate oxide to the photoresist. Therefore, the invention produces a structure with different gate oxide thicknesses without suffering the disadvantages of conventional processes. [0008]
  • SUMMARY OF THE INVENTION
  • It is, therefore, an object of the present invention to provide a structure and method for forming an integrated circuit chip having multiple-thickness gate dielectrics. The method includes forming a gate dielectric layer over a substrate, forming a sacrificial layer over the gate dielectric layer, forming first openings through the sacrificial layer to expose the gate dielectric layer in the first openings, growing a first gate dielectric having a thickness greater than that of the gate dielectric layer in the first openings, depositing a first gate conductor above the first gate dielectric in the first openings, forming a second opening through the sacrificial layer to expose the gate dielectric layer in the second opening, and depositing a second gate conductor in the second opening. [0009]
  • The structure and method include forming shallow trench isolation regions within the substrate wherein the first opening and the second opening are formed between the shallow trench isolation regions which form a first sacrificial layer and a second sacrificial layer over the first sacrificial layer. The first sacrificial layer is the material that is selectively etchable with respect to the gate dielectric layer. After depositing the second gate conductor, the first sacrificial layer is removed so that the second sacrificial layer is simultaneously removed. After depositing the first gate conductor the sacrificial layer is planarized. The first gate conductor has a thickness less than that of the second gate conductor. [0010]
  • The invention also includes a process for forming an integrated circuit chip having multiple-thickness gate dielectrics which includes forming gate mandrels on a substrate, forming an insulator between the gate mandrels, selectively removing first ones of the gate mandrels to form first openings in the insulator, forming a first gate dielectric within the first openings, depositing a first gate conductor within the first openings and above the first gate dielectric, selectively removing second ones of the gate mandrels to form second openings in the insulator, forming a second gate dielectric within the second openings (the second gate dielectric having a thicknesses less than the first gate dielectric), and depositing the second gate conductor within the second openings above the second gate dielectric. [0011]
  • The inventive structure comprises an integrated circuit chip with first devices having a first gate dielectric with a first gate dielectric thickness, second devices having a second gate dielectric with a second gate dielectric thickness less than the first gate dielectric thickness, wherein the first gate dielectric and the second gate dielectric are free of photoresist impurities. [0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of a preferred embodiment of the invention with reference to the drawings, in which: [0013]
  • FIG. 1 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0014]
  • FIG. 2 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0015]
  • FIG. 3 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0016]
  • FIG. 4 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0017]
  • FIG. 5 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0018]
  • FIG. 6 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0019]
  • FIG. 7 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0020]
  • FIG. 8 is a schematic diagram of a stage of the method of producing a first embodiment of the invention; [0021]
  • FIG. 9 is a schematic diagram of a final stage of the method of producing a first embodiment of the invention; [0022]
  • FIG. 10 is a schematic diagram of a stage of the method of producing a second embodiment of the invention; [0023]
  • FIG. 11 is a schematic diagram of a stage of the method of producing a second embodiment of the invention; [0024]
  • FIG. 12 is a schematic diagram of a stage of the method of producing a second embodiment of the invention; [0025]
  • FIG. 13 is a schematic diagram of a stage of the method of producing a second embodiment of the invention; and [0026]
  • FIG. 14 is a schematic diagram of a final stage of the method of producing a second embodiment of the invention.[0027]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • As mentioned above, the conventional systems that form multiple-thickness gate oxides suffer from the disadvantage that photoresist impurities are allowed to remain in the gate oxides. The invention avoids this problem by forming the different gate oxide thicknesses in different processing steps without requiring a doping implant to achieve the different thicknesses. Therefore, the invention produces a structure with different gate oxide thicknesses wherein the oxides are free from impurities. This allows of the inventive structure to be superior to conventional structures in that the gate oxide will be a pure insulator (e.g., free from unwanted photoresist related impurities) and will perform as projected by the modeling program, once the structure is actually manufactured. Additionally, the gate oxide will comprise a consistent material which acts consistently throughout the entire circuit or chip. [0028]
  • Referring now to the drawings, and more particularly to FIGS. [0029] 1-8, one process for manufacturing a first embodiment of the invention is illustrated. While, at first glance, it may appear that the foregoing problem can be simply solved by including an additional passivating layer, such as polysilicon between the gate oxide and the photoresist mask to protect the gate oxide from the impurities in the photoresist, as is shown in U.S. Pat. No. 5,668,035, incorporated herein by reference. However, the formation and removal of such a passivating material over the gate oxide results in substantial manufacturing problems which produces undesirable yield results. Therefore, the following high yield processes have been developed which allows impurity-free gate oxides to be formed with multiple thicknesses.
  • More specifically, as shown in FIG. 1, shallow trench isolation (STI) [0030] regions 11 are formed within a substrate 10 (such as a silicon substrate). The formation of the STI regions 11 includes patterning trenches using conventional lithographic and etching processes. An insulator is then deposited over the structure and in the trenches. The structure is then planarized to allow the insulator to remain only within the trenches.
  • In FIG. 2, a [0031] thermal oxide 20 such as a silicon oxide is grown over the structure. Then, a sacrificial film 21 (that is different than silicon oxide) is deposited. The sacrificial film 21 preferably has properties that will enable it to act as a mask to the thermal oxide 20. Further, the sacrificial film 21 should be selectively etchable with respect to the thermal oxide film 20. In the preferred embodiment shown in FIG. 2, the sacrificial film 21 comprises silicon nitride.
  • In FIG. 3, a [0032] sacrificial oxide film 30 is deposited over the previous sacrificial film 21 and a mask 31 is formed over the sacrificial oxide 30. Using standard lithographic techniques, the mask is patterned and openings 32 are formed in the sacrificial oxide 30, as shown in FIG. 3. The openings 32 correspond with areas where “thick gate oxide” gate stack structures will be positioned over the thermal oxide 20.
  • In FIG. 4, the [0033] sacrificial film 21 is removed from the openings 32, using conventional removal techniques such as wet or dry etching. Then, a thermal oxidation process is performed to increase in the thickness of the thermal oxide layer 20 in the region of the openings 32 to form a thick region of thermal oxide 40 over the original thermal oxide layer 20, as shown in FIG. 4. Alternatively, the thermal oxide 20 can be removed (e.g., by a wet etch (AF-based)) from the openings 32 and a thick region of thermal oxide can be grown in the openings 32. This is the preferred embodiment because the resulting single thick gate oxide layer can be more easily controlled.
  • Then, as shown in FIG. 5, a gate conductor [0034] 50 (e.g., polysilicon, tungsten, etc.) is deposited. As shown in FIG. 6, the structure is planarized using conventional techniques such as chemical mechanical polishing (CMP).
  • In FIG. 7, another [0035] mask 70 is formed over the structure. The mask is patterned to form openings 71 where the “thin gate oxide” gate stack structures will be located. The sacrificial oxide 30 and sacrificial film 21 are removed from the openings 71, as discussed above with respect to opening 32. Alternatively, the thermal oxide 20 can be removed by a wet etch and a different thin gate oxide 72 can be grown in opening 71. In a similar manner to that shown in FIGS. 5 and 6, the opening 71 is then filled with a conductor (shown in FIG. 8 as item 81). The sacrificial oxide is then removed leaving the gate stack structures 40, 60 72, and 81. After this process, the conventional processes which form the source, drain and other features of the device, which are well known to those ordinarily skilled in the art, are performed.
  • This process forms gate stack structures which have different gate oxide thicknesses (and different gate conductor thicknesses) without utilizing a doping implant process and without using a resist in direct contact with the gate oxide. Therefore, the gate oxide structures are free from any doping impurities and do not suffer from the disadvantages of the conventional systems that are discuss above. [0036]
  • The previous embodiment formed the gate stack first and then formed the associated source and drain regions. In the next embodiment, the source and drain regions are formed before the gate stack. This second embodiment presents an advantage in that high dielectric contact materials (e.g., Ta[0037] 2O5, BaSrTiO3, etc.) can be used in the gate stack. In the previous embodiment such high dielectric contact materials could not be used because the high temperature anneals that are used to activate the source/drain junctions would degrate the gate dielectric (e.g., Ta2O5, and BaSrTiO3 degrade at anneal temperatures above 700-900° C.).
  • The process for manufacturing this embodiment of the invention is shown in FIGS. [0038] 9-14. In FIG. 9, a pad silicon oxide 91 is grown over a substrate 90 and a gate conductor mandrel material 92 (e.g., polysilicon, silicon nitride, etc.) is deposited. Using conventional lithographic masking and etching techniques well known to those ordinarily skilled in the art, the mandrels 101 (e.g., gate conductor placeholders) are patterned out of the gate conductor mandrel material 92. In addition, conventional techniques are used to formed the spacers 100 on the sides of the gate conductor mandrels 101. Also, at this time, the source and drain regions 102 are implanted with an impurity.
  • In FIG. 11, an [0039] insulator 110 is deposited and planarized. In a preferred embodiment, the insulator comprises PSG (Phosphoro Silicate Glass). In FIG. 12, a mask 121 is formed over the structure and patterned to reveal opening 120. Then, the gate material mandrels 101 and insulator 91 are removed from the opening 120, using conventional removal techniques such as wet or dry etching. In FIG. 13, the thicker dielectric 130 is grown in the opening 128. Then, the gate conductor 131 is deposited over the gate oxide 130 in the opening of 120. Then, the structure is planarized using any conventional planarizing technique to remove any excess conductor material 131. The mask 121 can be removed in the foregoing planarization process or can be removed in a separate step.
  • Next, a mask (not illustrated) similar to that shown in FIG. 12 is formed over the structure with an opening over the regions where the thin gate conductor oxide will be located. The [0040] previous mandrel material 101 is removed to form openings similar to openings 120 shown in FIG. 12. In addition, the thermal oxide 91 is removed with a wet etch. The mask protects the thicker gate oxide 130 and gate conductor 131 during these etch steps. Then, as shown in FIG. 14, a thin gate dielectric 141 (e.g., Ta2O5, Ta2O5, BaSrTiO3, etc.) is deposited over the entire structure. Subsequently, the gate conductor 140 is deposited and the entire structure is subjected to a planarization process which removes any excess gate dielectric 141 or gate conductor 140 and produces the structure shown in FIG. 14.
  • Since the gate oxides and gate conductors are formed in different processing steps, the invention also allows the opportunity to utilize different materials for the different gate oxides and the different gate conductors. Therefore, the invention allows the circuit designer even greater flexibility when compared to conventional processes. [0041]
  • Therefore, and shown above, the invention produces a structure which includes a gate oxide material that is free of impurities commonly found in photoresist materials. Further, the invention presents a process of forming such structures. Therefore, the invention produces a semiconductor device, such as a transistor that includes gate oxide layers having different thicknesses. Also, the inventive gate oxide layers have a consistent material composition (being free of photoresist impurities) which allows the inventive structure to operate in a more consistent manner and to be free of defects. [0042]
  • An additional benefit of the invention is that the structure is very planar, which makes it easier to process subsequent contact and metal layers. This is in contrast to the prior art, for example, such as U.S. Pat. No. 5,668,035, which results in a non-planar structure. [0043]
  • While the invention has been described in terms of preferred embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims. [0044]

Claims (20)

What is claimed is:
1. A method of forming and integrated circuit chip having multiple-thickness gate dielectrics, said method comprising:
forming a gate dielectric layer over a substrate;
forming a sacrificial layer over said gate dielectric layer;
forming first openings through said sacrificial layer to expose said gate dielectric layer in said first openings;
growing a first gate dielectric having a thickness greater than that of said gate dielectric layer in said first openings;
depositing a first gate conductor above said first gate dielectric in said first openings;
forming a second opening through said sacrificial layer to expose said gate dielectric layer in said second opening; and
depositing a second gate conductor in said second opening.
2. The method in claim 1, further comprising forming shallow trench isolation regions within said substrate, wherein, said first opening and said second opening are formed between said shallow trench isolation regions.
3. The method in claim 1, wherein said forming of said sacrificial layer comprises forming a first sacrificial layer and forming a second sacrificial layer over said first sacrificial layer.
4. The method in claim 3, wherein said first sacrificial layer comprises a material that is selectively etchable with respect to said gate dielectric layer.
5. The method in claim 4, further comprising, after said depositing of said second gate conductor, removing said first sacrificial layer such that said second sacrificial layer is simultaneously removed.
6. The method in claim 1, further comprising after said depositing of said first gate conductor, planarizing said sacrificial layer.
7. The method in claim 1, wherein said first gate conductor has a thickness less than that of said second gate conductor.
8. A method of forming an integrated circuit chip having multiple-thickness gate dielectrics, said method comprising:
forming gate mandrels on a substrate;
forming an insulator between said gate mandrels;
selectively removing first ones of said gate mandrels to form first openings in said insulator;
forming a first gate dielectric within said first openings;
depositing a first gate conductor within said first openings and above said first gate dielectric;
selectively removing second ones of said gate mandrels to form second openings in said insulator;
forming a second gate dielectric within said second openings, said second gate dielectric having a thicknesses less than that of said first gate dielectric; and
depositing a second gate conductor within said second openings above said second gate dielectric.
9. The method in claim 8, further comprising, before said forming of said insulator, forming source and drain regions adjacent said gate mandrels.
10. The method in claim 9, further comprising, before said forming of said source and drain regions, forming insulating spacers on said gate mandrels.
11. The method in claim 8, further comprising, before said removing of said first ones of said mandrels, patterning a mask over said mandrels and said insulators.
12. The method in claim 8, wherein said first gate dielectric and said second gate dielectric comprise different materials.
13. The method in claim 8, wherein said forming of said mandrels comprises depositing a gate mandrel material and patterning said gate mandrel material to remain only where gate conductor stacks are to be positioned.
14. The method in claim 8, further comprising, before said forming of said gate mandrels, forming a pad layer over said substrate, such that said pad layer is positioned between said gate mandrels and said substrate, wherein said first opening and said second opening extend through said pad layer.
15. An integrated circuit chip comprising:
first devices having a first gate dielectric with a first gate dielectric thickness; and
second devices having a second gate dielectric with a second gate dielectric thickness less than said first gate dielectric thickness,
wherein said first gate dielectric and said second gate dielectric are free of photoresist impurities.
16. The integrated circuit chip in claim 15, wherein said photoresist impurities include sodium, potassium, iron and nickel.
17. The integrated circuit chip in claim 15, further comprising:
a first gate conductor over said first gate dielectric, said first gate conductor having a first gate conductor thickness; and
a second gate conductor over said second gate dielectric, said second gate conductor having a second gate conductor thickness greater than said first gate conductor thickness.
18. The integrated circuit chip in claim 17, further comprising insulating spacers adjacent said first gate conductor, said first gate dielectric, and said second gate conductor.
19. The integrated circuit chip in claim 17, further comprising source and drain regions adjacent said first gate conductor and said second gate conductor.
20. The integrated circuit chip in claim 15, wherein said first gate dielectric and said second gate dielectric comprised different materials.
US09/974,403 2000-06-16 2001-10-10 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist Abandoned US20020028554A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/974,403 US20020028554A1 (en) 2000-06-16 2001-10-10 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/595,817 US6339001B1 (en) 2000-06-16 2000-06-16 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist
US09/974,403 US20020028554A1 (en) 2000-06-16 2001-10-10 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/595,817 Division US6339001B1 (en) 2000-06-16 2000-06-16 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist

Publications (1)

Publication Number Publication Date
US20020028554A1 true US20020028554A1 (en) 2002-03-07

Family

ID=24384804

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/595,817 Expired - Fee Related US6339001B1 (en) 2000-06-16 2000-06-16 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist
US09/974,403 Abandoned US20020028554A1 (en) 2000-06-16 2001-10-10 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/595,817 Expired - Fee Related US6339001B1 (en) 2000-06-16 2000-06-16 Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist

Country Status (2)

Country Link
US (2) US6339001B1 (en)
GB (1) GB2368461B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001106A1 (en) * 2004-06-30 2006-01-05 Metz Matthew V Using different gate dielectrics with NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit
US7160771B2 (en) 2003-11-28 2007-01-09 International Business Machines Corporation Forming gate oxides having multiple thicknesses
US20070069282A1 (en) * 2003-03-06 2007-03-29 Samsung Electronics Co., Ltd. Semiconductor device having gate insulating layers with differing thicknesses
US20090055464A1 (en) * 2000-01-26 2009-02-26 Multer David L Data transfer and synchronization system
US11562932B2 (en) * 2017-03-22 2023-01-24 Semiconductor Manufacturing International (Shanghai) Corporation Method to improve CMOS device performance

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001351989A (en) * 2000-06-05 2001-12-21 Nec Corp Manufacturing method of semiconductor device
KR100801706B1 (en) * 2006-10-25 2008-02-11 삼성전자주식회사 Fabrication methods of a semiconductor device having multi-gate dielectric layers and semiconductor devices fabricated thereby
US8361863B2 (en) 2008-11-13 2013-01-29 Mosys, Inc. Embedded DRAM with multiple gate oxide thicknesses
EP2306508B1 (en) 2009-09-29 2012-11-28 STMicroelectronics Srl Integrated device with raised LOCOS insulation regions and process for manufacturing such device
US8338888B2 (en) * 2009-09-29 2012-12-25 STMicroelectronicis S.r.l. Process for manufacturing an integrated device with “damascene” field insulation, and integrated device made by such process
CN103545188B (en) * 2012-07-13 2017-03-08 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
CN103578991B (en) * 2012-07-24 2017-12-12 中国科学院微电子研究所 Method, semi-conductor device manufacturing method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261887B1 (en) * 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58100450A (en) * 1981-12-10 1983-06-15 Matsushita Electronics Corp Semiconductor device and manufacture thereof
US5057449A (en) * 1990-03-26 1991-10-15 Micron Technology, Inc. Process for creating two thicknesses of gate oxide within a dynamic random access memory
JPH04103162A (en) * 1990-08-23 1992-04-06 Toshiba Corp Manufacture of semiconductor device provided with insulating film
US5444279A (en) 1993-08-11 1995-08-22 Micron Semiconductor, Inc. Floating gate memory device having discontinuous gate oxide thickness over the channel region
US5498577A (en) 1994-07-26 1996-03-12 Advanced Micro Devices, Inc. Method for fabricating thin oxides for a semiconductor technology
US5595922A (en) * 1994-10-28 1997-01-21 Texas Instruments Process for thickening selective gate oxide regions
US6110842A (en) * 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
US5668035A (en) 1996-06-10 1997-09-16 Taiwan Semiconductor Manufacturing Company Ltd. Method for fabricating a dual-gate dielectric module for memory with embedded logic technology
US5821169A (en) 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US5882993A (en) 1996-08-19 1999-03-16 Advanced Micro Devices, Inc. Integrated circuit with differing gate oxide thickness and process for making same
US6117736A (en) * 1997-01-30 2000-09-12 Lsi Logic Corporation Method of fabricating insulated-gate field-effect transistors having different gate capacitances
JPH10326837A (en) 1997-03-25 1998-12-08 Toshiba Corp Semiconductor integrated circuit device and manufacture thereof, semiconductor device and manufacture thereof
US5926708A (en) 1997-05-20 1999-07-20 International Business Machines Corp. Method for providing multiple gate oxide thicknesses on the same wafer
US6080682A (en) * 1997-12-18 2000-06-27 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US6165849A (en) * 1998-12-04 2000-12-26 Advanced Micro Devices, Inc. Method of manufacturing mosfet with differential gate oxide thickness on the same IC chip

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261887B1 (en) * 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090055464A1 (en) * 2000-01-26 2009-02-26 Multer David L Data transfer and synchronization system
US20070069282A1 (en) * 2003-03-06 2007-03-29 Samsung Electronics Co., Ltd. Semiconductor device having gate insulating layers with differing thicknesses
US7160771B2 (en) 2003-11-28 2007-01-09 International Business Machines Corporation Forming gate oxides having multiple thicknesses
US20060001106A1 (en) * 2004-06-30 2006-01-05 Metz Matthew V Using different gate dielectrics with NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit
WO2006012311A1 (en) 2004-06-30 2006-02-02 Intel Corporation Using different gate dielectrics with nmos and pmos transistors of a complementary metal oxide semiconductor integrated circuit
US7060568B2 (en) 2004-06-30 2006-06-13 Intel Corporation Using different gate dielectrics with NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit
US11562932B2 (en) * 2017-03-22 2023-01-24 Semiconductor Manufacturing International (Shanghai) Corporation Method to improve CMOS device performance

Also Published As

Publication number Publication date
GB2368461A (en) 2002-05-01
US6339001B1 (en) 2002-01-15
GB2368461B (en) 2004-09-08
GB0113679D0 (en) 2001-07-25

Similar Documents

Publication Publication Date Title
US6642125B2 (en) Integrated circuits having adjacent P-type doped regions having shallow trench isolation structures without liner layers therein therebetween and methods of forming same
JP3529732B2 (en) Method for forming a MOSFET device
US5480830A (en) Method of making depleted gate transistor for high voltage operation
US6383882B1 (en) Method for fabricating MOS transistor using selective silicide process
US7727842B2 (en) Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US6881631B2 (en) Method of manufacturing semiconductor device
KR100537580B1 (en) Process for the fabrication of mosfet devices depletion, silicided source and drain junctions
US6800530B2 (en) Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US20050253173A1 (en) Dual work-function metal gates
US6184155B1 (en) Method for forming a ultra-thin gate insulator layer
US6339001B1 (en) Formulation of multiple gate oxides thicknesses without exposing gate oxide or silicon surface to photoresist
JP4148518B2 (en) BiCMOS integration with raised external base
US6511887B1 (en) Method for making FET gate oxides with different thicknesses using a thin silicon nitride layer and a single oxidation step
US7585738B2 (en) Method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device
JP2003513448A (en) Method of suppressing narrowing effect in CMOS technology
US6306741B1 (en) Method of patterning gate electrodes with high K gate dielectrics
US6066526A (en) Method of making trench DRAM
TWI240375B (en) Integrated circuit structure and method of fabrication
US7169677B2 (en) Method for producing a spacer structure
US20010026995A1 (en) Method of forming shallow trench isolation
JP2005093816A (en) Semiconductor device manufacturing method and the semiconductor device
US20080258145A1 (en) Semiconductor Devices Including an Amorphous Region in an Interface Between a Device Isolation Layer and a Source/Drain Diffusion Layer
JP2000188325A (en) Manufacture of semiconductor device
JPS61276372A (en) Manufacture of semiconductor device
KR20020055904A (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910