US20020073925A1 - Apparatus and method for exposing a substrate to plasma radicals - Google Patents

Apparatus and method for exposing a substrate to plasma radicals Download PDF

Info

Publication number
US20020073925A1
US20020073925A1 US09/439,476 US43947699A US2002073925A1 US 20020073925 A1 US20020073925 A1 US 20020073925A1 US 43947699 A US43947699 A US 43947699A US 2002073925 A1 US2002073925 A1 US 2002073925A1
Authority
US
United States
Prior art keywords
reaction chamber
chamber
plasma
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/439,476
Other versions
US6450116B1 (en
Inventor
David B. Noble
Ravi Jallepally
Nathan D'Astici
Gary Miner
Turgut Sahin
Guangcai Xing
Yashraj Bhatnagar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US09/439,476 priority Critical patent/US6450116B1/en
Application filed by Individual filed Critical Individual
Priority to JP2000614482A priority patent/JP2002543584A/en
Priority to EP00923564A priority patent/EP1196938A2/en
Priority to PCT/US2000/010733 priority patent/WO2000065631A2/en
Priority to KR1020017013512A priority patent/KR20010110795A/en
Priority to TW089107786A priority patent/TW512458B/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BHATNAGAR, YASHRAJ, D'ASTICI, NATHAN, NOBLE, DAVID B., RAVI, JALLEPALLY, SAHIN, TURGUT, XING, GUANGCAI
Priority to TW089107785A priority patent/TW512457B/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BHATNAGAR, YASHRAJ, D'ASTICI, NATHAN, MINER, GARY, NOBLE, DAVID B., RAVI, JALLEPALLY, SAHIN, TURGUT, XING, GUANGCAI
Publication of US20020073925A1 publication Critical patent/US20020073925A1/en
Application granted granted Critical
Publication of US6450116B1 publication Critical patent/US6450116B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • H01J2237/3387Nitriding

Definitions

  • the invention relates to the field of wafer or substrate plasma reactions, and more specifically to an apparatus and method for exposing a wafer or substrate to a plasma.
  • Oxidation processes are used to passivate or oxidize semiconductor films.
  • Popular methods to oxidize silicon surfaces and films, such as, for example, polysilicon gate electrodes and substrates, include pure oxygen (O 2 ) and water vapor or steam (H 2 O) oxidation processes. In either case, the oxygen or water vapor is brought into a chamber to react with the silicon-containing surfaces to form silicon dioxide (SiO 2 ).
  • CMOS complementary metal oxide semiconductor
  • V T threshold voltage
  • a polysilicon gate will be doped with boron as part of a PMOS device, or phosphorous, arsenic or antimony as part of an NMOS device.
  • dopants implanted into the gate particularly boron
  • dopants implanted into the gate diffuse or migrate through the gate oxide, particularly during a high temperature annealing activation step conventionally performed to activate the dopants in the diffusion or junction regions.
  • some of the boron diffuses through the gate oxide and gets deposited in the channel beneath the gate oxide adding more charge to the channel. The additional charge becomes scattering centers to charge carriers conducting the current. The scattering creates electric field changes that degrade the mobility of the device.
  • the diffusion of the boron into the channel also unacceptably modifies the VT away from the predicted value for a device.
  • nitrogen-containing sources such as nitrous oxide (N 2 O), nitrogen oxide (NO), and ammonia (NH 3 ).
  • the nitrogen-containing material forms a film or layer (typically a silicon nitride (Si 3 N 4 ) or a silicon oxynitride (Si x N y O z ) film or layer) that acts as a barrier layer to prevent the diffusion of dopants through the oxide.
  • a prior art NO growth and anneal method typically results in a high nitrogen incorporation (up to nine percent) at a gate oxide/silicon substrate interface.
  • the nitrogen acts as an excellent diffusion barrier, but the nitrogen present in such high percentage at the interface degrades channel mobility.
  • Other prior art methods contaminate the oxide or do not provide a significant penetration barrier to dopants.
  • an NH 3 anneal forms a good barrier layer to diffusing dopants, but the reliability of the device is degraded due to hydrogen incorporation.
  • a prior art N 2 O growth or anneal method incorporates less than three percent nitrogen at the substrate interface, but does not provide a good enough penetration barrier for thin gate oxides.
  • the invention in one aspect, features a first reaction chamber and a gas source coupled to the first reaction chamber to supply a gas to the first reaction chamber including constituents adapted to react with a substrate in a process step.
  • An excitation energy source is coupled to the first reaction chamber to generate a plasma including ions and radicals from the gas.
  • a second reaction chamber is provided to house a substrate at a site in the second reaction chamber wherein the first reaction chamber is coupled to the second reaction chamber by an inlet member and radicals of the plasma flow through the inlet member into the second reaction chamber.
  • the inlet member includes a passageway having a cross-sectional dimension selected such that during processing the pressure in the second reaction chamber is less than the pressure in the first reaction chamber.
  • Embodiments of the invention may include one or more of the following features.
  • the second reaction chamber is a rapid thermal processing chamber.
  • the excitation energy source includes a microwave cavity and a microwave generator to provide a microwave field to the microwave cavity.
  • the inlet member includes a main passageway and two passageways which diverge from the main passageway in a direction toward the substrate site in the second reaction chamber. Alternatively, the inlet member includes a main passageway and a face thereof including a plurality of openings.
  • the inlet member passageway is coupled to an output end of the excitation energy source and a sleeve is located in the passageway.
  • the sleeve is made of a material different from the inlet member.
  • the sleeve may be made of silicon, silicon nitride, boron nitride, carbon nitride, or Al 2 O 3 .
  • the invention may further include a rapid thermal processing chamber coupled to the second reaction chamber by a load lock. Additionally, the pressure in the second reaction chamber may be between about 0.50 and 4.0 Torr, while the pressure in the first reaction chamber may be between about 1.0 and 8 Torr.
  • the invention is directed to an apparatus wherein the inlet member is configured to fit within a preexisting opening in a wall of a second reaction chamber, with radicals of a plasma flowing from a first reaction through the inlet member and into the second reaction chamber.
  • An interior wall of the second reaction chamber may be curved with a face of the inlet member also curved to substantially correspond to the curvature of the interior wall.
  • An orifice may be provided at an outlet of the first reaction chamber and a cross-sectional dimension of the orifice selected to provide a pressure in the first reaction chamber which is greater than the pressure in the second reaction chamber during processing.
  • the invention also features, in another aspect, an apparatus for nitridation, including a process chamber in which a substrate can be positioned during processing.
  • a first inlet into the process chamber is provided through which a first process gas can be introduced into the process chamber from a first process gas source.
  • a second process gas source is coupled to a second reaction chamber to supply a second process gas thereto.
  • An excitation energy source is coupled to the reaction chamber to generate a discharge in the second process gas as it flows from the second process gas source through the reaction chamber.
  • An inlet member is coupled between an outlet of the reaction chamber and a second inlet into the process chamber.
  • the inlet member includes a passageway having a cross-sectional dimension selected such that during processing the pressure in the process chamber is less than the pressure in the reaction chamber.
  • the inlet member is configured to fit within a preexisting opening in a wall of the process chamber.
  • the second process gas may comprise nitrogen or a mixture of nitrogen and helium.
  • a valve may be used to selectively provide fluid communication between the first inlet and the first process gas source, and the second inlet and the second process gas source.
  • the nitrogen radicals of a nitrogen plasma rapidly react with the oxide to incorporate nitrogen into the exposed surface of the oxide.
  • the nitrogen is incorporated primarily in the exposed surface of the oxide and not at the gate oxide/substrate interface. In this manner, the presence of an adequate amount of nitrogen to act as a barrier layer, disposed away from the substrate interface, will reduce the scattering centers caused by otherwise penetrable dopants without deleterious effects on channel mobility.
  • the invention feature a method for remote plasma nitridation.
  • the method comprises generating a plasma including ions and radicals in a reaction chamber and providing a substrate having an oxide thereon in a rapid thermal processing chamber remote from the reaction chamber.
  • the radicals of the plasma are transferred from the reaction chamber into the rapid thermal process chamber wherein the pressure within the reaction chamber is greater than the pressure in the rapid thermal processing chamber.
  • a portion of the oxide and a portion of the plasma in the rapid thermal processing chamber are reacted and a nitrogen-containing material is formed in a portion of the oxide on the substrate.
  • the pressure in the rapid thermal processing chamber is about 0.50 to 4.0 Torr and the pressure in the reaction chamber is about 1.0 to 8.0 Torr.
  • the invention features a method for remote plasma nitridation including generating a plasma in a reaction chamber from a gas including a mixture of nitrogen and an inert gas.
  • the plasma includes ions and radicals.
  • the radicals of the plasma are transferred into a rapid thermal processing chamber and a portion of an oxide layer on a substrate and a portion of the plasma are reacted to nitrate a portion of the oxide layer.
  • the inert gas in one embodiment, may be helium.
  • the gas mixture may comprise no more than about 95 percent helium. Specifically, the gas mixture may comprise between about 20 to 80 percent helium.
  • the invention features a method for remote plasma nitridation, comprising generating a plasma including ions and radicals in a reaction chamber and providing a substrate having an oxide thereon in a rapid thermal processing chamber remote from the reaction chamber. Radicals of the plasma are transferred into the rapid thermal processing chamber and a portion of the oxide and a portion of the plasma in the rapid thermal processing chamber are reacted at a temperature of between about 800 and 1,100° C. for a period between about 60 and 300 seconds to form a nitrogen-containing material in a portion of the oxide on the substrate.
  • the reacting step in one embodiment, can take place at a temperature of about 1000° C. for about 240 seconds.
  • the step of forming a nitrogen-containing material includes forming one of a silicon nitride and a silicon oxynitride.
  • the invention features a method comprising positioning a substrate in a rapid thermal processing chamber and introducing a first process gas into the processing chamber through a first gas inlet to deposit a film on the substrate.
  • a second process gas is introduced into a reaction chamber remote from the processing chamber to generate a plasma of the second process gas.
  • the plasma flows from the reaction chamber into the processing chamber through a second gas inlet at a first pressure which is greater than a second pressure in the processing chamber to alter the dielectic properties of a film on the substrate.
  • the method uses a nitrogen plasma to create nitrogen radicals that can be used to incorporate nitrogen into an oxide such as, for example, to act as a barrier layer as described above.
  • the method is useful to incorporate nitrogen into gate oxides and create barrier layers to penetrable gate dopants because, in one embodiment, the incorporated nitrogen does not migrate to the gate oxide/substrate interface.
  • the barrier layer may therefore be created without the deleterious effects on gate performance associated with prior art methods, such as channel mobility degradation.
  • FIG. 1 is a cross-sectional schematic illustration of a semiconductor substrate having an oxide layer undergoing a nitridation by radicals of a plasma in accordance with an embodiment of the invention.
  • FIG. 2 shows the substrate of FIG. 1 after the processing step of forming a nitride film on or in the surface of the oxide layer in accordance with an embodiment of the invention.
  • FIG. 3A is a schematic illustration of a remote plasma system in accordance with an embodiment of the invention including a system controller, a rapid thermal heating apparatus containing a wafer, a plasma applicator and a microwave source.
  • FIG. 3B is a schematic view along line 3 B- 3 B of FIG. 3A.
  • FIG. 4 is a schematic illustration of a plasma applicator for use in supplying radicals of a plasma to a reaction or process chamber in accordance with an embodiment of the invention.
  • FIG. 5 is a schematic illustration of an inlet member for use in supplying radicals of a plasma to a reaction or process chamber in accordance with an embodiment of the invention.
  • FIG. 6 is a view along line 6 - 6 of FIG. 5.
  • FIG. 7 is a schematic illustration of an alternate embodiment of the inlet member of FIG. 5.
  • FIG. 8A is a schematic illustration of yet another embodiment of the inlet member of FIG. 5.
  • FIG. 8B is a view along line 8 B- 8 B of FIG. 8A.
  • FIG. 9 is an illustrative block diagram of the hierarchical control structure of the control signal generation logic to operate the process system according to an embodiment of the invention.
  • FIG. 10 is a flowchart that illustrates a remote plasma nitridation process in accordance with an embodiment of the invention.
  • FIG. 11 is a Secondary Ion Mass Spectroscopy (SIMS) profile of a silicon substrate containing a dielectric layer formed by the nitridation of an oxide by a remote plasma source under a first set of reaction conditions in accordance with an embodiment of the invention.
  • SIMS Secondary Ion Mass Spectroscopy
  • FIG. 12 is a schematic illustration of a dielectric layer overlying a silicon substrate, the dielectric layer formed by the plasma nitridation of an SiO 2 layer on a substrate according to the first set of reaction conditions.
  • FIG. 13 is a SIMS profile of a silicon substrate containing a dielectric layer formed by the nitridation of an oxide by a remote plasma source under a second set of reaction conditions in accordance with an embodiment of the invention.
  • FIG. 14 is a schematic illustration of a dielectric layer overlying a silicon substrate, the dielectric layer formed by the plasma nitridation of an SiO 2 layer on a substrate according to the second set of reaction conditions.
  • FIG. 15 is a SIMS profile of a silicon substrate containing a dielectric layer formed by the nitridation of an oxide by a remote plasma source under a third set of reaction conditions in accordance with an embodiment of the invention.
  • FIG. 16 is a schematic illustration of a dielectric layer overlying a silicon substrate, the dielectric layer formed by the plasma nitridation of an SiO 2 layer on a substrate according to the third set of reaction conditions.
  • FIG. 17 is a plot of optical thickness delta versus nitrogen dose for use in correlating the nitrogen dose with the optical thickness.
  • the invention describes an apparatus and method for incorporating a plasma into a substrate or a material on a substrate using a remote plasma source.
  • plasma sources generated by, for example, an energetic excitation of gaseous molecules consist of a plasma of charged ions, radicals, and electrons.
  • the invention recognizes that radicals of a plasma react in a much more desirable manner with a substrate or a material on a substrate, such as an oxide, than ions or a mixture of radicals and ions.
  • the invention describes the plasma source as remote to provide an apparatus and a method of eliminating the majority of the ions of the plasma such that preferably only the radicals of the plasma react with a substrate or material on a substrate.
  • FIG. 1 shows a layer 110 , such as for example, silicon dioxide(SiO 2 ) layer 110 overlying substrate 100 .
  • SiO 2 layer 110 will serve as a gate oxide to insulate a transistor gate from the substrate.
  • Substrate 100 is, for example, a silicon substrate 100 .
  • SiO 2 layer 110 is bombarded by a plasma 115 .
  • the reactive portion of plasma 115 is comprised substantially of radicals.
  • the invention contemplates that substantially all ions present in the plasma at the plasma generation (with the radicals)are eliminated prior to coming in contact with SiO 2 layer 110 .
  • a plasma may be substantially free of the majority of the ions by separating the plasma generation source from the substrate location, e.g., the reaction site, by a distance longer than the lifetime of the ions at a given plasma discharge rate. In this manner, the radicals survive the travel distance to substrate 100 , but ions do not, but instead lose their ionic character and become charge neutral.
  • SiO 2 layer 110 is a gate oxide and the plasma is a nitrogen plasma incorporating nitrogen into the gate oxide to act as a barrier layer.
  • FIG. 2 shows substrate 100 after the reaction between the radicals of the plasma and SiO 2 layer 110 .
  • FIG. 2 schematically illustrates the formation of, for example, a nitrogen-containing material or layer 120 overlying SiO 2 layer 110 .
  • a nitrogen plasma of nitrogen radicals (N*) reacts primarily with the oxide or displaces oxygen at the surface of SiO 2 layer 110 to yield a dielectric layer (i.e., SiO 2 layer 110 plus nitrogen-containing material or layer 120 ) having a significantly greater concentration of nitrogen-containing material at the surface of the dielectric layer as opposed to interface 105 between the dielectric layer and substrate 100 .
  • the presence of nitrogen-containing material in the dielectric acts as an effective barrier to prevent the penetration of dopants, such as boron, through SiO 2 layer 110 . Since the nitrogen-containing material or layer 120 is not present in significant amounts at interface 105 , the negative effects on device performance seen in prior art structures should not be encountered.
  • the invention is not limited to a process that results in a strict placement of a film or layer at the reaction surface, i.e., that the plasma reaction takes place in such a way to produce distinct layers of plasma-containing material and oxide.
  • the radicals of the plasma react and interact, for example, within the oxide during the exposure of the radicals to the reaction surface.
  • the nitrogencontaining material is produced effectively in or on SiO 2 layer 110 by exposing SiO 2 layer 110 to a plasma of predominantly N* radicals.
  • N* radicals displace oxygen atoms in SiO 2 layer 110 to form Si 3 N 4 and Si x O y N z material.
  • FIGS. 3A and 3B illustrate one embodiment of an apparatus or system used to react a plasma of predominantly radicals of a plasma with a substrate such as substrate 100 containing a material layer such as SiO 2 layer 110 .
  • the apparatus or system includes a rapid thermal processing (RTP) apparatus 200 , such as, but not limited to, the Applied Materials, Inc., RTP Centura® with a Honeycombed SourceTM.
  • RTP rapid thermal processing
  • Such a suitable RTP apparatus and its method of operation are set forth in U.S. Pat. No. 5,155,336, assigned to the assignee of the invention, and which is incorporated herein by reference.
  • thermal reactors may be substituted for the RTP apparatus such as, for example, the Epi or Poly Centur® Single Wafer “Cold Wall” Reactor by Applied Materials used to form high temperature films, such as epitaxial silicon, polysilicon, oxides, and nitrides.
  • the DielectricxZTM chamber by Applied Materials is also suitable.
  • Coupled to RTP apparatus 200 is a plasma applicator 300 to provide radicals of a plasma to RTP apparatus 200 .
  • Coupled to plasma applicator 300 is an energy source 450 to generate an excitation energy to create a plasma.
  • RTP apparatus 200 includes a process chamber 213 enclosed by a side wall 214 and a bottom wall 215 .
  • Side wall 214 and bottom wall 215 may be made of stainless steel.
  • the upper portion of side wall 214 of chamber 213 is sealed to a window assembly 217 by “O” rings.
  • a radiant energy light pipe assembly or illuminator 218 is positioned over and coupled to window assembly 217 .
  • Light pipe assembly 218 includes a plurality of tungsten halogen lamps 219 , for example, Sylvania EYT lamps, each mounted into, for example, light pipes 221 that can be made of stainless steel, brass, aluminum, or other metals.
  • Wafer or substrate 100 is supported on an edge inside chamber 213 by a support ring 262 typically made of silicon carbide.
  • Support ring 262 is mounted on a rotatable quartz cylinder 263 .
  • quartz cylinder 263 By rotating quartz cylinder 263 , support ring 262 and wafer or substrate 100 are caused to rotate during processing.
  • An additional silicon carbide adapter ring can be used to allow wafers or substrates of different diameters to be processed (e.g., 150 millimeter, 200 millimeter or 300 millimeter wafers).
  • the outside edge of support ring 262 may extend less than about two inches from the outside diameter of wafer or substrate 100 .
  • Bottom wall 215 of RTP apparatus 200 includes, for example, a gold-coated top surface or reflector 211 for reflecting energy onto the backside of wafer or substrate 100 . Additionally, RTP apparatus 200 includes a plurality of fiber optic probes 271 positioned through bottom wall 215 of RTP apparatus 200 to detect the temperature of wafer or substrate 100 at a plurality of locations across its bottom surface.
  • RTP apparatus 200 includes a gas inlet 269 formed through side wall 214 for injecting a process gas into chamber 213 to allow various processing steps to be carried out in chamber 213 .
  • the gas inlet 269 may comprise a plurality of openings extending through side wall 214 above a port or slit valve 272 through which a wafer or substrate is loaded into and removed from the process chamber.
  • a gas outlet 270 Positioned on the opposite side of gas inlet 269 , in side wall 214 , is a gas outlet 270 .
  • Gas outlet 270 is part of an exhaust system and is coupled to a vacuum source, such as a pump (not shown), to exhaust process gas from chamber 213 and to reduce the pressure in chamber 213 .
  • the exhaust system maintains the desired pressure while process gas, including radicals of a plasma, is continually fed into chamber 213 during processing.
  • a throttle valve (not shown) is set to control the chamber pressure to the desired level in relation to the total process gas flow, the process chamber size, and the pumping set point pressure for the exhaust system.
  • Another gas inlet 275 is formed through side wall 214 through which a plasma of a process gas may be injected into the process chamber. Coupled to gas inlet 275 is applicator 300 to inject radicals of the plasma into the process chamber.
  • Light pipe assembly 218 may include 187 lamps 219 positioned in a hexagonal array or in a “honeycombed” shape. Lamps 219 are positioned to adequately cover the entire surface area of wafer or substrate 100 and support ring 262 . Lamps 219 are grouped in zones that can be independently controlled to provide for extremely uniform heating of wafer or substrate 100 . Light pipes 221 can be cooled by flowing a coolant, such as water, between the various light pipes.
  • a coolant such as water
  • Window assembly 217 includes a plurality of short light pipes 241 that are brazed to upper/lower flange plates that have their outer edges sealed to an outer wall 244 of the light pipe assembly.
  • a coolant such as water, can be injected into the space between light pipes 241 to cool light pipes 241 and the flanges.
  • Light pipes 241 register with light pipes 221 of the illuminator.
  • the flanges with the light pipe pattern that registers with the lamp housing is sandwiched between two quartz plates 247 and 248 . These plates are sealed to the respective flanges with “ 0 ” rings near the periphery of the flanges.
  • the flanges include grooves that provide communication between the plurality of light pipes 241 .
  • a vacuum can be produced in the plurality of light pipes 241 by pumping through a tube 253 connected to one of the light pipes 241 which is in turn connected to the rest of the pipes by a very small recess or groove in the face of a flange.
  • the flanges typically stainless steel, and of excellent mechanical strength
  • Lower quartz plate 248 the one actually sealing chamber 213 —experiences little or no pressure differential because of the vacuum on each side and thus can be made very thin.
  • the adaptor plate concept of window assembly 217 allows quartz plates to be easily changed for cleaning or analysis.
  • the vacuum between quartz plates 247 and 248 of window assembly 217 provides an extra level of protection against toxic gases escaping from the reaction chamber.
  • RTP apparatus 200 is a single wafer reaction chamber capable of ramping the temperature of wafer or substrate 100 at a rate of 25-100° C./second.
  • RTP apparatus 200 is said to be a “cold wall” reaction chamber because the temperature of wafer or substrate 100 during, for example, an oxidation process is at least 400° C. greater than the temperature of chamber side wall 214 .
  • Heating/cooling fluid can be circulated through side walls 214 and/or bottom wall 215 to maintain the walls at a desired temperature.
  • plasma applicator 300 is coupled to RTP apparatus 200 to provide a source of radicals of a plasma to RTP apparatus 200 .
  • plasma is connected to RTP apparatus 200 by an inlet member 360 .
  • Plasma applicator 300 also includes a gas inlet 310 . Coupled to gas inlet 310 is a gas source, such as a reservoir or tank 313 of nitrogen-containing gas, including, but not limited to, N 2 , gas.
  • a gas source such as a reservoir or tank 313 of nitrogen-containing gas, including, but not limited to, N 2 , gas.
  • Plasma applicator 300 is coupled to energy source 450 by waveguides 312 a and 312 b.
  • FIGS. 3A and 3B illustrate an embodiment wherein plasma applicator 300 is remote from RTP apparatus 200 in that the plasma is generated outside chamber 213 of RTP apparatus 200 .
  • a plasma source can be selectively generated to limit the composition of the plasma exposed to wafer or substrate 100 to predominantly radicals.
  • a plasma of ions, radicals, and electrons is generated in plasma applicator 300 .
  • all or the majority of ions generated by the excitation of the process gas to form a plasma outlive their ionic lifetime and become charge neutral.
  • the composition of the plasma that is supplied to gas inlet 275 of RTP apparatus 200 is predominantly radicals.
  • N* radicals such as N + and N 2+ ions
  • electrons The N* radicals are believed to be neutral atoms occupying a high energy state.
  • the apparatus of the invention is configured such that the positively charged ions (e.g., N + and N 2+ ions) become charge neutral either in plasma applicator 300 or inlet member 360 and thus generally do not reach chamber 213 of RTP apparatus 200 .
  • Positively charged nitrogen ions become charge neutral by combining with available electrons to lose their ionic state.
  • N + radicals and charge neutral nitrogen reach chamber 213 and are exposed to wafer or substrate 100 , or a material on wafer or substrate 100 (e.g., an oxide layer).
  • a material on wafer or substrate 100 e.g., an oxide layer.
  • electrons produced in the plasma are not, in general, exposed to substrate 100 in chamber 213 .
  • charged particles of the plasma are not available to sputter substrate 100 or SiO 2 layer 110 on substrate 100 .
  • the reaction of a nitrogen plasma of N* radicals results in a relatively damage-free dielectric layer.
  • FIG. 4 illustrates an embodiment of plasma applicator 300 that is useful in the remote plasma generation apparatus of the invention.
  • Plasma applicator 300 is particularly useful in generating a nitrogen plasma according to the nitridation reaction described above with reference to FIGS. I and 2 , and the accompanying text.
  • Plasma applicator 300 includes a body 305 of, for example, aluminum or stainless.
  • Body 305 surrounds a tube 320 .
  • the tube 320 is, for example, made of quartz or sapphire. (Al 2 O 3 )
  • the tube 320 preferably does not have any electrical bias present that might attract charged particles, e.g., ions.
  • One end of body 305 includes gas inlet 310 .
  • gas source 313 Coupled to gas inlet 310 , as noted, is gas source 313 .
  • the gas source 313 is coupled to gas inlet 310 through a first input of a three-way valve 314 (see FIG. 3A).
  • a second input of three-way valve 314 is coupled to another process gas source, such as a reservoir or tank 315 of an oxygen-containing gas, including, but not limited to, O 2 gas.
  • valve 314 In a first position, valve 314 provides for gas flow between gas source 313 and gas inlet 310 , while preventing any gas flow from gas source 315 to process chamber 213 .
  • the valve 314 in a second position, provides for gas flow between gas source 315 and process chamber 213 , while preventing gas flow from gas source 313 to gas inlet 310 of the applicator.
  • valve 314 allows, for example, an oxygen-containing gas to be introduced into the process chamber for oxidation of the substrate or wafer, and in a second position, allows a nitrogen-containing gas to be introduced into the process chamber for nitridation of the substrate or wafer.
  • a flow controller 425 is connected to valve 314 to switch the valve between its different positions, depending upon which process is to be carried out.
  • the flow controller can function as a mass flow controller and be coupled between source gas 313 and gas inlet 310 to regulate the flow of gas to plasma applicator 300 .
  • Flow controller 425 receives, in one embodiment, an analog signal from system controller 260 (such as, for example, a signal between 0 and 5 volts) that puts valve 314 in the proper position to allow for gas flow from gas source 313 into applicator 300 and actuates a value 316 to control the flow rate or amount of gas released from source gas 313 to gas inlet 310 .
  • the diameter of the opening of gas inlet 310 is also selected to allow a desired flow rate of source gas 313 into tube 320 .
  • Conversion board 272 is placed, in this embodiment, between flow controller 425 to coordinate the signals between system controller 260 and the flow controller in the instance where, for example, the signals are scaled differently.
  • the flow controller 425 also functions in a similar fashion to control valves 314 and 317 to provide an appropriate process gas flow from gas source 315 to the process chamber.
  • Radicals outlet 375 Positioned on the opposite side of gas inlet 310 is a radicals outlet 375 .
  • Radicals outlet 375 is coupled to inlet member 360 to supply, in one embodiment, radicals of a plasma 350 to chamber 213 of RTP apparatus 200 .
  • Radicals outlet 375 typically has a diameter larger than gas inlet 310 to allow the excited radicals to be efficiently discharged at the desired flow rate and to minimize the contact between the radicals and tube 320 .
  • the flow rate of the radicals generated and discharged by plasma applicator 300 is determined primarily by the source gas inlet flow, the dimensions of tube 320 and radical outlet 375 , and the pressure in plasma applicator 300 .
  • a separate orifice may be inserted into tube 320 at radicals outlet 375 to reduce the tube's inside diameter.
  • the orifice may be made of sapphire or alumina (Al 2 O 3 ). The diameter of the orifice is selected to optimize the pressure differential between the process chamber and the applicator for nitridation efficiency.
  • the pressure in the process chamber should be less than the pressure in the applicator.
  • the pressure in the process chamber may be between about 0.50 and 4.0 Torr, while the pressure in the applicator may be between about 1.0 and 8.0 Torr. For example, if the pressure in the applicator is about 2.00 Torr, then the pressure in the process chamber should be about 1.00 Torr.
  • Energy source inlet 380 allows the introduction into tube 320 of excitation energy, such as an energy having a microwave frequency, from energy source 450 .
  • excitation energy moves into body 305 of plasma applicator 300 and through tube 320 to excite the gas source traveling in a direction perpendicular does this by disrupting the otherwise stable configuration of some of the gas molecules into excited or higher energy states such as certain radicals and ions.
  • N 2 for example, the microwave excitation in plasma applicator 300 produces N* radicals, positively charged ions such as N + and N 2+ , and electrons.
  • tube 320 of plasma applicator 300 is constructed of a length such that, for a given flow rate of a process gas (e.g., a given plasma generation rate), substantially all ions created by the excitation by the energy source are extinguished or reacted with electrons or other charged particles to lose their excited state prior to exiting tube 320 .
  • a plasma of predominantly radicals is delivered to substrate 100 in chamber 213 .
  • ions of a plasma may be delivered to the substrate site without significant effect on the reaction between the radicals and the substrate or the radicals and material on the substrate.
  • the invention recognizes that radicals tend to react in a much more desirable manner then ions or a mixture of radicals and ions. Accordingly, the invention seeks, in one aspect, to minimize the exposure of the substrate or the material on the substrate to ions.
  • tube 320 and inlet member 360 necessary to extinguish substantially all the ions of a plasma at a given source gas flow rate may be determined experimentally or by lifetime calculations.
  • tube 320 has a length of 12 inches with a one inch inside diameter, including a one inch diameter radicals outlet 375 to produce a plasma of predominantly N* radicals and inert nitrogen at a source gas flow rate of 300 cubic centimeters per minute at radicals outlet 375 .
  • the excitation lifetime of ions is accounted for not only in plasma applicator 300 but also in inlet member 360 coupling plasma applicator 300 to RTP apparatus 200 .
  • An applicator which may be used in the system and method of the invention is available from Applied Komatsu Technology, Inc., Sunnyvale, Calif.
  • inlet member 360 may be configured as an adapter which is coupled to an opening in side wall 214 of the process chamber.
  • the opening may be a pre-existing opening for a camera used in monitoring a process conducted in the process chamber. If a monitoring camera is required, it can be placed at a different location in the process chamber side wall.
  • the inlet member 360 includes a flange 360 a which is bolted to applicator 300 so a passageway 360 b in the inlet member is aligned with and coupled to tube 320 at radicals outlet 375 .
  • a second flange 360 c is bolted to exterior surface 214 a of side wall 214 of the process chamber.
  • the nose 360 d of inlet member 360 extends into the chamber side wall opening such that an outermost face 360 e of the nose is substantially flush with interior surface 214 b of process chamber side wall 214 .
  • face 360 e is curved to match the curvature of the process chamber interior side wall.
  • the dimension l 1 is selected to be substantially the same as the wall thickness of the side wall of the process chamber.
  • l 1 may equal about 1.25 inches.
  • the dimension l 2 is about 2.25 inches.
  • the inside diameter d of passageway 360 b may be about 0.69 of an inch.
  • the inside diameter of passageway 360 b in another embodiment, may be made equal to the diameter of tube 320 .
  • the inlet member 360 may be made of aluminum.
  • a sleeve 360 f may be positioned in passageway 360 b.
  • the sleeve should be made of a material that does not cause recombination of the N* radicals.
  • the sleeve should also reduce contamination that might be produced in the process chamber as the result of the plasma flowing through the inlet member.
  • the sleeve can make made of, for example, silicon, silicon nitride, boron nitride, carbon nitride, or sapphire or alumina (Al 2 O 3 ).
  • the sleeve can also be used to optimize the pressure differential between the applicator and the process chamber.
  • the sleeve may reduce the inside diameter of passageway 360 b to about 0.55 of an inch.
  • FIG. 7 An alternate embodiment of inlet member 360 is shown in FIG. 7.
  • This inlet member 370 includes two passageways 370 ab and 370 bb which diverge from main inlet member passageway 370 b. By changing the geometry of the passageway in this fashion, the plasma is more uniformly distributed across the surface of a substrate or wafer processed in the process chamber. Thus, nitridation is more uniform across the substrate surface.
  • the inlet member 370 in all other respects, is substantially the same as inlet member 360 .
  • FIGS. 8A and 8B Yet another embodiment of an inlet member is shown in FIGS. 8A and 8B.
  • This inlet member 380 includes a central, inner passageway 380 a which terminates in a face or faceplate 380 b.
  • the faceplate 380 b provides a shower head-like arrangement which can include any appropriate number of openings 380 c.
  • the openings 380 c can be uniform in size or be of different sizes.
  • the openings may be uniform in shape or have various shapes.
  • the openings for instance, may be circular, oval, square, or rectangular in shape.
  • the inlet member 380 is configured to produce a more uniform nitridation profile across the substrate surface.
  • energy source 450 consists of a magnetron 420 , and an isolator and dummy load 425 , which is provided for impedance matching.
  • Magnetron 420 generates an excitation energy, such as for example, an electromagnetic or inductively coupled frequency.
  • the magnetron can generate between 1.5 and 6.0 kilowatts of 2.54 GHZ of microwave energy.
  • a suitable magnetron assembly can be obtained from Applied Sciences and Technology, Woburn, Mass., or Daihen America, Santa Clara, Calif.
  • the excitation energy from magnetron 420 is directed through isolator and dummy load 425 , and waveguides 312 a and 312 b to tube 320 .
  • Dummy load 425 acts, in one sense, like a check valve to allow energy flow in a direction toward applicator 300 but not toward magnetron 420 .
  • autotuner 400 Between plasma applicator 300 and waveguide 312 b is autotuner 400 .
  • the autotuner redirects radiation reflected from applicator 300 back toward the plasma applicator to increase the energy supplied to plasma applicator 300 .
  • Autotuner 400 also focuses the microwave energy into the center of tube 320 so that the energy is more preferentially absorbed by the gas fed to the applicator.
  • a manual tuner may be used.
  • control signal generation logic 252 is supplied to system controller 260 in the form of, for example, software instruction logic that is a computer program stored in a computer-readable medium such as a memory 238 in system controller 260 .
  • memory 238 is a hard disk drive, but memory may also be other kinds of memory.
  • the computer program includes, among other things, sets of instructions that dictate the timing, gas flow rate, chamber pressure, chamber temperature, RF power level, energy source regulation and other parameters of a particular process. It is to be appreciated that other computer programs such as one stored on another memory device, including, but not limited to, a floppy disk, may also be used to operate system controller 260 .
  • the computer program is processed by system controller 260 in a processor 250 .
  • the interface between a user and system controller 260 may be implemented, for example, via a cathode ray tube (CRT) monitor or monitor with command instruction implemented by an interface such as keyboard, mouse, light-pen center or touch screen monitor.
  • CTR cathode ray tube
  • FIG. 9 shows an illustrative block diagram 400 of the hierarchical control structure of control signal generation logic 252 , according to one embodiment of the invention.
  • a user enters a process set number and process chamber number into process selector subroutine 473 in response to menus or screens displayed on the CRT monitor.
  • the process sets are predetermined sets of process parameters necessary to carry out specified processes, and identified by predefined set numbers.
  • Process selector subroutine 473 identifies (I) the desired process chamber and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process.
  • the process parameters for performing a specific process relate to process conditions such as, for example, process gas flow rate, temperature, reaction pressure, cooling gas pressure and chamber wall temperature, and are provided to the user in the form of a recipe.
  • the parameters specified by the process recipe are entered using the CRT monitor interface.
  • the signals for monitoring the process are provided by the analog input and digital input for system controller 260 , and the signals for controlling the process are output on the analog output and digital output boards of system controller 260 .
  • Process sequencer subroutine 475 shown in FIG. 9 includes, in one embodiment, program codes for accepting the identified process chamber and set of process parameters from process selector subroutine 473 and for controlling the operation of the process chamber. Multiple users can enter process set numbers and process chamber numbers, or a user can enter a multiple process set numbers and process chamber numbers, so process sequencer subroutine 475 operates to schedule the selected processes in the desired sequence.
  • Process sequencer subroutine 475 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on the availability of the process chamber and type of process to be carried out.
  • process sequencer subroutine 475 can be designed to take into consideration the condition of the process chamber being used in comparison with the desired process condition for a selected process, or the “age” of each particular user-entered request, or any other relevant factor a system programmer desires to include for determining and scheduling priority.
  • process sequencer subroutine 475 determines which process chamber and process set combination are to be executed next, process sequencer subroutine 475 causes the execution of the process set by passing the particular process set parameter to chamber manager subroutine 477 a - 477 c that controls multiple processing tasks in a process chamber according to the process set determined by process sequencer subroutine 475 .
  • chamber manager subroutine 477 a includes program code for controlling the flow rate of process gas/plasma into process chamber 213 (through plasma applicator 300 ).
  • Chamber manager subroutine 477 a also controls execution of various chamber component subroutines that control the operation of chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are process gas control subroutine 483 , pressure control subroutine 485 , and heater control subroutine 487 . It is to be appreciated that other chamber control subroutine may be included depending on the process demand.
  • chamber manager subroutine 477 a shown in FIG. 9 selectively schedules or calls the process component subroutine in accordance with the particular process set being executed.
  • Chamber manager subroutine 477 a schedules the process component subroutine similarly to the way the process sequencer subroutine 475 schedules which process chamber and process set are to be executed next.
  • chamber manager subroutine 477 a includes the steps of monitoring the various chamber components, determining which component needs to be operated based on the process parameter for the process set to be executed and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Process gas control subroutine 483 has program code for controlling the process gas composition and flow rate.
  • Process gas control subroutine 483 controls the open/close position of a safety shut-off valve, and also ramps up/down the flow controller to obtain the desired gas flow rate.
  • the flow rate of process gas into plasma applicator 300 will partly determine the flow rate of radicals of a plasma delivered to substrate 100 in chamber 213 .
  • parameters considered in process gas control subroutine include, but are not limited to, the volume of tube 320 and the distance between the plasma generation point in tube 320 and substrate 100 .
  • Process gas control subroutine 483 is invoked by chamber manager subroutine 477 a as are all chamber component subroutines, and receives from chamber manager subroutine 477 a process parameters related to the desired gas flow rate.
  • process gas control subroutine 483 operates by putting vale 314 in its proper position and opening the gas supply line (by actuating flow controller 425 ) to begin the flow of gas into plasma applicator 300 , and repeatedly (I)reading the necessary flow controller, (ii) comparing the reading to the desired flow rate received from chamber manager 477 a, and (iii) adjusting the flow rate of the source gas 313 as necessary.
  • process gas control subroutine 483 includes the steps of monitoring the gas flow rate for unsafe rates and activating the safety shut-off valve when an unsafe condition is detected.
  • Pressure control subroutine 485 shown in FIG. 9 includes program code for controlling the pressure in chamber 213 by regulating the size of the opening of the throttle valve in the exhaust system of chamber 213 .
  • pressure control subroutine 485 When pressure control subroutine 485 is invoked, the desired target pressure level is received as the parameter from chamber manager subroutine 477 a.
  • Pressure control subroutine 485 operates to measure the pressure in chamber 213 by reading one or more pressure meters connected to the chamber, comparing the measured value(s) to the target pressure, obtaining PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and adjusting the throttle valve according to the PID value obtained from the pressure table.
  • PID proportional, integral, and differential
  • pressure control subroutine 485 can be written to open or close the throttle valve to a particular opening size to regulate chamber 213 to the desired pressure.
  • Heater control subroutine 487 includes program code for controlling the current to light pipe assembly 218 that is used to heat substrate 100 in chamber 213 . Heater control subroutine 487 is also invoked by chamber manager subroutine 477 a and receives a targeted setpoint temperature parameter.
  • Heater control subroutine 487 measures the temperature by temperature signals delivered from fiber optic probes 271 , compares the measured temperature to the set point temperature, and increases or decreases the current applied to light pipe assembly 218 to obtain the set point temperature.
  • the temperature is obtained from the measured value by looking upon the corresponding temperature in a stored conversion table or by calculating the temperature using a fourth order polynomial.
  • process sequencer subroutine 475 causes the execution of the process set by passing the particular process set parameter to energy source manager subroutines 478 a - 478 c that control multiple processing steps associated with energy source 450 determined by process sequencer subroutine 475 .
  • energy source manager subroutine 478 a includes program code for controlling the generation of excitation energy.
  • Energy source manager subroutine 478 a may also monitor dummy load 425 and tuner 400 to verify that any reflected energy is redirected toward plasma applicator 300 to increase the energy supply to plasma applicator 300 .
  • the process for controlling the flow of process gas to chamber 213 can be implemented using a computer program product that is executed by system controller 260 .
  • a computer code can be written in a computer readable programming language, such as for example, 68000 assembly language C, C++, Pascal, Fortran, or others.
  • Suitable program code is generally entered in a single file or multiple files, using a text editor, and stored or embodied in a computer usable medium, such as the memory system of a computer.
  • the code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled object code.
  • the system invokes the object code, causing the computer system to load the code in memory, from which the central processing unit (CPU) reads and executes the code to perform the task identified in the program.
  • CPU central processing unit
  • a method of generating a plasma of radicals in a process chamber according to an embodiment of the invention is illustrated in flow chart 500 of FIG. 10.
  • the method of this embodiment will be described with respect to a nitrogen plasma reaction process using the remote plasma system described in FIGS. 3 A- 3 B and 4 .
  • the remote plasma generation process of the invention will be described with respect to the reaction of nitrogen radicals with an SiO 2 layer, such as a gate oxide, on silicon wafer or substrate 100 as illustrated in FIGS. 1 and 2.
  • Such a process might be used, for example, to create gate dielectrics having an appropriate dopant barrier layer for high performance transistor devices.
  • SiO 2 formation may be accomplished in the same reaction chamber as the plasma nitridation reaction of the invention.
  • SiO 2 formation may be accomplished in one chamber, while the plasma nitridation reaction takes place in a second chamber.
  • the two chambers may be joined to each other via a load-lock.
  • the leak rate for the chamber in which the nitridation reaction takes place is determined. Specifically, the leak rate should be relatively low, for example, less than about 3 to 4 milliTorr per minute to ensure that the nitridation process is effective.
  • the leak rate for a particular process chamber is determined by pumping the chamber down to a very low pressure, for example, about 30 milliTorr, and then isolating the chamber from the pump. Then, after a certain period of time, for example, about 10 to 30 minutes, the pressure in the chamber is measured to determine the leak rate. If the leak rate exceeds the predetermined minimum, then the chamber leaks, such as would occur at the vacuum seals, need to be eliminated or reduced.
  • the first process step is to move wafer or substrate 100 into chamber 213 .
  • Wafer or substrate 100 can generally be transferred by a robotic arm from a load lock through slit valve 272 and placed onto support ring 262 located in chamber 213 .
  • Wafer or substrate 100 will generally be transferred into chamber 213 having an inert N 2 ambient at a transfer pressure of approximately 20 Torr. Chamber 213 is then sealed. Next, the pressure in chamber 213 is further reduced by evacuating the N 2 ambient through gas outlet 270 . Chamber 213 is evacuated to a pressure sufficient to remove the N 2 ambient.
  • silicon wafer or substrate 100 is oxidized to form a layer of SiO 2 by one of several known processes.
  • the oxidation may be carried out in a 100% oxygen (O 2 ) or dry O 2 environment at 1050° C. for 50 seconds to yield an oxide layer having a thickness of 50 ⁇ .
  • the process gas may include a reactant gas mixture comprising two reacting gases: a hydrogen-containing gas and an oxygen-containing gas that can be reacted together to form steam or water vapor at temperatures between 400-1250° C.
  • the hydrogen-containing gas may be hydrogen (H), or other hydrogen-containing gases such as, but not limited to ammonia (NH 3 ), deuterium (heavy hydrogen), and hydrocarbons such as methane (CH 4 ).
  • the oxygen-containing gas is preferably an O 2 gas but may be other types of oxygen-containing gases such as, but not limited to, nitrous oxide (N 2 O).
  • Such safe reacting conditions are maintained by back filling chamber 213 with process gas such that the partial pressure of the reacting gas mixture is less than the partial pressure at which spontaneous combustion of the entire volume of the desired concentration ratio of reacting gas will not produce a detonation pressure wave of a predetermined amount.
  • the predetermined amount is the amount of pressure that chamber 213 can reliably handle without failing.
  • An in situ method such as described using 1% H 2 gas and 99% O 2 gas for 10 seconds will produce oxide on a silicon wafer or substrate 100 having a thickness of 30 ⁇ at a temperature of 950°.
  • one oxidation process particularly useful for a gate oxide is the oxidation of wafer or substrate 100 by an oxygen-containing gas of N 2 O.
  • N 2 O forms an acceptable oxide at 1050° C. (e.g., 40 ⁇ in 60 seconds).
  • One advantage of using an oxygen-containing gas of N 2 O is that the N 2 O oxidation places approximately 0.5% of nitrogen-containing material at interface 105 of SiO 2 layer 110 and silicon substrate 100 . It has been determined that the presence of nitrogen in the range of about 0.5% at the dielectric layer/silicon interface suppresses hot channel carriers, i.e., carriers moving through a transistor channel that might penetrate the gate dielectric. Thus, the presence of nitrogen in amounts around 0.5% at the interface improves the oxide integrity and reliability.
  • chamber 213 of RTP apparatus 200 is cooled and purged in preparation for the nitridation reaction.
  • the reaction temperature of the nitridation reaction is established as set forth in Step 503 of FIG. 10.
  • Power to lamps 219 is increased to ramp-up the temperature of wafer or substrate 100 to process temperature.
  • Wafer or substrate 100 is preferably ramped from the stabilization temperature to process temperature at a rate between 10-100° C./second.
  • the process temperature for nitridation of oxide may be about 600° C. It is to be appreciated, however, that the nitridation can be accomplished at various process times and temperatures, including from about room temperature to about 1,100° C.
  • the power to energy source 450 is set and the appropriate frequency, such as for example, a microwave frequency of 2.45 gigahertz is established.
  • Excitation energy is then supplied to plasma applicator 300 through energy source inlet 380 in plasma applicator 300 .
  • a nitrogen source gas is introduced into plasma applicator 300 at a desired flow rate.
  • the nitrogen process gas moves through tube 320 and past energy source inlet 380 .
  • the process gas proceeds through inlet member 360 into chamber 213 .
  • the excitation energy supplied by energy source 450 converts the nitrogen source gas to nitrogen plasma in tube 320 of plasma applicator 300 (Step 508 ).
  • the nitrogen plasma is predominantly N* radicals, positively charged nitrogen ions, and electrons.
  • the positively charged ions have a shorter life than uncharged N* radicals. It is believed that the positively charged nitrogen ions quickly seek out electrons present in the plasma and combine with the electrons in tube 320 to become charge neutral (Step 510 ).
  • the plasma when the plasma is transferred from plasma applicator 300 to chamber 213 of RTP apparatus 200 and to the surface of the substrate, the plasma is made up primarily of charge neutral gas and plasma radicals.
  • the plasma radicals predominantly react or interact with SiO 2 layer 110 on wafer or substrate 100 (step 512 ) of FIG. 9.
  • Any electrical bias present in chamber 213 of RTP apparatus 200 is discontinued as any electrical bias may attract positively charged nitrogen ions into chamber 213 .
  • chamber 213 is backfilled with the desired mixture of process gas, i.e., radicals (step 514 ).
  • the nitrogen in the plasma available for reaction with SiO 2 layer 110 are N* radicals.
  • the nitridation reaction is held for an appropriate reaction time, in this case, an appropriate reaction time to incorporate enough nitrogen in a gate oxide to act as a barrier layer to gate dopants.
  • Process time and temperature are generally dictated by the amount of nitrogen desired to be incorporated into the gate oxide, the thermal budget, the purpose of the nitridation, and the amount of flow rate of the process gases.
  • the chamber is then backfilled with N 2 gas to the desired transfer pressure of approximately 20 Torr and wafer or substrate 100 is transferred out of chamber 213 to complete the process (Step 522 ).
  • a new wafer or substrate may be transferred into chamber 213 and the process set forth in flow chart 500 repeated.
  • FIG. 11 shows a Secondary Ion Mass Spectroscopy (SIMS) profile of a silicon substrate containing a dielectric layer formed by nitridation of an oxide film as described above.
  • SIMS profile of FIG. 10 illustrates the atomic profile of a dielectric from the surface (0 depth) to the interface of the dielectric layer and the silicon substrate. Thus, the depth represents the depth into the dielectric layer.
  • FIG. 11 shows the profile of a nitrogen-containing dielectric material formed on a silicon wafer or substrate according to a first set of reaction conditions.
  • the nitridation is carried out on a wafer having a SiO 2 layer.
  • the plasma nitridation according to the invention is carried out at 550° C. for 240 seconds at 1.10 Torr process pressure.
  • the nitrogen plasma is produced by the addition to plasma applicator 300 of an N 2 gas at a flow rate of 3 standard liters per minute (SLM).
  • Plasma applicator 300 includes a quartz tube (tube 320 ) of 12 inches with a one inch diameter.
  • the overall length l 2 of inlet member 360 is about 2.25 inches, and it includes sleeve 360 f with an inside diameter of about 0.55 of an inch.
  • the N* radicals are produced through contact with an excitation energy of a microwave frequency (2.45 gigahertz frequency) generated at a power of approximately 3000 watts.
  • the process gas flow rate, inlet member 360 and plasma applicator 300 are determined and sized, respectively, so that substantially all of the positively charged ions generated by the plasma become charge neutral in the plasma applicator.
  • FIG. 11 illustratively shows that the majority of the nitrogen-containing material present in the dielectric layer (and attributable to the nitrogen plasma reaction) is toward the surface of the dielectric layer (i.e., within 15 ⁇ of the surface of the dielectric layer) and not at the dielectric layer/silicon substrate interface.
  • FIG. 12 schematically illustrates a side view of a dielectric layer 620 formed on a substrate or wafer 600 using a plasma nitridation process in accordance with the first set of reaction conditions.
  • FIG. 12 shows that dielectric layer 620 , having an overall thickness of approximately 22 ⁇ , has the highest concentration of nitrogen within 15 ⁇ of the surface of dielectric layer 620 .
  • a common way to measure the thickness of dielectric layer 620 is by measuring the speed of a light ray through dielectric layer 620 . Since an SiO 2 dielectric material has a known refractive index (approximately 1.46), the change in the speed of the light ray through an SiO 2 dielectric layer provides a determination of the thickness of the dielectric layer.
  • One theory of the nitridation reaction of the invention is that N* radicals displace oxygen atoms and form Si 3 N 4 and Si x N y O z molecules. Si 3 N 4 and Si x N y O z have a refractive index greater than SiO 2 .
  • the effect of a nitridation reaction will produce a change in the apparent thickness of dielectric layer 620 .
  • the change is referred to as “apparent”, because, although the actual thickness may be similar before and after a nitridation reaction that results in the replacement of oxygen atoms, there will be a change in the refractive index and the change in the refractive index of the material will effect the thickness measurement.
  • a measurement of the areal density of the nitrogen containing material in the surface of dielectric layer 620 shows an areal density measurement of nitrogen of 8.1E14/cm 2 .
  • An areal density measurement determines the amount of nitrogen present in the surface area of dielectric layer 620 .
  • the method described above with respect to flow chart 500 may be modified by mixing helium with nitrogen and forming a plasma of that source gas.
  • the addition of helium produces a hotter plasma which increases nitridation.
  • Helium may comprise up to about 95 percent of this gas source. Specifically, helium may comprise about 20 to 80 percent of the gas source, and more specifically, it may comprise about 50 to 80 percent of the gas source.
  • Another inert gas, such as xenon may possibly be used in place of helium.
  • the method may also be run at different process temperatures.
  • a “built-in” anneal is provided if the nitridation process is carried out at about 1,000° C. or even at 1,1000° C.
  • the temperature at which this effect is produced is a function of the nitridation process time.
  • the nitridation process may be carried out at temperatures between about 800 and 1,1000° C. to provide the “built-in” anneal.
  • FIG. 13 shows the SIMS profile of a nitrogen-containing dielectric layer formed on a silicon substrate or wafer with a plasma nitridation of SiO 2 under a second set of reaction conditions.
  • the plasma nitridation of FIG. 13 takes place at 550° C. for 240 seconds at a process pressure of 1.15 Torr.
  • the plasma is produced by flowing a mixture of N 2 and He (20 percent He) as the process gas at a flow rate of 3 SLM through plasma applicator 300 (12 inch length, one inch diameter) and inlet member 360 (2.25 inch length, 0.55 inch diameter), and exposing the gas mixture to an excitation energy having a microwave frequency (2.45 gigahertz frequency) at approximately 3000 watts power.
  • the process gas flow rate, inlet member 360 and plasma applicator 300 are determined and sized, respectively, so that substantially all of the positively charged nitrogen ions generated by the plasma become charge neutral in plasma applicator 300 .
  • the nitridation reaction with the SiO 2 layer is carried out, in this example, for 240 seconds.
  • FIG. 13 shows that the plasma nitridation results in the majority of the nitrogen-containing material being present at the surface of the dielectric layer (i.e., within 15 ⁇ of the depth of the approximately 22 ⁇ thick dielectric layer) not at the dielectric layer/silicon wafer interface.
  • FIG. 14 schematically illustrates a side view of a dielectric layer 720 formed on a wafer 700 using a plasma nitridation process in accordance with the second set of reaction conditions.
  • a 22 ⁇ thick dielectric layer 720 has the highest concentration of nitrogen containing material (presumably in the form of Si 3 N 4 and Si x N y O z ) within 15 ⁇ of the surface of dielectric layer 820 .
  • An areal density measurement of nitrogen in the surface of dielectric layer 720 is 1.1E15/cm 2 .
  • FIGS. 13 and 14 also show that the nitrogen concentration is much greater near the surface of the dielectric layer than in the case of the process of FIG. 11. This is due to the addition of He to the process gas which increases nitridation.
  • FIG. 15 shows the SIMS profile of a nitrogen-containing dielectric layer formed on a silicon substrate or wafer with a plasma nitridation of SiO 2 under a third set of reaction conditions.
  • the plasma nitridation of FIG. 15 takes place at 1000° C. for 240 seconds at a process pressure of 1.10 Torr.
  • the nitrogen plasma is produced by flowing a N 2 process gas of 3SLM through plasma applicator 300 (12 inch length, one inch diameter) and inlet member 360 (2.25 inch length, 0.55 inch diameter), and exposing the N 2 gas to an excitation energy having a microwave frequency (2.45 gigahertz frequency) at approximately 3000 watts power.
  • the process gas flow rate, inlet member 360 and plasma applicator 300 are determined and sized, respectively, so that substantially all of the positively charged nitrogen ions generated by the plasma become charge neutral in plasma applicator 300 .
  • the nitridation reaction with the SiO 2 layer is carried out, in this example, for 240 seconds.
  • FIG. 15 shows that nitridation profile can be changed by running the process at a higher temperature. This plasma nitridation results in the majority of the nitrogen-containing material being present throughout the depth of the approximately 22 ⁇ thick dielectric layer.
  • FIG. 16 schematically illustrates a side view of a dielectric layer 820 formed on a wafer 800 using a plasma nitridation process in accordance with the third set of reaction conditions.
  • a 22 ⁇ thick dielectric layer 820 has a high concentration of nitrogen containing material (presumably in the form of Si 3 N 4 and Si x N y O z ) throughout its entire depth.
  • An areal density measurement of nitrogen in the surface of dielectric layer 920 is 2.5E15/cm 2 .
  • the nitridation (or the formation of the barrier layer) may be accomplished rapidly and, in one embodiment, without the addition of heat. Accordingly, the plasma nitridation with N* radical offers a manner of incorporating a barrier material on an oxide that does not require a significant thermal budget.
  • the above invention has been described with reference to a nitrogen plasma reaction with an oxide (SiO 2 ) or other material on a silicon substrate or wafer.
  • oxide SiO 2
  • the techniques described are not to be limited to nitridation of a material on a silicon substrate or wafer. Instead, the techniques may be used whenever plasma incorporation processes are practiced with a view towards efficiently incorporating the material and managing a thermal budget.
  • the invention recognizes the effectiveness of reacting a plasma of predominantly radicals with a substrate or a material on a substrate.
  • An embodiment of the above-discussed process monitor is the use of an “in-situ” ellipsometry—in a cool down chamber coupled to the process chamber, for example—which can perform an optical thickness measurement immediately after the nitridation process has been completed. The thickness data can then be converted to nitrogen dose information by system controller 260 .
  • the ellipsometry will also be useful in measuring very thin gate oxides that are grown in the process chamber prior to nitridation. Consistent measurement of these films after the process, prior to breaking vacuum, is potentially very important.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

An apparatus and method for exposing a substrate to plasma including a first reaction chamber adapted to generate a plasma comprising ions and radicals and a second reaction chamber coupled to the first reaction chamber and adapted to house a substrate at a sight in the second reaction chamber. The second reaction chamber is coupled to the first reaction chamber by an inlet member and radicals of the plasma flow through the inlet member into the second reaction chamber.

Description

  • This application is a continuation-in-part of application Ser. No. 09/298,064, filed Apr. 27, 1999 and entitled “Apparatus and Method for Exposing a Substrate to Plasma Radicals,” which is incorporated herein by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • The invention relates to the field of wafer or substrate plasma reactions, and more specifically to an apparatus and method for exposing a wafer or substrate to a plasma. [0002]
  • In the fabrication of modern integrated circuits, such as microprocessors and memories, oxidation processes are used to passivate or oxidize semiconductor films. Popular methods to oxidize silicon surfaces and films, such as, for example, polysilicon gate electrodes and substrates, include pure oxygen (O[0003] 2) and water vapor or steam (H2O) oxidation processes. In either case, the oxygen or water vapor is brought into a chamber to react with the silicon-containing surfaces to form silicon dioxide (SiO2).
  • In many oxidation processes for ultra-high performance integrated circuit applications, a pure SiO[0004] 2 film may not be desirable as the final structure. For example, although an SiO2 film may provide adequate insulative properties, thin SiO2 films have been found to be penetrable by dopants leading to undesirable results. For example, in complementary metal oxide semiconductor (CMOS) circuits, gate doping is used, in part, to lower the threshold voltage (VT) associated with an individual transistor device. Thus, for example, a polysilicon gate will be doped with boron as part of a PMOS device, or phosphorous, arsenic or antimony as part of an NMOS device. As the gate oxide beneath the polysilicon gate gets smaller, for example in the range of 0.10-0.20 microns or less, dopants implanted into the gate, particularly boron, diffuse or migrate through the gate oxide, particularly during a high temperature annealing activation step conventionally performed to activate the dopants in the diffusion or junction regions. In the case of boron in the gate, some of the boron diffuses through the gate oxide and gets deposited in the channel beneath the gate oxide adding more charge to the channel. The additional charge becomes scattering centers to charge carriers conducting the current. The scattering creates electric field changes that degrade the mobility of the device. The diffusion of the boron into the channel also unacceptably modifies the VT away from the predicted value for a device.
  • To prevent dopants from diffusing through thin oxides, such as boron through a thin gate oxide, prior art processes have incorporated nitrogen-containing sources such as nitrous oxide (N[0005] 2O), nitrogen oxide (NO), and ammonia (NH3). The nitrogen-containing material forms a film or layer (typically a silicon nitride (Si3N4) or a silicon oxynitride (SixNyOz) film or layer) that acts as a barrier layer to prevent the diffusion of dopants through the oxide.
  • In the case of gate oxides, some prior art methods place nitrogen-containing materials or films at the gate oxide/substrate interface. A prior art NO growth and anneal method, for example, typically results in a high nitrogen incorporation (up to nine percent) at a gate oxide/silicon substrate interface. The nitrogen acts as an excellent diffusion barrier, but the nitrogen present in such high percentage at the interface degrades channel mobility. Other prior art methods contaminate the oxide or do not provide a significant penetration barrier to dopants. For example, an NH[0006] 3 anneal forms a good barrier layer to diffusing dopants, but the reliability of the device is degraded due to hydrogen incorporation. A prior art N2O growth or anneal method incorporates less than three percent nitrogen at the substrate interface, but does not provide a good enough penetration barrier for thin gate oxides.
  • What is needed is a method and apparatus for incorporating a barrier material on an oxide that may be accomplished in a thermally efficient manner and that does not degrade device performance. [0007]
  • SUMMARY OF THE INVENTION
  • The invention, in one aspect, features a first reaction chamber and a gas source coupled to the first reaction chamber to supply a gas to the first reaction chamber including constituents adapted to react with a substrate in a process step. An excitation energy source is coupled to the first reaction chamber to generate a plasma including ions and radicals from the gas. A second reaction chamber is provided to house a substrate at a site in the second reaction chamber wherein the first reaction chamber is coupled to the second reaction chamber by an inlet member and radicals of the plasma flow through the inlet member into the second reaction chamber. The inlet member includes a passageway having a cross-sectional dimension selected such that during processing the pressure in the second reaction chamber is less than the pressure in the first reaction chamber. [0008]
  • Embodiments of the invention may include one or more of the following features. The second reaction chamber is a rapid thermal processing chamber. The excitation energy source includes a microwave cavity and a microwave generator to provide a microwave field to the microwave cavity. The inlet member includes a main passageway and two passageways which diverge from the main passageway in a direction toward the substrate site in the second reaction chamber. Alternatively, the inlet member includes a main passageway and a face thereof including a plurality of openings. The inlet member passageway is coupled to an output end of the excitation energy source and a sleeve is located in the passageway. The sleeve is made of a material different from the inlet member. For example, the sleeve may be made of silicon, silicon nitride, boron nitride, carbon nitride, or Al[0009] 2O3.
  • The invention may further include a rapid thermal processing chamber coupled to the second reaction chamber by a load lock. Additionally, the pressure in the second reaction chamber may be between about 0.50 and 4.0 Torr, while the pressure in the first reaction chamber may be between about 1.0 and 8 Torr. [0010]
  • In another aspect, the invention is directed to an apparatus wherein the inlet member is configured to fit within a preexisting opening in a wall of a second reaction chamber, with radicals of a plasma flowing from a first reaction through the inlet member and into the second reaction chamber. [0011]
  • An interior wall of the second reaction chamber may be curved with a face of the inlet member also curved to substantially correspond to the curvature of the interior wall. An orifice may be provided at an outlet of the first reaction chamber and a cross-sectional dimension of the orifice selected to provide a pressure in the first reaction chamber which is greater than the pressure in the second reaction chamber during processing. [0012]
  • The invention also features, in another aspect, an apparatus for nitridation, including a process chamber in which a substrate can be positioned during processing. A first inlet into the process chamber is provided through which a first process gas can be introduced into the process chamber from a first process gas source. A second process gas source is coupled to a second reaction chamber to supply a second process gas thereto. An excitation energy source is coupled to the reaction chamber to generate a discharge in the second process gas as it flows from the second process gas source through the reaction chamber. An inlet member is coupled between an outlet of the reaction chamber and a second inlet into the process chamber. The inlet member includes a passageway having a cross-sectional dimension selected such that during processing the pressure in the process chamber is less than the pressure in the reaction chamber. The inlet member is configured to fit within a preexisting opening in a wall of the process chamber. The second process gas may comprise nitrogen or a mixture of nitrogen and helium. A valve may be used to selectively provide fluid communication between the first inlet and the first process gas source, and the second inlet and the second process gas source. [0013]
  • In the example of a nitridation reaction in which nitrogen plasma is incorporated into an oxide on the substrate, the nitrogen radicals of a nitrogen plasma rapidly react with the oxide to incorporate nitrogen into the exposed surface of the oxide. In terms of barrier layer protection to a gate oxide, the nitrogen is incorporated primarily in the exposed surface of the oxide and not at the gate oxide/substrate interface. In this manner, the presence of an adequate amount of nitrogen to act as a barrier layer, disposed away from the substrate interface, will reduce the scattering centers caused by otherwise penetrable dopants without deleterious effects on channel mobility. [0014]
  • In another aspect, the invention feature a method for remote plasma nitridation. The method comprises generating a plasma including ions and radicals in a reaction chamber and providing a substrate having an oxide thereon in a rapid thermal processing chamber remote from the reaction chamber. The radicals of the plasma are transferred from the reaction chamber into the rapid thermal process chamber wherein the pressure within the reaction chamber is greater than the pressure in the rapid thermal processing chamber. A portion of the oxide and a portion of the plasma in the rapid thermal processing chamber are reacted and a nitrogen-containing material is formed in a portion of the oxide on the substrate. [0015]
  • In one embodiment, the pressure in the rapid thermal processing chamber is about 0.50 to 4.0 Torr and the pressure in the reaction chamber is about 1.0 to 8.0 Torr. [0016]
  • In another aspect, the invention features a method for remote plasma nitridation including generating a plasma in a reaction chamber from a gas including a mixture of nitrogen and an inert gas. The plasma includes ions and radicals. The radicals of the plasma are transferred into a rapid thermal processing chamber and a portion of an oxide layer on a substrate and a portion of the plasma are reacted to nitrate a portion of the oxide layer. [0017]
  • The inert gas, in one embodiment, may be helium. The gas mixture may comprise no more than about 95 percent helium. Specifically, the gas mixture may comprise between about 20 to 80 percent helium. [0018]
  • In another aspect, the invention features a method for remote plasma nitridation, comprising generating a plasma including ions and radicals in a reaction chamber and providing a substrate having an oxide thereon in a rapid thermal processing chamber remote from the reaction chamber. Radicals of the plasma are transferred into the rapid thermal processing chamber and a portion of the oxide and a portion of the plasma in the rapid thermal processing chamber are reacted at a temperature of between about 800 and 1,100° C. for a period between about [0019] 60 and 300 seconds to form a nitrogen-containing material in a portion of the oxide on the substrate.
  • The reacting step, in one embodiment, can take place at a temperature of about 1000° C. for about 240 seconds. The step of forming a nitrogen-containing material includes forming one of a silicon nitride and a silicon oxynitride. [0020]
  • In another aspect, the invention features a method comprising positioning a substrate in a rapid thermal processing chamber and introducing a first process gas into the processing chamber through a first gas inlet to deposit a film on the substrate. A second process gas is introduced into a reaction chamber remote from the processing chamber to generate a plasma of the second process gas. The plasma flows from the reaction chamber into the processing chamber through a second gas inlet at a first pressure which is greater than a second pressure in the processing chamber to alter the dielectic properties of a film on the substrate. [0021]
  • In the case of a nitrogen plasma, for example, the method uses a nitrogen plasma to create nitrogen radicals that can be used to incorporate nitrogen into an oxide such as, for example, to act as a barrier layer as described above. The method is useful to incorporate nitrogen into gate oxides and create barrier layers to penetrable gate dopants because, in one embodiment, the incorporated nitrogen does not migrate to the gate oxide/substrate interface. The barrier layer may therefore be created without the deleterious effects on gate performance associated with prior art methods, such as channel mobility degradation.[0022]
  • Additional features and benefits of the invention will become apparent from the detailed description, figures, and claims set forth below. [0023]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional schematic illustration of a semiconductor substrate having an oxide layer undergoing a nitridation by radicals of a plasma in accordance with an embodiment of the invention. [0024]
  • FIG. 2 shows the substrate of FIG. 1 after the processing step of forming a nitride film on or in the surface of the oxide layer in accordance with an embodiment of the invention. [0025]
  • FIG. 3A is a schematic illustration of a remote plasma system in accordance with an embodiment of the invention including a system controller, a rapid thermal heating apparatus containing a wafer, a plasma applicator and a microwave source. [0026]
  • FIG. 3B is a schematic view along [0027] line 3B-3B of FIG. 3A.
  • FIG. 4 is a schematic illustration of a plasma applicator for use in supplying radicals of a plasma to a reaction or process chamber in accordance with an embodiment of the invention. [0028]
  • FIG. 5 is a schematic illustration of an inlet member for use in supplying radicals of a plasma to a reaction or process chamber in accordance with an embodiment of the invention. [0029]
  • FIG. 6 is a view along line [0030] 6-6 of FIG. 5.
  • FIG. 7 is a schematic illustration of an alternate embodiment of the inlet member of FIG. 5. [0031]
  • FIG. 8A is a schematic illustration of yet another embodiment of the inlet member of FIG. 5. [0032]
  • FIG. 8B is a view along [0033] line 8B-8B of FIG. 8A.
  • FIG. 9 is an illustrative block diagram of the hierarchical control structure of the control signal generation logic to operate the process system according to an embodiment of the invention. [0034]
  • FIG. 10 is a flowchart that illustrates a remote plasma nitridation process in accordance with an embodiment of the invention. [0035]
  • FIG. 11 is a Secondary Ion Mass Spectroscopy (SIMS) profile of a silicon substrate containing a dielectric layer formed by the nitridation of an oxide by a remote plasma source under a first set of reaction conditions in accordance with an embodiment of the invention. [0036]
  • FIG. 12 is a schematic illustration of a dielectric layer overlying a silicon substrate, the dielectric layer formed by the plasma nitridation of an SiO[0037] 2 layer on a substrate according to the first set of reaction conditions.
  • FIG. 13 is a SIMS profile of a silicon substrate containing a dielectric layer formed by the nitridation of an oxide by a remote plasma source under a second set of reaction conditions in accordance with an embodiment of the invention. [0038]
  • FIG. 14 is a schematic illustration of a dielectric layer overlying a silicon substrate, the dielectric layer formed by the plasma nitridation of an SiO[0039] 2 layer on a substrate according to the second set of reaction conditions.
  • FIG. 15 is a SIMS profile of a silicon substrate containing a dielectric layer formed by the nitridation of an oxide by a remote plasma source under a third set of reaction conditions in accordance with an embodiment of the invention. [0040]
  • FIG. 16 is a schematic illustration of a dielectric layer overlying a silicon substrate, the dielectric layer formed by the plasma nitridation of an SiO[0041] 2 layer on a substrate according to the third set of reaction conditions.
  • FIG. 17 is a plot of optical thickness delta versus nitrogen dose for use in correlating the nitrogen dose with the optical thickness.[0042]
  • DETAILED DESCRIPTION
  • The invention describes an apparatus and method for incorporating a plasma into a substrate or a material on a substrate using a remote plasma source. In general, plasma sources generated by, for example, an energetic excitation of gaseous molecules consist of a plasma of charged ions, radicals, and electrons. The invention recognizes that radicals of a plasma react in a much more desirable manner with a substrate or a material on a substrate, such as an oxide, than ions or a mixture of radicals and ions. In that regard, the invention describes the plasma source as remote to provide an apparatus and a method of eliminating the majority of the ions of the plasma such that preferably only the radicals of the plasma react with a substrate or material on a substrate. [0043]
  • In the following description, numerous specific details such as apparatus configurations as well as process specifics such as time and temperature are set forth in order to provide a thorough understanding of the invention. One skilled in the art will appreciate the ability to use alternative configurations and process details to the disclosed specifics without departing from the invention. In other instances, well known semiconductor processing equipment and techniques have not been described in detail in order to not unnecessarily obscure the invention. [0044]
  • FIGS. 1 and 2 illustrate an embodiment of the method of the invention. FIG. 1 shows a [0045] layer 110, such as for example, silicon dioxide(SiO2) layer 110 overlying substrate 100. In one embodiment, SiO2 layer 110 will serve as a gate oxide to insulate a transistor gate from the substrate. Substrate 100 is, for example, a silicon substrate 100. In FIG. 1, SiO2 layer 110 is bombarded by a plasma 115. The reactive portion of plasma 115 is comprised substantially of radicals. The invention contemplates that substantially all ions present in the plasma at the plasma generation (with the radicals)are eliminated prior to coming in contact with SiO2 layer 110. One way positively charged ions are eliminated is by combining with electrons (also present in the plasma at the plasma generation) to return to a non-ionic or charge neutral state. A plasma may be substantially free of the majority of the ions by separating the plasma generation source from the substrate location, e.g., the reaction site, by a distance longer than the lifetime of the ions at a given plasma discharge rate. In this manner, the radicals survive the travel distance to substrate 100, but ions do not, but instead lose their ionic character and become charge neutral.
  • In one embodiment, SiO[0046] 2 layer 110 is a gate oxide and the plasma is a nitrogen plasma incorporating nitrogen into the gate oxide to act as a barrier layer. FIG. 2 shows substrate 100 after the reaction between the radicals of the plasma and SiO2 layer 110. FIG. 2 schematically illustrates the formation of, for example, a nitrogen-containing material or layer 120 overlying SiO2 layer 110. A nitrogen plasma of nitrogen radicals (N*)reacts primarily with the oxide or displaces oxygen at the surface of SiO2 layer 110 to yield a dielectric layer (i.e., SiO2 layer 110 plus nitrogen-containing material or layer 120) having a significantly greater concentration of nitrogen-containing material at the surface of the dielectric layer as opposed to interface 105 between the dielectric layer and substrate 100. In this manner, the presence of nitrogen-containing material in the dielectric acts as an effective barrier to prevent the penetration of dopants, such as boron, through SiO2 layer 110. Since the nitrogen-containing material or layer 120 is not present in significant amounts at interface 105, the negative effects on device performance seen in prior art structures should not be encountered.
  • It is to be appreciated that the invention is not limited to a process that results in a strict placement of a film or layer at the reaction surface, i.e., that the plasma reaction takes place in such a way to produce distinct layers of plasma-containing material and oxide. Instead, as will be discussed in detail below, in certain embodiments, the radicals of the plasma react and interact, for example, within the oxide during the exposure of the radicals to the reaction surface. In the case of a nitridation of a gate oxide, for example, the nitrogencontaining material is produced effectively in or on SiO[0047] 2 layer 110 by exposing SiO2 layer 110 to a plasma of predominantly N* radicals. One theory is that N* radicals displace oxygen atoms in SiO2 layer 110 to form Si3N4 and SixOyNz material.
  • FIGS. 3A and 3B illustrate one embodiment of an apparatus or system used to react a plasma of predominantly radicals of a plasma with a substrate such as [0048] substrate 100 containing a material layer such as SiO2 layer 110. The apparatus or system includes a rapid thermal processing (RTP) apparatus 200, such as, but not limited to, the Applied Materials, Inc., RTP Centura® with a Honeycombed Source™. Such a suitable RTP apparatus and its method of operation are set forth in U.S. Pat. No. 5,155,336, assigned to the assignee of the invention, and which is incorporated herein by reference. Other types of thermal reactors may be substituted for the RTP apparatus such as, for example, the Epi or Poly Centur® Single Wafer “Cold Wall” Reactor by Applied Materials used to form high temperature films, such as epitaxial silicon, polysilicon, oxides, and nitrides. The DielectricxZ™ chamber by Applied Materials is also suitable.
  • Coupled to [0049] RTP apparatus 200 is a plasma applicator 300 to provide radicals of a plasma to RTP apparatus 200. Coupled to plasma applicator 300 is an energy source 450 to generate an excitation energy to create a plasma.
  • In the embodiment illustrated in FIGS. 3A and 3B, [0050] RTP apparatus 200 includes a process chamber 213 enclosed by a side wall 214 and a bottom wall 215. Side wall 214 and bottom wall 215 may be made of stainless steel. The upper portion of side wall 214 of chamber 213 is sealed to a window assembly 217 by “O” rings. A radiant energy light pipe assembly or illuminator 218 is positioned over and coupled to window assembly 217. Light pipe assembly 218 includes a plurality of tungsten halogen lamps 219, for example, Sylvania EYT lamps, each mounted into, for example, light pipes 221 that can be made of stainless steel, brass, aluminum, or other metals.
  • Wafer or [0051] substrate 100 is supported on an edge inside chamber 213 by a support ring 262 typically made of silicon carbide. Support ring 262 is mounted on a rotatable quartz cylinder 263. By rotating quartz cylinder 263, support ring 262 and wafer or substrate 100 are caused to rotate during processing. An additional silicon carbide adapter ring can be used to allow wafers or substrates of different diameters to be processed (e.g., 150 millimeter, 200 millimeter or 300 millimeter wafers). The outside edge of support ring 262 may extend less than about two inches from the outside diameter of wafer or substrate 100.
  • [0052] Bottom wall 215 of RTP apparatus 200 includes, for example, a gold-coated top surface or reflector 211 for reflecting energy onto the backside of wafer or substrate 100. Additionally, RTP apparatus 200 includes a plurality of fiber optic probes 271 positioned through bottom wall 215 of RTP apparatus 200 to detect the temperature of wafer or substrate 100 at a plurality of locations across its bottom surface.
  • [0053] RTP apparatus 200 includes a gas inlet 269 formed through side wall 214 for injecting a process gas into chamber 213 to allow various processing steps to be carried out in chamber 213. The gas inlet 269 may comprise a plurality of openings extending through side wall 214 above a port or slit valve 272 through which a wafer or substrate is loaded into and removed from the process chamber. Positioned on the opposite side of gas inlet 269, in side wall 214, is a gas outlet 270. Gas outlet 270 is part of an exhaust system and is coupled to a vacuum source, such as a pump (not shown), to exhaust process gas from chamber 213 and to reduce the pressure in chamber 213. The exhaust system maintains the desired pressure while process gas, including radicals of a plasma, is continually fed into chamber 213 during processing. Specifically, a throttle valve (not shown) is set to control the chamber pressure to the desired level in relation to the total process gas flow, the process chamber size, and the pumping set point pressure for the exhaust system.
  • Another [0054] gas inlet 275 is formed through side wall 214 through which a plasma of a process gas may be injected into the process chamber. Coupled to gas inlet 275 is applicator 300 to inject radicals of the plasma into the process chamber.
  • [0055] Light pipe assembly 218 may include 187 lamps 219 positioned in a hexagonal array or in a “honeycombed” shape. Lamps 219 are positioned to adequately cover the entire surface area of wafer or substrate 100 and support ring 262. Lamps 219 are grouped in zones that can be independently controlled to provide for extremely uniform heating of wafer or substrate 100. Light pipes 221 can be cooled by flowing a coolant, such as water, between the various light pipes.
  • [0056] Window assembly 217 includes a plurality of short light pipes 241 that are brazed to upper/lower flange plates that have their outer edges sealed to an outer wall 244 of the light pipe assembly. A coolant, such as water, can be injected into the space between light pipes 241 to cool light pipes 241 and the flanges. Light pipes 241 register with light pipes 221 of the illuminator. The flanges with the light pipe pattern that registers with the lamp housing is sandwiched between two quartz plates 247 and 248. These plates are sealed to the respective flanges with “0” rings near the periphery of the flanges. The flanges include grooves that provide communication between the plurality of light pipes 241. A vacuum can be produced in the plurality of light pipes 241 by pumping through a tube 253 connected to one of the light pipes 241 which is in turn connected to the rest of the pipes by a very small recess or groove in the face of a flange. Thus, when the sandwiched structure is placed on chamber 213, the flanges (typically stainless steel, and of excellent mechanical strength) provide adequate structural support. Lower quartz plate 248—the one actually sealing chamber 213—experiences little or no pressure differential because of the vacuum on each side and thus can be made very thin. The adaptor plate concept of window assembly 217 allows quartz plates to be easily changed for cleaning or analysis. In addition, the vacuum between quartz plates 247 and 248 of window assembly 217 provides an extra level of protection against toxic gases escaping from the reaction chamber.
  • [0057] RTP apparatus 200 is a single wafer reaction chamber capable of ramping the temperature of wafer or substrate 100 at a rate of 25-100° C./second. RTP apparatus 200 is said to be a “cold wall” reaction chamber because the temperature of wafer or substrate 100 during, for example, an oxidation process is at least 400° C. greater than the temperature of chamber side wall 214. Heating/cooling fluid can be circulated through side walls 214 and/or bottom wall 215 to maintain the walls at a desired temperature.
  • As noted above, [0058] plasma applicator 300 is coupled to RTP apparatus 200 to provide a source of radicals of a plasma to RTP apparatus 200. In one embodiment, plasma is connected to RTP apparatus 200 by an inlet member 360. Plasma applicator 300 also includes a gas inlet 310. Coupled to gas inlet 310 is a gas source, such as a reservoir or tank 313 of nitrogen-containing gas, including, but not limited to, N2, gas. Plasma applicator 300 is coupled to energy source 450 by waveguides 312 a and 312 b.
  • FIGS. 3A and 3B illustrate an embodiment wherein [0059] plasma applicator 300 is remote from RTP apparatus 200 in that the plasma is generated outside chamber 213 of RTP apparatus 200. By locating plasma applicator 300 remotely from chamber 213 of RTP apparatus 200, a plasma source can be selectively generated to limit the composition of the plasma exposed to wafer or substrate 100 to predominantly radicals. Thus, a plasma of ions, radicals, and electrons is generated in plasma applicator 300. However, because of the size (e.g., length and volume) of plasma applicator 300 or the combined size of plasma applicator 300 and inlet member 360, all or the majority of ions generated by the excitation of the process gas to form a plasma outlive their ionic lifetime and become charge neutral. Thus, the composition of the plasma that is supplied to gas inlet 275 of RTP apparatus 200 is predominantly radicals.
  • In the case of a nitrogen source gas, for example, excitation by microwave radiation produces a plasma of N* radicals, positively charged ions such as N[0060] + and N2+ ions, and electrons. The N* radicals are believed to be neutral atoms occupying a high energy state. The apparatus of the invention is configured such that the positively charged ions (e.g., N+ and N2+ ions) become charge neutral either in plasma applicator 300 or inlet member 360 and thus generally do not reach chamber 213 of RTP apparatus 200. Positively charged nitrogen ions become charge neutral by combining with available electrons to lose their ionic state. In this manner, predominantly N+ radicals and charge neutral nitrogen reach chamber 213 and are exposed to wafer or substrate 100, or a material on wafer or substrate 100 (e.g., an oxide layer). By combining with positively charged nitrogen ions, electrons produced in the plasma are not, in general, exposed to substrate 100 in chamber 213. Thus, charged particles of the plasma are not available to sputter substrate 100 or SiO2 layer 110 on substrate 100. In this manner, the reaction of a nitrogen plasma of N* radicals results in a relatively damage-free dielectric layer.
  • FIG. 4 illustrates an embodiment of [0061] plasma applicator 300 that is useful in the remote plasma generation apparatus of the invention. Plasma applicator 300 is particularly useful in generating a nitrogen plasma according to the nitridation reaction described above with reference to FIGS. I and 2, and the accompanying text. Plasma applicator 300 includes a body 305 of, for example, aluminum or stainless. Body 305 surrounds a tube 320. The tube 320 is, for example, made of quartz or sapphire. (Al2O3) The tube 320 preferably does not have any electrical bias present that might attract charged particles, e.g., ions. One end of body 305 includes gas inlet 310.
  • Coupled to [0062] gas inlet 310, as noted, is gas source 313. The gas source 313 is coupled to gas inlet 310 through a first input of a three-way valve 314 (see FIG. 3A). A second input of three-way valve 314 is coupled to another process gas source, such as a reservoir or tank 315 of an oxygen-containing gas, including, but not limited to, O2 gas. In a first position, valve 314 provides for gas flow between gas source 313 and gas inlet 310, while preventing any gas flow from gas source 315 to process chamber 213. The valve 314, in a second position, provides for gas flow between gas source 315 and process chamber 213, while preventing gas flow from gas source 313 to gas inlet 310 of the applicator.
  • Thus, in one position, [0063] valve 314 allows, for example, an oxygen-containing gas to be introduced into the process chamber for oxidation of the substrate or wafer, and in a second position, allows a nitrogen-containing gas to be introduced into the process chamber for nitridation of the substrate or wafer.
  • A [0064] flow controller 425 is connected to valve 314 to switch the valve between its different positions, depending upon which process is to be carried out. The flow controller can function as a mass flow controller and be coupled between source gas 313 and gas inlet 310 to regulate the flow of gas to plasma applicator 300. Flow controller 425 receives, in one embodiment, an analog signal from system controller 260 (such as, for example, a signal between 0 and 5 volts) that puts valve 314 in the proper position to allow for gas flow from gas source 313 into applicator 300 and actuates a value 316 to control the flow rate or amount of gas released from source gas 313 to gas inlet 310. The diameter of the opening of gas inlet 310 is also selected to allow a desired flow rate of source gas 313 into tube 320. Conversion board 272 is placed, in this embodiment, between flow controller 425 to coordinate the signals between system controller 260 and the flow controller in the instance where, for example, the signals are scaled differently.
  • The [0065] flow controller 425 also functions in a similar fashion to control valves 314 and 317 to provide an appropriate process gas flow from gas source 315 to the process chamber.
  • Positioned on the opposite side of [0066] gas inlet 310 is a radicals outlet 375. Radicals outlet 375 is coupled to inlet member 360 to supply, in one embodiment, radicals of a plasma 350 to chamber 213 of RTP apparatus 200. Radicals outlet 375 typically has a diameter larger than gas inlet 310 to allow the excited radicals to be efficiently discharged at the desired flow rate and to minimize the contact between the radicals and tube 320. The flow rate of the radicals generated and discharged by plasma applicator 300 is determined primarily by the source gas inlet flow, the dimensions of tube 320 and radical outlet 375, and the pressure in plasma applicator 300.
  • A separate orifice may be inserted into [0067] tube 320 at radicals outlet 375 to reduce the tube's inside diameter. The orifice may be made of sapphire or alumina (Al2O3). The diameter of the orifice is selected to optimize the pressure differential between the process chamber and the applicator for nitridation efficiency.
  • The pressure in the process chamber should be less than the pressure in the applicator. The pressure in the process chamber may be between about 0.50 and 4.0 Torr, while the pressure in the applicator may be between about 1.0 and 8.0 Torr. For example, if the pressure in the applicator is about 2.00 Torr, then the pressure in the process chamber should be about 1.00 Torr. [0068]
  • At a position between [0069] gas inlet 310 and radicals outlet 375 of body 305 is energy source inlet 380. Energy source inlet 380 allows the introduction into tube 320 of excitation energy, such as an energy having a microwave frequency, from energy source 450. In the case of a microwave frequency, the excitation energy moves into body 305 of plasma applicator 300 and through tube 320 to excite the gas source traveling in a direction perpendicular does this by disrupting the otherwise stable configuration of some of the gas molecules into excited or higher energy states such as certain radicals and ions. In the case of N2, for example, the microwave excitation in plasma applicator 300 produces N* radicals, positively charged ions such as N+ and N2+, and electrons.
  • One goal of the system and method of the invention is to minimize the reaction of ions of a plasma (e.g., N[0070] + and N2+ ions) with substrate 100 or the oxide overlying the surface of substrate 100. Thus, in one embodiment, tube 320 of plasma applicator 300 is constructed of a length such that, for a given flow rate of a process gas (e.g., a given plasma generation rate), substantially all ions created by the excitation by the energy source are extinguished or reacted with electrons or other charged particles to lose their excited state prior to exiting tube 320. In one embodiment, a plasma of predominantly radicals is delivered to substrate 100 in chamber 213. It is to be appreciated that in some instances, a small amount of ions of a plasma may be delivered to the substrate site without significant effect on the reaction between the radicals and the substrate or the radicals and material on the substrate. The invention recognizes that radicals tend to react in a much more desirable manner then ions or a mixture of radicals and ions. Accordingly, the invention seeks, in one aspect, to minimize the exposure of the substrate or the material on the substrate to ions.
  • The length of [0071] tube 320 and inlet member 360 necessary to extinguish substantially all the ions of a plasma at a given source gas flow rate may be determined experimentally or by lifetime calculations. In one embodiment, tube 320 has a length of 12 inches with a one inch inside diameter, including a one inch diameter radicals outlet 375 to produce a plasma of predominantly N* radicals and inert nitrogen at a source gas flow rate of 300 cubic centimeters per minute at radicals outlet 375. In another embodiment, the excitation lifetime of ions is accounted for not only in plasma applicator 300 but also in inlet member 360 coupling plasma applicator 300 to RTP apparatus 200.
  • An applicator which may be used in the system and method of the invention is available from Applied Komatsu Technology, Inc., Sunnyvale, Calif. [0072]
  • As shown in FIGS. [0073] 3A and 5-6, inlet member 360 may be configured as an adapter which is coupled to an opening in side wall 214 of the process chamber. The opening may be a pre-existing opening for a camera used in monitoring a process conducted in the process chamber. If a monitoring camera is required, it can be placed at a different location in the process chamber side wall.
  • The [0074] inlet member 360 includes a flange 360 a which is bolted to applicator 300 so a passageway 360 b in the inlet member is aligned with and coupled to tube 320 at radicals outlet 375. A second flange 360 c is bolted to exterior surface 214 a of side wall 214 of the process chamber. The nose 360 d of inlet member 360 extends into the chamber side wall opening such that an outermost face 360 e of the nose is substantially flush with interior surface 214 b of process chamber side wall 214.
  • As shown, when used with Applied Materials RTP Centura™, face [0075] 360 e is curved to match the curvature of the process chamber interior side wall. Also, the dimension l1 is selected to be substantially the same as the wall thickness of the side wall of the process chamber. Thus, l1 may equal about 1.25 inches. The dimension l2 is about 2.25 inches. The inside diameter d of passageway 360 b may be about 0.69 of an inch. The inside diameter of passageway 360 b, in another embodiment, may be made equal to the diameter of tube 320.
  • The [0076] inlet member 360 may be made of aluminum. A sleeve 360 f may be positioned in passageway 360 b. The sleeve should be made of a material that does not cause recombination of the N* radicals. The sleeve should also reduce contamination that might be produced in the process chamber as the result of the plasma flowing through the inlet member. The sleeve can make made of, for example, silicon, silicon nitride, boron nitride, carbon nitride, or sapphire or alumina (Al2O3).
  • The sleeve can also be used to optimize the pressure differential between the applicator and the process chamber. The sleeve may reduce the inside diameter of [0077] passageway 360 b to about 0.55 of an inch.
  • An alternate embodiment of [0078] inlet member 360 is shown in FIG. 7. This inlet member 370 includes two passageways 370 ab and 370 bb which diverge from main inlet member passageway 370 b. By changing the geometry of the passageway in this fashion, the plasma is more uniformly distributed across the surface of a substrate or wafer processed in the process chamber. Thus, nitridation is more uniform across the substrate surface. The inlet member 370, in all other respects, is substantially the same as inlet member 360.
  • Yet another embodiment of an inlet member is shown in FIGS. 8A and 8B. This [0079] inlet member 380 includes a central, inner passageway 380 a which terminates in a face or faceplate 380 b. The faceplate 380 b provides a shower head-like arrangement which can include any appropriate number of openings 380 c. The openings 380 c can be uniform in size or be of different sizes. The openings may be uniform in shape or have various shapes. The openings, for instance, may be circular, oval, square, or rectangular in shape. The inlet member 380 is configured to produce a more uniform nitridation profile across the substrate surface.
  • In one embodiment, as shown in FIG. 3A, [0080] energy source 450 consists of a magnetron 420, and an isolator and dummy load 425, which is provided for impedance matching. Magnetron 420 generates an excitation energy, such as for example, an electromagnetic or inductively coupled frequency. The magnetron can generate between 1.5 and 6.0 kilowatts of 2.54 GHZ of microwave energy. A suitable magnetron assembly can be obtained from Applied Sciences and Technology, Woburn, Mass., or Daihen America, Santa Clara, Calif.
  • The excitation energy from [0081] magnetron 420 is directed through isolator and dummy load 425, and waveguides 312 a and 312 b to tube 320. Dummy load 425 acts, in one sense, like a check valve to allow energy flow in a direction toward applicator 300 but not toward magnetron 420.
  • Between [0082] plasma applicator 300 and waveguide 312 b is autotuner 400. The autotuner redirects radiation reflected from applicator 300 back toward the plasma applicator to increase the energy supplied to plasma applicator 300. Autotuner 400 also focuses the microwave energy into the center of tube 320 so that the energy is more preferentially absorbed by the gas fed to the applicator. Although an autotuner is preferred, a manual tuner may be used.
  • With reference to FIG. 3A, control [0083] signal generation logic 252 is supplied to system controller 260 in the form of, for example, software instruction logic that is a computer program stored in a computer-readable medium such as a memory 238 in system controller 260. Preferably, memory 238 is a hard disk drive, but memory may also be other kinds of memory. The computer program includes, among other things, sets of instructions that dictate the timing, gas flow rate, chamber pressure, chamber temperature, RF power level, energy source regulation and other parameters of a particular process. It is to be appreciated that other computer programs such as one stored on another memory device, including, but not limited to, a floppy disk, may also be used to operate system controller 260. The computer program is processed by system controller 260 in a processor 250. The interface between a user and system controller 260 may be implemented, for example, via a cathode ray tube (CRT) monitor or monitor with command instruction implemented by an interface such as keyboard, mouse, light-pen center or touch screen monitor.
  • FIG. 9 shows an illustrative block diagram [0084] 400 of the hierarchical control structure of control signal generation logic 252, according to one embodiment of the invention. In a multi-process chamber environment, for example, a user enters a process set number and process chamber number into process selector subroutine 473 in response to menus or screens displayed on the CRT monitor. The process sets are predetermined sets of process parameters necessary to carry out specified processes, and identified by predefined set numbers. Process selector subroutine 473 identifies (I) the desired process chamber and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas flow rate, temperature, reaction pressure, cooling gas pressure and chamber wall temperature, and are provided to the user in the form of a recipe. The parameters specified by the process recipe are entered using the CRT monitor interface. The signals for monitoring the process are provided by the analog input and digital input for system controller 260, and the signals for controlling the process are output on the analog output and digital output boards of system controller 260.
  • [0085] Process sequencer subroutine 475 shown in FIG. 9 includes, in one embodiment, program codes for accepting the identified process chamber and set of process parameters from process selector subroutine 473 and for controlling the operation of the process chamber. Multiple users can enter process set numbers and process chamber numbers, or a user can enter a multiple process set numbers and process chamber numbers, so process sequencer subroutine 475 operates to schedule the selected processes in the desired sequence. Process sequencer subroutine 475 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on the availability of the process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as pooling. When scheduling which process is to be executed, process sequencer subroutine 475 can be designed to take into consideration the condition of the process chamber being used in comparison with the desired process condition for a selected process, or the “age” of each particular user-entered request, or any other relevant factor a system programmer desires to include for determining and scheduling priority.
  • Once [0086] process sequencer subroutine 475 determines which process chamber and process set combination are to be executed next, process sequencer subroutine 475 causes the execution of the process set by passing the particular process set parameter to chamber manager subroutine 477 a-477 c that controls multiple processing tasks in a process chamber according to the process set determined by process sequencer subroutine 475. For example, chamber manager subroutine 477 a includes program code for controlling the flow rate of process gas/plasma into process chamber 213 (through plasma applicator 300). Chamber manager subroutine 477 a also controls execution of various chamber component subroutines that control the operation of chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are process gas control subroutine 483, pressure control subroutine 485, and heater control subroutine 487. It is to be appreciated that other chamber control subroutine may be included depending on the process demand.
  • In operation, [0087] chamber manager subroutine 477 a shown in FIG. 9 selectively schedules or calls the process component subroutine in accordance with the particular process set being executed. Chamber manager subroutine 477 a schedules the process component subroutine similarly to the way the process sequencer subroutine 475 schedules which process chamber and process set are to be executed next. Typically, chamber manager subroutine 477 a includes the steps of monitoring the various chamber components, determining which component needs to be operated based on the process parameter for the process set to be executed and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Process [0088] gas control subroutine 483 has program code for controlling the process gas composition and flow rate. Process gas control subroutine 483 controls the open/close position of a safety shut-off valve, and also ramps up/down the flow controller to obtain the desired gas flow rate. As noted above, the flow rate of process gas into plasma applicator 300 will partly determine the flow rate of radicals of a plasma delivered to substrate 100 in chamber 213. Accordingly, parameters considered in process gas control subroutine include, but are not limited to, the volume of tube 320 and the distance between the plasma generation point in tube 320 and substrate 100. Process gas control subroutine 483 is invoked by chamber manager subroutine 477 a as are all chamber component subroutines, and receives from chamber manager subroutine 477 a process parameters related to the desired gas flow rate. Typically, process gas control subroutine 483 operates by putting vale 314 in its proper position and opening the gas supply line (by actuating flow controller 425) to begin the flow of gas into plasma applicator 300, and repeatedly (I)reading the necessary flow controller, (ii) comparing the reading to the desired flow rate received from chamber manager 477 a, and (iii) adjusting the flow rate of the source gas 313 as necessary. Further, process gas control subroutine 483 includes the steps of monitoring the gas flow rate for unsafe rates and activating the safety shut-off valve when an unsafe condition is detected.
  • [0089] Pressure control subroutine 485 shown in FIG. 9 includes program code for controlling the pressure in chamber 213 by regulating the size of the opening of the throttle valve in the exhaust system of chamber 213. When pressure control subroutine 485 is invoked, the desired target pressure level is received as the parameter from chamber manager subroutine 477 a. Pressure control subroutine 485 operates to measure the pressure in chamber 213 by reading one or more pressure meters connected to the chamber, comparing the measured value(s) to the target pressure, obtaining PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and adjusting the throttle valve according to the PID value obtained from the pressure table.
  • Alternatively, [0090] pressure control subroutine 485 can be written to open or close the throttle valve to a particular opening size to regulate chamber 213 to the desired pressure. Heater control subroutine 487 includes program code for controlling the current to light pipe assembly 218 that is used to heat substrate 100 in chamber 213. Heater control subroutine 487 is also invoked by chamber manager subroutine 477 a and receives a targeted setpoint temperature parameter.
  • [0091] Heater control subroutine 487 measures the temperature by temperature signals delivered from fiber optic probes 271, compares the measured temperature to the set point temperature, and increases or decreases the current applied to light pipe assembly 218 to obtain the set point temperature. The temperature is obtained from the measured value by looking upon the corresponding temperature in a stored conversion table or by calculating the temperature using a fourth order polynomial.
  • In addition to passing information to a particular chamber manager subroutine, such as [0092] chamber manager subroutine 477 a, process sequencer subroutine 475 causes the execution of the process set by passing the particular process set parameter to energy source manager subroutines 478 a-478 c that control multiple processing steps associated with energy source 450 determined by process sequencer subroutine 475. For example, energy source manager subroutine 478 a includes program code for controlling the generation of excitation energy. Energy source manager subroutine 478 a may also monitor dummy load 425 and tuner 400 to verify that any reflected energy is redirected toward plasma applicator 300 to increase the energy supply to plasma applicator 300.
  • The process for controlling the flow of process gas to [0093] chamber 213 can be implemented using a computer program product that is executed by system controller 260. A computer code can be written in a computer readable programming language, such as for example, 68000 assembly language C, C++, Pascal, Fortran, or others. Suitable program code is generally entered in a single file or multiple files, using a text editor, and stored or embodied in a computer usable medium, such as the memory system of a computer. As the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled object code. The system invokes the object code, causing the computer system to load the code in memory, from which the central processing unit (CPU) reads and executes the code to perform the task identified in the program.
  • A method of generating a plasma of radicals in a process chamber according to an embodiment of the invention is illustrated in [0094] flow chart 500 of FIG. 10. The method of this embodiment will be described with respect to a nitrogen plasma reaction process using the remote plasma system described in FIGS. 3A-3B and 4. Additionally, the remote plasma generation process of the invention will be described with respect to the reaction of nitrogen radicals with an SiO2 layer, such as a gate oxide, on silicon wafer or substrate 100 as illustrated in FIGS. 1 and 2. Such a process might be used, for example, to create gate dielectrics having an appropriate dopant barrier layer for high performance transistor devices.
  • The formation of a layer of SiO[0095] 2 may be accomplished in the same reaction chamber as the plasma nitridation reaction of the invention. Alternatively, SiO2 formation may be accomplished in one chamber, while the plasma nitridation reaction takes place in a second chamber. The two chambers may be joined to each other via a load-lock.
  • Prior to initiating the process, however, the leak rate for the chamber in which the nitridation reaction takes place is determined. Specifically, the leak rate should be relatively low, for example, less than about 3 to 4 milliTorr per minute to ensure that the nitridation process is effective. The leak rate for a particular process chamber is determined by pumping the chamber down to a very low pressure, for example, about 30 milliTorr, and then isolating the chamber from the pump. Then, after a certain period of time, for example, about 10 to 30 minutes, the pressure in the chamber is measured to determine the leak rate. If the leak rate exceeds the predetermined minimum, then the chamber leaks, such as would occur at the vacuum seals, need to be eliminated or reduced. [0096]
  • If the processes are carried out in the same chamber, the first process step, as set forth in [0097] block 502 of FIG. 10, is to move wafer or substrate 100 into chamber 213. Wafer or substrate 100 can generally be transferred by a robotic arm from a load lock through slit valve 272 and placed onto support ring 262 located in chamber 213. Wafer or substrate 100 will generally be transferred into chamber 213 having an inert N2 ambient at a transfer pressure of approximately 20 Torr. Chamber 213 is then sealed. Next, the pressure in chamber 213 is further reduced by evacuating the N2 ambient through gas outlet 270. Chamber 213 is evacuated to a pressure sufficient to remove the N2 ambient.
  • In accordance with this embodiment of the invention, silicon wafer or [0098] substrate 100 is oxidized to form a layer of SiO2 by one of several known processes. For example, the oxidation may be carried out in a 100% oxygen (O2) or dry O2 environment at 1050° C. for 50 seconds to yield an oxide layer having a thickness of 50Å. Alternatively, the process gas may include a reactant gas mixture comprising two reacting gases: a hydrogen-containing gas and an oxygen-containing gas that can be reacted together to form steam or water vapor at temperatures between 400-1250° C. The hydrogen-containing gas may be hydrogen (H), or other hydrogen-containing gases such as, but not limited to ammonia (NH3), deuterium (heavy hydrogen), and hydrocarbons such as methane (CH4). The oxygen-containing gas is preferably an O2 gas but may be other types of oxygen-containing gases such as, but not limited to, nitrous oxide (N2O).
  • It has been found that the addition of a hydrogencontaining gas to an oxygen-containing gas increases the oxidation rate on the substrate. This increased rate is particularly noted by carrying out the reaction of the hydrogencontaining gas and the oxygen-containing gas inside [0099] chamber 213 or “in situ”. The gases are caused to react at or near the substrate by the heat generated by wafer or substrate 100. Accordingly, the oxygen-containing gas and the hydrogen-containing gas are mixed together in chamber 213 to form the reacting gas mixture with the partial pressure of the reacting gas mixture (i.e., the combined partial pressure of the hydrogen-containing gas and the oxygen-containing gas) controlled to ensure safe reacting conditions. Such safe reacting conditions are maintained by back filling chamber 213 with process gas such that the partial pressure of the reacting gas mixture is less than the partial pressure at which spontaneous combustion of the entire volume of the desired concentration ratio of reacting gas will not produce a detonation pressure wave of a predetermined amount. The predetermined amount is the amount of pressure that chamber 213 can reliably handle without failing. An in situ method such as described using 1% H2 gas and 99% O2 gas for 10 seconds will produce oxide on a silicon wafer or substrate 100 having a thickness of 30 Å at a temperature of 950°.
  • In addition to the in situ process described above, one oxidation process particularly useful for a gate oxide is the oxidation of wafer or [0100] substrate 100 by an oxygen-containing gas of N2O. N2O forms an acceptable oxide at 1050° C. (e.g., 40Å in 60 seconds). One advantage of using an oxygen-containing gas of N2O is that the N2O oxidation places approximately 0.5% of nitrogen-containing material at interface 105 of SiO2 layer 110 and silicon substrate 100. It has been determined that the presence of nitrogen in the range of about 0.5% at the dielectric layer/silicon interface suppresses hot channel carriers, i.e., carriers moving through a transistor channel that might penetrate the gate dielectric. Thus, the presence of nitrogen in amounts around 0.5% at the interface improves the oxide integrity and reliability.
  • Once the desired SiO[0101] 2 layer 110 is formed, chamber 213 of RTP apparatus 200 is cooled and purged in preparation for the nitridation reaction.
  • Next, the reaction temperature of the nitridation reaction is established as set forth in [0102] Step 503 of FIG. 10. Power to lamps 219 is increased to ramp-up the temperature of wafer or substrate 100 to process temperature. Wafer or substrate 100 is preferably ramped from the stabilization temperature to process temperature at a rate between 10-100° C./second. The process temperature for nitridation of oxide may be about 600° C. It is to be appreciated, however, that the nitridation can be accomplished at various process times and temperatures, including from about room temperature to about 1,100° C.
  • Next, as set forth in [0103] block 504 of FIG. 10, the power to energy source 450 is set and the appropriate frequency, such as for example, a microwave frequency of 2.45 gigahertz is established. Excitation energy is then supplied to plasma applicator 300 through energy source inlet 380 in plasma applicator 300. Next, as set forth in block 506, a nitrogen source gas is introduced into plasma applicator 300 at a desired flow rate. The nitrogen process gas moves through tube 320 and past energy source inlet 380. The process gas proceeds through inlet member 360 into chamber 213. As the nitrogen source gas passes energy source inlet 380, the excitation energy supplied by energy source 450 converts the nitrogen source gas to nitrogen plasma in tube 320 of plasma applicator 300 (Step 508). The nitrogen plasma is predominantly N* radicals, positively charged nitrogen ions, and electrons. In general, the positively charged ions have a shorter life than uncharged N* radicals. It is believed that the positively charged nitrogen ions quickly seek out electrons present in the plasma and combine with the electrons in tube 320 to become charge neutral (Step 510).
  • According to the invention, when the plasma is transferred from [0104] plasma applicator 300 to chamber 213 of RTP apparatus 200 and to the surface of the substrate, the plasma is made up primarily of charge neutral gas and plasma radicals. The plasma radicals predominantly react or interact with SiO2 layer 110 on wafer or substrate 100 (step 512) of FIG. 9. Any electrical bias present in chamber 213 of RTP apparatus 200 is discontinued as any electrical bias may attract positively charged nitrogen ions into chamber 213. Once the bias is eliminated, if present, and the stabilization temperature and the pre-reaction pressure are reached, chamber 213 is backfilled with the desired mixture of process gas, i.e., radicals (step 514). In the case of a nitrogen plasma, the nitrogen in the plasma available for reaction with SiO2 layer 110 are N* radicals.
  • As set forth in [0105] block 518, once the reaction temperature is reached, the nitridation reaction is held for an appropriate reaction time, in this case, an appropriate reaction time to incorporate enough nitrogen in a gate oxide to act as a barrier layer to gate dopants. Process time and temperature are generally dictated by the amount of nitrogen desired to be incorporated into the gate oxide, the thermal budget, the purpose of the nitridation, and the amount of flow rate of the process gases.
  • Next, as et forth in [0106] block 520, power to lamps 219, if on, is reduced or turned off to reduce the temperature of wafer or substrate 100. The temperature of wafer or substrate 100 decreases (ramps down) as fast as it is able to cool down (at about 50° C./second). Simultaneously, N2 purge gas is fed into chamber 213. In general, the nitridation reaction ceases when the radicals of the plasma are no longer present. Thus, the nitridation reaction does not significantly continue in the presence of the N2 purge gas. The chamber is then backfilled with N2 gas to the desired transfer pressure of approximately 20 Torr and wafer or substrate 100 is transferred out of chamber 213 to complete the process (Step 522). At this time, a new wafer or substrate may be transferred into chamber 213 and the process set forth in flow chart 500 repeated.
  • FIG. 11 shows a Secondary Ion Mass Spectroscopy (SIMS) profile of a silicon substrate containing a dielectric layer formed by nitridation of an oxide film as described above. The SIMS profile of FIG. 10 illustrates the atomic profile of a dielectric from the surface (0 depth) to the interface of the dielectric layer and the silicon substrate. Thus, the depth represents the depth into the dielectric layer. [0107]
  • FIG. 11 shows the profile of a nitrogen-containing dielectric material formed on a silicon wafer or substrate according to a first set of reaction conditions. In this case, the nitridation is carried out on a wafer having a SiO[0108] 2 layer. The plasma nitridation according to the invention is carried out at 550° C. for 240 seconds at 1.10 Torr process pressure. The nitrogen plasma is produced by the addition to plasma applicator 300 of an N2 gas at a flow rate of 3 standard liters per minute (SLM). Plasma applicator 300 includes a quartz tube (tube 320) of 12 inches with a one inch diameter. The overall length l2 of inlet member 360 is about 2.25 inches, and it includes sleeve 360 f with an inside diameter of about 0.55 of an inch. The N* radicals are produced through contact with an excitation energy of a microwave frequency (2.45 gigahertz frequency) generated at a power of approximately 3000 watts. The process gas flow rate, inlet member 360 and plasma applicator 300 are determined and sized, respectively, so that substantially all of the positively charged ions generated by the plasma become charge neutral in the plasma applicator.
  • FIG. 11 illustratively shows that the majority of the nitrogen-containing material present in the dielectric layer (and attributable to the nitrogen plasma reaction) is toward the surface of the dielectric layer (i.e., within 15 Å of the surface of the dielectric layer) and not at the dielectric layer/silicon substrate interface. [0109]
  • FIG. 12 schematically illustrates a side view of a [0110] dielectric layer 620 formed on a substrate or wafer 600 using a plasma nitridation process in accordance with the first set of reaction conditions. FIG. 12 shows that dielectric layer 620, having an overall thickness of approximately 22 Å, has the highest concentration of nitrogen within 15 Å of the surface of dielectric layer 620.
  • A common way to measure the thickness of [0111] dielectric layer 620 is by measuring the speed of a light ray through dielectric layer 620. Since an SiO2 dielectric material has a known refractive index (approximately 1.46), the change in the speed of the light ray through an SiO2 dielectric layer provides a determination of the thickness of the dielectric layer.
  • One theory of the nitridation reaction of the invention is that N* radicals displace oxygen atoms and form Si[0112] 3N4 and SixNyOz molecules. Si3N4 and SixNyOz have a refractive index greater than SiO2. Thus, the effect of a nitridation reaction will produce a change in the apparent thickness of dielectric layer 620. The change is referred to as “apparent”, because, although the actual thickness may be similar before and after a nitridation reaction that results in the replacement of oxygen atoms, there will be a change in the refractive index and the change in the refractive index of the material will effect the thickness measurement.
  • A measurement of the areal density of the nitrogen containing material in the surface of [0113] dielectric layer 620 shows an areal density measurement of nitrogen of 8.1E14/cm2. An areal density measurement determines the amount of nitrogen present in the surface area of dielectric layer 620.
  • The method described above with respect to flow chart [0114] 500 (FIG. 10) may be modified by mixing helium with nitrogen and forming a plasma of that source gas. The addition of helium produces a hotter plasma which increases nitridation. Helium may comprise up to about 95 percent of this gas source. Specifically, helium may comprise about 20 to 80 percent of the gas source, and more specifically, it may comprise about 50 to 80 percent of the gas source. Another inert gas, such as xenon, may possibly be used in place of helium.
  • As noted above, the method may also be run at different process temperatures. For instance, a “built-in” anneal is provided if the nitridation process is carried out at about 1,000° C. or even at 1,1000° C. The temperature at which this effect is produced is a function of the nitridation process time. Thus, for process times between about 60 to 300 seconds, the nitridation process may be carried out at temperatures between about 800 and 1,1000° C. to provide the “built-in” anneal. [0115]
  • The process temperature and the use of helium may be thought of as critical “knobs” for controlling the nitridation profile. [0116]
  • FIG. 13 shows the SIMS profile of a nitrogen-containing dielectric layer formed on a silicon substrate or wafer with a plasma nitridation of SiO[0117] 2 under a second set of reaction conditions. The plasma nitridation of FIG. 13 takes place at 550° C. for 240 seconds at a process pressure of 1.15 Torr. The plasma is produced by flowing a mixture of N2 and He (20 percent He) as the process gas at a flow rate of 3 SLM through plasma applicator 300 (12 inch length, one inch diameter) and inlet member 360 (2.25 inch length, 0.55 inch diameter), and exposing the gas mixture to an excitation energy having a microwave frequency (2.45 gigahertz frequency) at approximately 3000 watts power. The process gas flow rate, inlet member 360 and plasma applicator 300 are determined and sized, respectively, so that substantially all of the positively charged nitrogen ions generated by the plasma become charge neutral in plasma applicator 300. The nitridation reaction with the SiO2 layer is carried out, in this example, for 240 seconds. FIG. 13 shows that the plasma nitridation results in the majority of the nitrogen-containing material being present at the surface of the dielectric layer (i.e., within 15 Å of the depth of the approximately 22 Å thick dielectric layer) not at the dielectric layer/silicon wafer interface.
  • FIG. 14 schematically illustrates a side view of a [0118] dielectric layer 720 formed on a wafer 700 using a plasma nitridation process in accordance with the second set of reaction conditions. A 22 Å thick dielectric layer 720 has the highest concentration of nitrogen containing material (presumably in the form of Si3N4 and SixNyOz) within 15 Å of the surface of dielectric layer 820. An areal density measurement of nitrogen in the surface of dielectric layer 720 is 1.1E15/cm2.
  • FIGS. 13 and 14 also show that the nitrogen concentration is much greater near the surface of the dielectric layer than in the case of the process of FIG. 11. This is due to the addition of He to the process gas which increases nitridation. [0119]
  • FIG. 15 shows the SIMS profile of a nitrogen-containing dielectric layer formed on a silicon substrate or wafer with a plasma nitridation of SiO[0120] 2under a third set of reaction conditions. The plasma nitridation of FIG. 15 takes place at 1000° C. for 240 seconds at a process pressure of 1.10 Torr. The nitrogen plasma is produced by flowing a N2process gas of 3SLM through plasma applicator 300 (12 inch length, one inch diameter) and inlet member 360 (2.25 inch length, 0.55 inch diameter), and exposing the N2 gas to an excitation energy having a microwave frequency (2.45 gigahertz frequency) at approximately 3000 watts power. The process gas flow rate, inlet member 360 and plasma applicator 300 are determined and sized, respectively, so that substantially all of the positively charged nitrogen ions generated by the plasma become charge neutral in plasma applicator 300. The nitridation reaction with the SiO2 layer is carried out, in this example, for 240 seconds. FIG. 15 shows that nitridation profile can be changed by running the process at a higher temperature. This plasma nitridation results in the majority of the nitrogen-containing material being present throughout the depth of the approximately 22 Å thick dielectric layer.
  • FIG. 16 schematically illustrates a side view of a [0121] dielectric layer 820 formed on a wafer 800 using a plasma nitridation process in accordance with the third set of reaction conditions. A 22 Å thick dielectric layer 820 has a high concentration of nitrogen containing material (presumably in the form of Si3N4 and SixNyOz) throughout its entire depth. An areal density measurement of nitrogen in the surface of dielectric layer 920 is 2.5E15/cm2.
  • The above reactions demonstrate that a nitridation of an oxide can be effectively produced with a plasma of predominantly radicals (e.g., N*). In addition, the reactions demonstrate that a nitridation by radicals (e.g., N*) results in an effective barrier layer being placed at the surface of the oxide and not at the interface between the oxide and the silicon wafer or substrate. In this manner, a plasma nitridation process that results in the formation of a barrier layer at the surface of the dielectric allows the incorporation of a barrier layer into, for example, a gate dielectric, that does not degrade transistor device performance. Because the reaction occurs primarily between N* radicals and oxide (SiO[0122] 2), the nitridation (or the formation of the barrier layer) may be accomplished rapidly and, in one embodiment, without the addition of heat. Accordingly, the plasma nitridation with N* radical offers a manner of incorporating a barrier material on an oxide that does not require a significant thermal budget.
  • The above invention has been described with reference to a nitrogen plasma reaction with an oxide (SiO[0123] 2) or other material on a silicon substrate or wafer. As noted, however, the techniques described are not to be limited to nitridation of a material on a silicon substrate or wafer. Instead, the techniques may be used whenever plasma incorporation processes are practiced with a view towards efficiently incorporating the material and managing a thermal budget. In general, the invention recognizes the effectiveness of reacting a plasma of predominantly radicals with a substrate or a material on a substrate.
  • When the nitridation process is performed on a wafer or substrate with a gate SiO[0124] 2 on it, large amounts of nitrogen can be incorporated into the SiO2 film. The optical properties of the SiO2 film change due to the presence of the nitrogen. This change in optical properties can be used as a process monitor. If an ellipsometry is used with SiO2 film constants to measure the nitrided SiO2 layer, there is a thickness increase over and above that of the same un-nitrided film due to the presence of the nitrogen. This optical thickness increase or delta has been shown to correlate with the nitrogen dose as measured by SIMS, as shown by FIG. 17. Since SIMS is an expensive and time consuming test, this correlation process is a very useful tool for process development and process control in a manufacturing environment.
  • An embodiment of the above-discussed process monitor is the use of an “in-situ” ellipsometry—in a cool down chamber coupled to the process chamber, for example—which can perform an optical thickness measurement immediately after the nitridation process has been completed. The thickness data can then be converted to nitrogen dose information by [0125] system controller 260. The ellipsometry will also be useful in measuring very thin gate oxides that are grown in the process chamber prior to nitridation. Consistent measurement of these films after the process, prior to breaking vacuum, is potentially very important.
  • A novel apparatus and method for incorporating a plasma have been described. [0126]

Claims (38)

What is claimed is:
1. An apparatus, comprising:
a first reaction chamber;
a gas source coupled to the first reaction chamber to supply a gas to the first reaction chamber including constituents adapted to react with a substrate in a process step;
an excitation energy source coupled to the first reaction chamber to generate a plasma including ions and radicals from the gas; and
a second reaction chamber adapted to house a substrate at a site in the second reaction chamber wherein the first reaction chamber is coupled to the second reaction chamber by an inlet member and radicals of the plasma flow through the inlet member into the second reaction chamber, the inlet member including a passageway having a cross-sectional dimension selected such that during processing the pressure in the second reaction chamber is less than the pressure in the first reaction chamber.
2. The apparatus of claim 1 wherein the second reaction chamber is a rapid thermal processing chamber.
3. The apparatus of claim 2 wherein the excitation energy source includes a microwave cavity and a microwave generator to provide a microwave field to the microwave cavity.
4. The apparatus of claim 1 wherein the inlet member passageway includes a main passageway and two passageways which diverge from the main passageway in a direction toward the substrate site in the second reaction chamber.
5. The apparatus of claim 1 wherein the passageway of the inlet member is coupled to an output end of the excitation energy source and a sleeve is located in the passageway, the sleeve being made of a material different from that of the inlet member.
6. The apparatus of claim 5 wherein the sleeve is made of silicon, silicon nitride, boron nitride, carbon nitride, or Al2O3.
7. The apparatus of claim 5 wherein the cross-sectional dimension of the sleeve is such that during processing the pressure in the second reaction chamber is less than the pressure in the first reaction chamber.
8. The apparatus of claim 1 further including a rapid thermal processing chamber coupled to the second reaction chamber by a load lock.
9. The apparatus of claim 1 wherein the pressure in the second reaction chamber is between about 0.50 and 4.0 Torr and the pressure in the first reaction chamber is between about 1.00 and 8.0 Torr.
10. The apparatus of claim 1 wherein the inlet member includes a main passageway and a face thereof including a plurality of openings.
11. An apparatus, comprising:
a first reaction chamber;
a gas source coupled to the first reaction chamber to supply a gas to the first reaction chamber including constituents adapted to react with a substrate in a process step;
an excitation energy source coupled to the first reaction chamber to generate a plasma including ions and radicals from the gas; and
a second reaction chamber adapted to house a substrate at a site in the second reaction chamber wherein the first reaction chamber is coupled to the second reaction chamber by an inlet member configured to fit within a pre-existing opening in a wall of the second reaction chamber and radicals of the plasma flow through the inlet member into the second reaction chamber, the inlet member including a passageway having a cross-sectional dimension selected such that during processing the pressure in the second reaction chamber is less than the pressure in the first reaction chamber.
12. The apparatus of claim 11, wherein the second reaction chamber is a rapid thermal processing chamber.
13. The apparatus of claim 11 where an interior wall of the second reaction chamber is curved and a face of the inlet member is curved to substantially correspond to the curvature of the interior wall.
14. The apparatus of claim 11 wherein an orifice is provided at an outlet of the first reaction chamber and a cross-sectional dimension of the orifice is selected to provide a pressure in the first reaction chamber which is greater than a pressure in the second reaction chamber during processing.
15. The apparatus of claim 11 further including a rapid thermal processing chamber coupled to the second reaction chamber by the load lock.
16. An apparatus for nitridation, comprising:
a process chamber in which a substrate can be positioned during processing;
a first inlet into the process chamber through which a first process gas can be introduced into the process chamber from a first process gas source;
a reaction chamber;
a second process gas source coupled to the reaction chamber to supply a second process gas thereto;
an excitation energy source coupled to the reaction chamber to generate a discharge in the second process gas as it flows from the second process gas source through the reaction chamber; and
an inlet member coupled between an outlet of the reaction chamber and a second inlet into the process chamber, the inlet member including a passageway having a cross-sectional dimension selected such that during processing the pressure in the process chamber is less than the pressure in the reaction chamber and the inlet member is configured to fit within a pre-existing opening in a wall of the process chamber.
17. The apparatus of claim 16 wherein the first process gas is selected from the group, comprising:
oxygen, oxygen and hydrogen, nitrous oxide and hydrogen, and a mixture of oxygen, hydrogen and nitrogen.
18. The apparatus of claim 17 wherein the second process gas is selected from the group, comprising: nitrogen, and a mixture of nitrogen and helium.
19. The apparatus of claim 16 wherein the process chamber is a rapid thermal processing chamber.
20. The apparatus of claim 19 wherein the excitation energy source includes a microwave cavity and a microwave generator to provide a microwave field to the microwave cavity.
21. The apparatus of claim 16 further including a valve to selectively provide fluid communication between the first inlet and the first process gas source, and the second inlet and the second process gas source.
22. The apparatus of claim 16 wherein the inlet member passageway includes a main passageway and two passageways which diverge from the main passageway in a direction toward an interior of the process chamber.
23. The apparatus of claim 16 wherein the inlet member includes a main passageway and a face thereof including a plurality of openings.
24. The apparatus of claim 16 wherein the inlet member passageway is coupled to an output end of the excitation energy source and a sleeve is located in the passageway, the sleeve being made of a material different from that of said inlet member.
25. The apparatus of claim 24 wherein the sleeve is made of silicon, silicon nitride, boron nitride, carbon nitride, or Al2O3.
26. The apparatus of claim 24 wherein the cross-sectional dimension of the sleeve is such that during processing the pressure in the process chamber is less than the pressure in the reaction chamber.
27. A method for remote plasma nitridation, comprising:
generating a plasma including ions and radicals in a reaction chamber;
providing a substrate having an oxide thereon in a rapid thermal processing chamber remote from the reaction chamber;
transferring radicals of the plasma from the reaction chamber into the rapid thermal processing chamber wherein the pressure within the reaction chamber is greater than the pressure in the rapid thermal processing chamber;
reacting a portion of the oxide and a portion of the plasma in the rapid thermal processing chamber; and
forming a nitrogen containing material in a portion of the oxide on the substrate.
28. The method of claim 27 wherein the pressure in the rapid thermal processing chamber is about 0.50 to 4.0 Torr and the pressure in the reaction chamber is about 1.0 to 8.0 Torr.
29. A method for remote plasma nitridation, comprising:
providing a substrate having an oxide layer on a surface thereof in a rapid thermal processing chamber;
generating a plasma in a reaction chamber from a gas including a mixture of nitrogen and an inert gas, the plasma comprising ions and radicals;
transferring the radicals of the plasma into the rapid thermal processing chamber; and
reacting a portion of the oxide layer and a portion of the plasma to nitrates a portion of the oxide layer on the surface of the substrate.
30. The method of claim 29 wherein the inert gas is helium.
31. The method of claim 30 wherein the gas mixture comprises no more than about 95 percent helium.
32. The method of claim 30 wherein the gas mixture comprise about 20 to 80 percent helium.
33. The method of claim 30 wherein the gas mixture comprises about 20 percent helium.
34. A method for remote plasma nitridation, comprising:
generating a plasma including ions and radicals in a reaction chamber;
providing a substrate having an oxide thereon in a rapid thermal processing chamber remote from the reaction chamber;
transferring radicals of the plasma into the rapid thermal processing chamber; and
reacting a portion of the oxide and a portion of the plasma in the rapid thermal processing temperature at a temperature of between about 800 and 1,100° C. for a period of time between about 60 and 300 seconds to form a nitrogen containing material in a portion of the oxide on the substrate.
35. The method of claim 34 where the reacting step takes place at a temperature of about 1,000° C. for about 240 seconds.
36. The method of claim 34 wherein the step of forming a nitrogen containing material includes forming one of a silicon nitride and a silicon oxynitride.
37. A method, comprising:
positioning a substrate in a rapid thermal processing chamber;
introducing a first process gas into the processing chamber through a first gas inlet to deposit a film on the substrate during rapid thermal processing of the substrate;
introducing a second process gas into a reaction chamber remote from the processing chamber to generate a plasma of the second process gas; and
flowing the plasma of the second process gas from the reaction chamber into the processing chamber through a second gas inlet at a first pressure which is greater than a second pressure in the processing chamber to alter the dielectric properties of the film on the substrate.
38. The method of claim 37 wherein the second pressure is between about 0.50 and 4.0 Torr and the first pressure between is about 1.0 and 8.0 Torr.
US09/439,476 1999-04-22 1999-11-12 Apparatus for exposing a substrate to plasma radicals Expired - Lifetime US6450116B1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US09/439,476 US6450116B1 (en) 1999-04-22 1999-11-12 Apparatus for exposing a substrate to plasma radicals
EP00923564A EP1196938A2 (en) 1999-04-22 2000-04-21 Apparatus and method for exposing a substrate to plasma radicals
PCT/US2000/010733 WO2000065631A2 (en) 1999-04-22 2000-04-21 Apparatus and method for exposing a substrate to plasma radicals
KR1020017013512A KR20010110795A (en) 1999-04-22 2000-04-21 Apparatus and method for exposing a substrate to plasma radicals
JP2000614482A JP2002543584A (en) 1999-04-22 2000-04-21 Apparatus and method for exposing a substrate to plasma radicals
TW089107786A TW512458B (en) 1999-04-22 2000-04-24 Apparatus and method for exposing a substrate to plasma radicals
TW089107785A TW512457B (en) 1999-04-22 2000-06-26 Apparatus and method for exposing a substrate to a plasma radicals

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29806499A 1999-04-22 1999-04-22
US09/439,476 US6450116B1 (en) 1999-04-22 1999-11-12 Apparatus for exposing a substrate to plasma radicals

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US29806499A Continuation-In-Part 1999-04-22 1999-04-22

Publications (2)

Publication Number Publication Date
US20020073925A1 true US20020073925A1 (en) 2002-06-20
US6450116B1 US6450116B1 (en) 2002-09-17

Family

ID=26970452

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/439,476 Expired - Lifetime US6450116B1 (en) 1999-04-22 1999-11-12 Apparatus for exposing a substrate to plasma radicals

Country Status (6)

Country Link
US (1) US6450116B1 (en)
EP (1) EP1196938A2 (en)
JP (1) JP2002543584A (en)
KR (1) KR20010110795A (en)
TW (2) TW512458B (en)
WO (1) WO2000065631A2 (en)

Cited By (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020043274A1 (en) * 2000-08-18 2002-04-18 Mayes Steven K. Method and system for processing semiconductor wafers
US20030170945A1 (en) * 2001-12-07 2003-09-11 Tokyo Electron Limited Radical processing of a sub-nanometer insulation film
US6638877B2 (en) * 2000-11-03 2003-10-28 Texas Instruments Incorporated Ultra-thin SiO2using N2O as the oxidant
WO2004070796A2 (en) * 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US20040224534A1 (en) * 2002-12-18 2004-11-11 Beulens Jacobus Johannes Method of fabricating silicon nitride nanodots
US20040235311A1 (en) * 2001-08-02 2004-11-25 Toshio Nakanishi Base method treating method and electron device-use material
US20050118837A1 (en) * 2002-07-19 2005-06-02 Todd Michael A. Method to form ultra high quality silicon-containing compound layers
US20050164523A1 (en) * 2001-12-18 2005-07-28 Tokyo Electron Limited Substrate treating method
US20050176223A1 (en) * 2002-05-16 2005-08-11 Tokyo Electron Limited Substrate processing method
US20050173057A1 (en) * 2003-08-29 2005-08-11 Tadatomo Suga Method for bonding substrates and method for irradiating particle beam to be utilized therefor
US20060003100A1 (en) * 2002-12-12 2006-01-05 Sarin Vinod K CVD process to deposit aluminum oxide coatings
US20060060137A1 (en) * 2004-09-22 2006-03-23 Albert Hasper Deposition of TiN films in a batch reactor
EP1661163A2 (en) * 2003-08-26 2006-05-31 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20060130743A1 (en) * 2001-05-30 2006-06-22 Brabant Paul D Low temperature load and bake
US20060134926A1 (en) * 2003-05-07 2006-06-22 Yao-Hui Huang Method for increasing polysilicon grain size
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US20060205188A1 (en) * 2003-11-14 2006-09-14 Tokyo Electron Limited Plasma igniting method and substrate processing method
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070141812A1 (en) * 2005-12-16 2007-06-21 Zagwijn Peter M Low temperature doped silicon layer formation
US20070166966A1 (en) * 2004-09-03 2007-07-19 Asm America, Inc. Deposition from liquid sources
WO2008091613A1 (en) * 2007-01-25 2008-07-31 Btu International, Inc. Microwave hybrid and plasma rapid thermal processing of semiconductor wafers
US20080286981A1 (en) * 2007-05-14 2008-11-20 Asm International N.V. In situ silicon and titanium nitride deposition
US20090004883A1 (en) * 2005-09-16 2009-01-01 Das Mrinal K Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US20090045447A1 (en) * 2007-08-17 2009-02-19 Micron Technology, Inc. Complex oxide nanodots
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20100136772A1 (en) * 2008-12-02 2010-06-03 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7915177B2 (en) 2004-10-28 2011-03-29 Toyko Electron Limited Method of forming gate insulation film, semiconductor device, and computer recording medium
US20130112670A1 (en) * 2011-11-08 2013-05-09 Hitachi High-Technologies Corporation Heat treatment apparatus
WO2013138049A1 (en) * 2012-03-12 2013-09-19 Synos Technology, Inc. Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation
CN103718278A (en) * 2011-08-10 2014-04-09 应用材料公司 Method and apparatus for selective nitridation process
WO2014116520A1 (en) * 2013-01-22 2014-07-31 Veeco Ald Inc. Cascaded plasma reactor
US8852695B2 (en) * 2012-09-10 2014-10-07 The Research Foundation For The State University Of New York Optical barriers, waveguides, and methods for fabricating barriers and waveguides for use in harsh environments
US9831301B1 (en) * 2016-09-19 2017-11-28 International Business Machines Corporation Metal resistor structures with nitrogen content
CN108109900A (en) * 2016-11-24 2018-06-01 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its manufacturing method
US20190067051A1 (en) * 2017-08-30 2019-02-28 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and heat treatment method
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
WO2019147450A1 (en) * 2018-01-24 2019-08-01 Applied Materials, Inc. Side inject designs for improved radical concentrations
KR20190105130A (en) * 2017-02-03 2019-09-11 어플라이드 머티어리얼스, 인코포레이티드 Systems and Methods for Radial and Azimuth Control of Plasma Uniformity
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20200003426A (en) * 2017-05-31 2020-01-09 어플라이드 머티어리얼스, 인코포레이티드 Remote plasma oxidation chamber
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
DE102019107491A1 (en) * 2019-03-11 2020-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Setting the threshold voltage by metastable plasma treatment
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US20210159052A1 (en) * 2019-11-27 2021-05-27 Applied Materials, Inc. Processing Chamber With Multiple Plasma Units
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20220223383A1 (en) * 2019-04-05 2022-07-14 Applied Materials, Inc. Process system with variable flow valve
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11479857B2 (en) * 2019-09-19 2022-10-25 Applied Materials, Inc. Clean isolation valve for reduced dead volume
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20230082812A1 (en) * 2018-08-27 2023-03-16 Shin-Etsu Chemical Co., Ltd. Film forming method
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
US11732355B2 (en) * 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
USD1023987S1 (en) 2018-01-24 2024-04-23 Applied Materials, Inc. Chamber inlet

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6682913B1 (en) * 1999-02-03 2004-01-27 Institute For Drug Research Ltd. Microbial process for preparing pravastatin
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
KR100767762B1 (en) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6833329B1 (en) 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6660657B1 (en) 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
JP4000762B2 (en) * 2000-09-07 2007-10-31 ウシオ電機株式会社 Processing equipment
JP4587251B2 (en) * 2001-02-21 2010-11-24 株式会社半導体エネルギー研究所 Heat treatment equipment
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
JP4559739B2 (en) * 2002-03-29 2010-10-13 東京エレクトロン株式会社 Material for electronic device and manufacturing method thereof
US6699796B2 (en) * 2002-06-14 2004-03-02 Macronix International Co., Ltd. Single chip pad oxide layer growth process
AU2003281421A1 (en) * 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analysis of plasma parameters
US6968850B2 (en) * 2002-07-15 2005-11-29 Intel Corporation In-situ cleaning of light source collector optics
EP1522090A4 (en) * 2002-07-15 2006-04-05 Aviza Tech Inc Thermal processing system and configurable vertical chamber
CN1679136A (en) * 2002-08-30 2005-10-05 艾克塞利斯技术公司 Gas tube end cap for a microwave plasma generator
US6893978B1 (en) 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
KR100576503B1 (en) * 2003-01-07 2006-05-10 주식회사 하이닉스반도체 Method of forming a gate oxide in a semiconductor device
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US7087440B2 (en) * 2003-05-23 2006-08-08 Texas Instruments Corporation Monitoring of nitrided oxide gate dielectrics by determination of a wet etch
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
JP2006093218A (en) * 2004-09-21 2006-04-06 Sharp Corp Lamp heating device and manufacturing method of semiconductor device
KR100643493B1 (en) * 2004-09-23 2006-11-10 삼성전자주식회사 Method for forming silicon oxynitride layer in semiconductor device and fabricating equipment thereof
JP2006165516A (en) 2004-11-12 2006-06-22 Tokyo Electron Ltd Attachment detecting method of thermally treated substrate, thermal treatment apparatus, program, and computer-readable recording medium with program stored therein
KR100707983B1 (en) * 2005-11-28 2007-04-16 주식회사 에이이티 Atomic layer etching method for silicon dioxide film
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
US7635655B2 (en) * 2006-03-30 2009-12-22 Tokyo Electron Limited Method for replacing a nitrous oxide based oxidation process with a nitric oxide based oxidation process for substrate processing
KR100814409B1 (en) * 2006-08-14 2008-03-18 삼성전자주식회사 Method of ashing an object and apparatus for performing the same
JP2008270764A (en) * 2007-03-29 2008-11-06 Hitachi Kokusai Electric Inc Substrate processing device and method for manufacturing semiconductor in the substrate processing device
US7534731B2 (en) * 2007-03-30 2009-05-19 Tokyo Electron Limited Method for growing a thin oxynitride film on a substrate
US20080249885A1 (en) * 2007-04-03 2008-10-09 Yahoo! Inc. Systems and methods for providing an internet asset marketplace
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
US7659214B2 (en) * 2007-09-30 2010-02-09 Tokyo Electron Limited Method for growing an oxynitride film on a substrate
TW201001527A (en) * 2008-02-29 2010-01-01 Applied Materials Inc Method and apparatus for removing polymer from a substrate
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8435906B2 (en) * 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
TWI590335B (en) * 2010-08-18 2017-07-01 半導體能源研究所股份有限公司 Film formation apparatus and film formation method
JP5750339B2 (en) * 2011-08-31 2015-07-22 株式会社ニューフレアテクノロジー Vapor phase growth method and vapor phase growth apparatus
TWI549163B (en) * 2011-09-20 2016-09-11 應用材料股份有限公司 Surface stabilization process to reduce dopant diffusion
CN104106128B (en) 2012-02-13 2016-11-09 应用材料公司 Method and apparatus for the selective oxidation of substrate
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
WO2016153716A1 (en) * 2015-03-20 2016-09-29 Applied Materials, Inc. An atomic layer process chamber for 3d conformal processing
US10879049B2 (en) * 2015-07-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition tool and operating method thereof
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
WO2019241405A1 (en) * 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US11508584B2 (en) * 2019-06-17 2022-11-22 Applied Materials, Inc. Deuterium-containing films
EP3990678A4 (en) * 2019-06-25 2023-01-04 Picosun Oy Plasma in a substrate processing apparatus
KR20210042810A (en) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR102635841B1 (en) * 2020-10-13 2024-02-13 에이피시스템 주식회사 Thin film processing apparatus and method thereof
JP2024512243A (en) * 2021-03-12 2024-03-19 リカーボン,インコーポレイテッド Plasma chamber with auxiliary reaction chamber

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1159012A (en) 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
EP0326191A3 (en) 1983-02-25 1991-12-27 Toyota Jidosha Kabushiki Kaisha Apparatus and method for plasma treatment of resin material
JPS6289873A (en) * 1985-10-14 1987-04-24 Semiconductor Energy Lab Co Ltd Formation of transparent conductive film
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4857132A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Processing apparatus for wafers
JPH03212938A (en) * 1990-01-18 1991-09-18 Seiko Epson Corp Forming method of silicon nitride film
US5082517A (en) * 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5294571A (en) 1992-07-22 1994-03-15 Vlsi Technology, Inc. Rapid thermal oxidation of silicon in an ozone ambient
JPH0645320A (en) * 1992-07-27 1994-02-18 Nec Corp Manufacture of semiconductor device and manufacturing device thereof
JPH06333857A (en) 1993-05-27 1994-12-02 Semiconductor Energy Lab Co Ltd Device and method for forming film
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH0823095A (en) * 1994-07-07 1996-01-23 Sanyo Electric Co Ltd Semiconductor device and production process thereof
JPH0864390A (en) * 1994-08-22 1996-03-08 Ryoden Semiconductor Syst Eng Kk Plasma processing device
JPH08250488A (en) * 1995-01-13 1996-09-27 Seiko Epson Corp Device and method for plasma treatment
JPH0992643A (en) * 1995-09-28 1997-04-04 Toshiba Corp Plasma treating device and method
DE19611538C1 (en) * 1996-03-23 1997-08-21 Daimler Benz Ag Apparatus for coating substrates with use of a filamentless ion source
US5895548A (en) 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US6040249A (en) * 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
US5902404A (en) * 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
JP3222404B2 (en) 1997-06-20 2001-10-29 科学技術振興事業団 Method and apparatus for forming insulating film on semiconductor substrate surface
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric

Cited By (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7143660B2 (en) * 2000-08-18 2006-12-05 Texas Instruments Incorporated Method and system for processing semiconductor wafers
US6723172B2 (en) * 2000-08-18 2004-04-20 Texas Instruments Incorporated Method and system for processing semiconductor wafers
US20020043274A1 (en) * 2000-08-18 2002-04-18 Mayes Steven K. Method and system for processing semiconductor wafers
US20040175946A1 (en) * 2000-08-18 2004-09-09 Mayes Steven K. Method and system for processing semiconductor wafers
US6638877B2 (en) * 2000-11-03 2003-10-28 Texas Instruments Incorporated Ultra-thin SiO2using N2O as the oxidant
US7837795B2 (en) * 2001-05-30 2010-11-23 Asm America, Inc. Low temperature load and bake
US20060130743A1 (en) * 2001-05-30 2006-06-22 Brabant Paul D Low temperature load and bake
US20070204959A1 (en) * 2001-08-02 2007-09-06 Tokyo Electron Ltd. Substrate processing method and material for electronic device
US7250375B2 (en) * 2001-08-02 2007-07-31 Tokyo Electron Limited Substrate processing method and material for electronic device
US20040235311A1 (en) * 2001-08-02 2004-11-25 Toshio Nakanishi Base method treating method and electron device-use material
US20050170541A1 (en) * 2001-12-07 2005-08-04 Tokyo Electron Limited Radical processing of a sub-nanometer insulation film
US20080139000A1 (en) * 2001-12-07 2008-06-12 Tokyo Electron Limited Radical Processing of a Sub-Nanometer Insulation Film
US6927112B2 (en) 2001-12-07 2005-08-09 Tokyo Electron Limited Radical processing of a sub-nanometer insulation film
US20030170945A1 (en) * 2001-12-07 2003-09-11 Tokyo Electron Limited Radical processing of a sub-nanometer insulation film
US20050164523A1 (en) * 2001-12-18 2005-07-28 Tokyo Electron Limited Substrate treating method
US7517751B2 (en) * 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
US20090163036A1 (en) * 2001-12-18 2009-06-25 Tokyo Electron Limited Substrate Treating Method
US7232772B2 (en) * 2002-05-16 2007-06-19 Tokyo Electron Limited Substrate processing method
US20070134895A1 (en) * 2002-05-16 2007-06-14 Tokyo Electron Limited Nitriding method of gate oxide film
US20090035950A1 (en) * 2002-05-16 2009-02-05 Tokyo Electron Limited Nitriding method of gate oxide film
US7429539B2 (en) 2002-05-16 2008-09-30 Tokyo Electron Limited Nitriding method of gate oxide film
US20050176223A1 (en) * 2002-05-16 2005-08-11 Tokyo Electron Limited Substrate processing method
US20080038936A1 (en) * 2002-07-19 2008-02-14 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7964513B2 (en) 2002-07-19 2011-06-21 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20050118837A1 (en) * 2002-07-19 2005-06-02 Todd Michael A. Method to form ultra high quality silicon-containing compound layers
US7651953B2 (en) 2002-07-19 2010-01-26 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20090311857A1 (en) * 2002-07-19 2009-12-17 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20060003100A1 (en) * 2002-12-12 2006-01-05 Sarin Vinod K CVD process to deposit aluminum oxide coatings
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US20040224534A1 (en) * 2002-12-18 2004-11-11 Beulens Jacobus Johannes Method of fabricating silicon nitride nanodots
US20040248392A1 (en) * 2003-02-04 2004-12-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
WO2004070796A3 (en) * 2003-02-04 2005-06-02 Applied Materials Inc Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
WO2004070796A2 (en) * 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US20100090294A1 (en) * 2003-02-04 2010-04-15 Narwankar Pravin K Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US7446056B2 (en) * 2003-05-07 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for increasing polysilicon grain size
US20060134926A1 (en) * 2003-05-07 2006-06-22 Yao-Hui Huang Method for increasing polysilicon grain size
US20080014692A1 (en) * 2003-08-26 2008-01-17 Burnham Jay S Method for fabricating a nitrided silicon-oxide gate dielectric
EP1661163A4 (en) * 2003-08-26 2008-08-06 Ibm Method for fabricating a nitrided silicon-oxide gate dielectric
EP1661163A2 (en) * 2003-08-26 2006-05-31 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US8709887B2 (en) * 2003-08-26 2014-04-29 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US7686912B2 (en) * 2003-08-29 2010-03-30 Tadatomo Suga Method for bonding substrates and method for irradiating particle beam to be utilized therefor
US20050173057A1 (en) * 2003-08-29 2005-08-11 Tadatomo Suga Method for bonding substrates and method for irradiating particle beam to be utilized therefor
US7497964B2 (en) * 2003-11-14 2009-03-03 Tokyo Electron Limited Plasma igniting method and substrate processing method
US20060205188A1 (en) * 2003-11-14 2006-09-14 Tokyo Electron Limited Plasma igniting method and substrate processing method
CN100463120C (en) * 2003-11-14 2009-02-18 东京毅力科创株式会社 Plasma igniting method and substrate processing method
US20070166966A1 (en) * 2004-09-03 2007-07-19 Asm America, Inc. Deposition from liquid sources
US7921805B2 (en) 2004-09-03 2011-04-12 Asm America, Inc. Deposition from liquid sources
US7674728B2 (en) 2004-09-03 2010-03-09 Asm America, Inc. Deposition from liquid sources
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US20060060137A1 (en) * 2004-09-22 2006-03-23 Albert Hasper Deposition of TiN films in a batch reactor
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20070077775A1 (en) * 2004-09-22 2007-04-05 Albert Hasper Deposition of TiN films in a batch reactor
US7915177B2 (en) 2004-10-28 2011-03-29 Toyko Electron Limited Method of forming gate insulation film, semiconductor device, and computer recording medium
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US20090004883A1 (en) * 2005-09-16 2009-01-01 Das Mrinal K Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070141812A1 (en) * 2005-12-16 2007-06-21 Zagwijn Peter M Low temperature doped silicon layer formation
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20080207008A1 (en) * 2007-01-25 2008-08-28 Ramesh Peelamedu Microwave hybrid and plasma rapid thermal processing of semiconductor wafers
WO2008091613A1 (en) * 2007-01-25 2008-07-31 Btu International, Inc. Microwave hybrid and plasma rapid thermal processing of semiconductor wafers
US20080286981A1 (en) * 2007-05-14 2008-11-20 Asm International N.V. In situ silicon and titanium nitride deposition
US8203179B2 (en) 2007-08-17 2012-06-19 Micron Technology, Inc. Device having complex oxide nanodots
US20090045447A1 (en) * 2007-08-17 2009-02-19 Micron Technology, Inc. Complex oxide nanodots
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US20100136772A1 (en) * 2008-12-02 2010-06-03 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US10049881B2 (en) 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US10950698B2 (en) * 2011-08-10 2021-03-16 Applied Materials, Inc. Method and apparatus for selective nitridation process
US20210202702A1 (en) * 2011-08-10 2021-07-01 Applied Materials, Inc. Method and apparatus for selective nitridation process
CN103718278A (en) * 2011-08-10 2014-04-09 应用材料公司 Method and apparatus for selective nitridation process
US20190088485A1 (en) * 2011-08-10 2019-03-21 Applied Materials, Inc. Method and apparatus for selective nitridation process
US11581408B2 (en) * 2011-08-10 2023-02-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
CN105679633A (en) * 2011-08-10 2016-06-15 应用材料公司 Method and apparatus for selective nitridation process
CN106098551A (en) * 2011-08-10 2016-11-09 应用材料公司 Method and apparatus for nitride selectivity technique
TWI645475B (en) * 2011-08-10 2018-12-21 美商應用材料股份有限公司 Method and apparatus for selective nitridation process
US9490104B2 (en) * 2011-11-08 2016-11-08 Hitachi High-Technologies Corporation Heat treatment apparatus
US20130112670A1 (en) * 2011-11-08 2013-05-09 Hitachi High-Technologies Corporation Heat treatment apparatus
US9177788B2 (en) 2012-03-12 2015-11-03 Veeco Ald Inc. Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation
US9558963B2 (en) 2012-03-12 2017-01-31 Veeco Ald Inc. Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation
WO2013138049A1 (en) * 2012-03-12 2013-09-19 Synos Technology, Inc. Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation
US8852695B2 (en) * 2012-09-10 2014-10-07 The Research Foundation For The State University Of New York Optical barriers, waveguides, and methods for fabricating barriers and waveguides for use in harsh environments
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014116520A1 (en) * 2013-01-22 2014-07-31 Veeco Ald Inc. Cascaded plasma reactor
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11932939B2 (en) 2015-04-22 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI772297B (en) * 2016-05-19 2022-08-01 美商應用材料股份有限公司 Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10770537B2 (en) 2016-09-19 2020-09-08 International Business Machines Corporation Metal resistor structures with nitrogen content
US9831301B1 (en) * 2016-09-19 2017-11-28 International Business Machines Corporation Metal resistor structures with nitrogen content
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
CN108109900A (en) * 2016-11-24 2018-06-01 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its manufacturing method
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20190105130A (en) * 2017-02-03 2019-09-11 어플라이드 머티어리얼스, 인코포레이티드 Systems and Methods for Radial and Azimuth Control of Plasma Uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
KR102167868B1 (en) 2017-02-03 2020-10-20 어플라이드 머티어리얼스, 인코포레이티드 Systems and methods for radial and azimuth control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR20200003426A (en) * 2017-05-31 2020-01-09 어플라이드 머티어리얼스, 인코포레이티드 Remote plasma oxidation chamber
JP2020522132A (en) * 2017-05-31 2020-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Remote plasma oxidation chamber
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
KR102509014B1 (en) * 2017-05-31 2023-03-13 어플라이드 머티어리얼스, 인코포레이티드 remote plasma oxidation chamber
US11615944B2 (en) 2017-05-31 2023-03-28 Applied Materials, Inc. Remote plasma oxidation chamber
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP7125427B2 (en) 2017-05-31 2022-08-24 アプライド マテリアルズ インコーポレイテッド Remote plasma oxidation chamber
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10679874B2 (en) * 2017-08-30 2020-06-09 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and heat treatment method
US20190067051A1 (en) * 2017-08-30 2019-02-28 SCREEN Holdings Co., Ltd. Light irradiation type heat treatment apparatus and heat treatment method
KR102240492B1 (en) 2017-08-30 2021-04-14 가부시키가이샤 스크린 홀딩스 Heat treatment apparatus and heat treatment method
KR20190024666A (en) * 2017-08-30 2019-03-08 가부시키가이샤 스크린 홀딩스 Heat treatment apparatus and heat treatment method
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20210074505A1 (en) * 2018-01-24 2021-03-11 Applied Materials, Inc. Side inject designs for improved radical concentrations
US11501945B2 (en) * 2018-01-24 2022-11-15 Applied Materials, Inc. Side inject designs for improved radical concentrations
US10847337B2 (en) 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
JP7431266B2 (en) 2018-01-24 2024-02-14 アプライド マテリアルズ インコーポレイテッド Side injection design to improve radical concentration
USD1023987S1 (en) 2018-01-24 2024-04-23 Applied Materials, Inc. Chamber inlet
WO2019147450A1 (en) * 2018-01-24 2019-08-01 Applied Materials, Inc. Side inject designs for improved radical concentrations
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20230082812A1 (en) * 2018-08-27 2023-03-16 Shin-Etsu Chemical Co., Ltd. Film forming method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11732355B2 (en) * 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102019107491A1 (en) * 2019-03-11 2020-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Setting the threshold voltage by metastable plasma treatment
US11688606B2 (en) 2019-03-11 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
US11239083B2 (en) 2019-03-11 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
DE102019107491B4 (en) * 2019-03-11 2021-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Setting the threshold voltage by metastable plasma treatment
US20220223383A1 (en) * 2019-04-05 2022-07-14 Applied Materials, Inc. Process system with variable flow valve
US11746417B2 (en) * 2019-09-19 2023-09-05 Applied Materials, Inc. Clean isolation valve for reduced dead volume
US20230017577A1 (en) * 2019-09-19 2023-01-19 Applied Materials, Inc. Clean isolation valve for reduced dead volume
US11479857B2 (en) * 2019-09-19 2022-10-25 Applied Materials, Inc. Clean isolation valve for reduced dead volume
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
US11955319B2 (en) 2019-11-27 2024-04-09 Applied Materials, Inc. Processing chamber with multiple plasma units
US20210159052A1 (en) * 2019-11-27 2021-05-27 Applied Materials, Inc. Processing Chamber With Multiple Plasma Units

Also Published As

Publication number Publication date
WO2000065631A3 (en) 2001-02-15
TW512458B (en) 2002-12-01
TW512457B (en) 2002-12-01
KR20010110795A (en) 2001-12-13
WO2000065631A2 (en) 2000-11-02
EP1196938A2 (en) 2002-04-17
US6450116B1 (en) 2002-09-17
JP2002543584A (en) 2002-12-17

Similar Documents

Publication Publication Date Title
US6450116B1 (en) Apparatus for exposing a substrate to plasma radicals
US6114258A (en) Method of oxidizing a substrate in the presence of nitride and oxynitride films
KR100611610B1 (en) Control of oxygen to silane ratio in a seasoning process to improve the particle performance in an hdp-cvd sytem
US6614181B1 (en) UV radiation source for densification of CVD carbon-doped silicon oxide films
US7049200B2 (en) Method for forming a low thermal budget spacer
US6579811B2 (en) Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps through wafer heating
US7138767B2 (en) Surface wave plasma processing system and method of using
US7268084B2 (en) Method for treating a substrate
US7947561B2 (en) Methods for oxidation of a semiconductor device
US7122454B2 (en) Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US20060065367A1 (en) Plasma processing system for treating a substrate
US7638161B2 (en) Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
KR20010021745A (en) Method and apparatus for in situ vapor generation
EP1071833A2 (en) Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
EP1409765A1 (en) Method for cvd of bpsg films
US6524969B2 (en) High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US20040099281A1 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NOBLE, DAVID B.;RAVI, JALLEPALLY;D'ASTICI, NATHAN;AND OTHERS;REEL/FRAME:010779/0745

Effective date: 20000426

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NOBLE, DAVID B.;RAVI, JALLEPALLY;D'ASTICI, NATHAN;AND OTHERS;REEL/FRAME:011118/0296

Effective date: 20000426

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12